CYPRESS CYFB0072V33L

CYFB0072V
72-Mbit Video Frame Buffer
72-Mbit Video Frame Buffer
Features
Functional Description
■
Memory organization
❐ Density: 72-Mbit
❐ Organization: × 36
■
Up to 133-MHz clock operation [1]
■
Unidirectional operation
■
Independent read and write ports
❐ Supports simultaneous read and write operations
❐ Reads and writes operate on independent clocks, upto a
maximum ratio of two, enabling data buffering across clock
domains.
❐ Supports multiple I/O voltage standard: low voltage
complementary metal oxide semiconductor (LVCMOS) 3.3 V
and 1.8 V voltage standards.
The Video Frame Buffer is a 72-Mbit memory device which
operates as a FIFO with a bus width of 36 bits. It has independent
read and write ports, which can be clocked up to 133 MHz. The
bus size of 36 bits enables a data throughput of 4.8 Gbps. The
device also offers a simple and easy-to-use interface to reduce
implementation and debugging efforts, improve time-to-market,
and reduce engineering costs. This makes it an ideal memory
choice for a wide range of applications including video and image
processing or any system that needs buffering at high speeds
across different clock domains.
■
Input and output enable control for write mask and read skip
operations
■
Empty & Full status flags
■
Flow-through mailbox register to send data from input to output
port, bypassing the Frame Buffer
■
Separate serial clock (SCLK) input for serial programming of
configuration registers
■
Master reset to clear entire Frame Buffer
■
Partial reset to clear data but retain programmable settings
■
Joint test action group (JTAG) port provided for boundary scan
function
■
Industrial temperature range: –40 °C to +85 °C
The functionality of the Video Frame Buffer is such that the data
is read out of the read port in the same sequence in which it was
written into the write port. If writes and inputs are enabled (WEN
& IE), data on the write port gets written into the device at the
rising edge of write clock. Enabling reads and outputs (REN &
OE) fetches data on the read port at every rising edge of read
clock. Both reads and writes can occur simultaneously at
different speeds provided the ratio between read and write clock
is in the range of 0.5 to 2. Appropriate flags are set whenever the
device is empty or full.
The device also supports a flow-through mailbox register to
bypass the frame buffer memory
Note
1. For device operating at 150 MHz, Contact Sales.
Cypress Semiconductor Corporation
Document Number: 001-88646 Rev. *A
•
198 Champion Court
•
San Jose, CA 95134-1709
•
408-943-2600
Revised September 26, 2013
CYFB0072V
Logic Block Diagram
D[35:0]
IE
WEN
WCLK
LD
INPUT
REGISTER
SPI_SEN SPI_SCLK
CONFIGURATION
REGISTERS/MAILBOX
SPI_SI
MB
WRITE
CONTROL LOGIC
FF
WRITE POINTER
FLAG LOGIC
EF
DVal
Memory Array
MRS
PRS
RESET POINTER
72-Mbit
READ POINTER
TCK
TRST
TMS
JTAG CONTROL
READ CONTROL
LOGIC
TDO
TDI
OUTPUT
REGISTER
RCLK
REN
OE
Q[35:0]
Document Number: 001-88646 Rev. *A
Page 2 of 27
CYFB0072V
Contents
Pin Configuration ............................................................. 4
Pin Definitions .................................................................. 5
Architecture ...................................................................... 7
Reset Logic ................................................................. 7
Data Valid Signal (DVal) .............................................. 7
Write Mask and Read Skip Operation ......................... 7
Flow-through Mailbox Register .................................... 7
Flag Operation ............................................................. 7
Programming Configuration Registers ........................ 8
Width Expansion Configuration ................................. 11
Power Up ................................................................... 11
Read/Write Clock Requirements ............................... 11
JTAG Operation ........................................................ 12
Maximum Ratings ........................................................... 13
Operating Range ............................................................. 13
Recommended DC Operating Conditions .................... 13
Electrical Characteristics ............................................... 13
I/O Characteristics .......................................................... 14
Document Number: 001-88646 Rev. *A
Latency Table .................................................................. 14
AC Test Load Conditions ............................................... 15
Switching Characteristics .............................................. 16
Switching Waveforms .................................................... 17
Ordering Information ...................................................... 23
Ordering Code Definitions ......................................... 23
Package Diagram ............................................................ 24
Acronyms ........................................................................ 25
Document Conventions ................................................. 25
Units of Measure ....................................................... 25
Document History Page ................................................. 26
Sales, Solutions, and Legal Information ...................... 27
Worldwide Sales and Design Support ....................... 27
Products .................................................................... 27
PSoC® Solutions ...................................................... 27
Cypress Developer Community ................................. 27
Technical Support ..................................................... 27
Page 3 of 27
CYFB0072V
Pin Configuration
Figure 1. 209-ball FBGA pinout (Top View)
1
2
3
4
5
6
7
8
9
10
11
A
FF
D0
D1
DNU
VPU
VPU
DNU
DNU
VPD
Q0
Q1
B
EF
D2
D3
DNU
DNU
VPU
DNU
DNU
REN
Q2
Q3
C
D4
D5
WEN
DNU
VCC1
DNU
VCC1
DNU
RCLK
Q4
Q5
D
D6
D7
VSS
VCC1
DNU
LD
DNU
VCC1
Vss
Q6
Q7
E
D8
D9
VCC2
VCC2
VCCIO
VCCIO
VCCIO
VCC2
VCC2
Q8
Q9
F
D10
D11
VSS
VSS
VSS
DNU
VSS
VSS
VSS
Q10
Q11
G
D12
D13
VCC2
VCC2
VCCIO
VCC1
VCCIO
VCC2
VCC2
Q12
Q13
H
D14
D15
VSS
VSS
VSS
VCC1
VSS
VSS
VSS
Q14
Q15
J
D16
D17
VCC2
VCC2
VCCIO
VCC1
VCCIO
VCC2
VCC2
Q16
Q17
K
DNU
DNU
WCLK
DNU
VSS
IE
VSS
DNU
VCCIO
VCCIO
VCCIO
L
D18
D19
VCC2
VCC2
VCCIO
VCC1
VCCIO
VCC2
VCC2
Q18
Q19
M
D20
D21
VSS
VSS
VSS
VCC1
VSS
VSS
VSS
Q20
Q21
N
D22
D23
VCC2
VCC2
VCCIO
VCC1
VCCIO
VCC2
VCC2
Q22
Q23
P
D24
D25
VSS
VSS
VSS
SPI_SEN
VSS
VSS
VSS
Q24
Q25
R
D26
D27
VCC2
VCC2
VCCIO
VCCIO
VCCIO
VCC2
VCC2
Q26
Q27
T
D28
D29
VSS
VCC1
VCC1
SPI_SI
VCC1
VCC1
VSS
Q28
Q29
[2]
SPI_SCLK
VREF
OE
Q30
Q31
U
DVal
DNU
D30
D31
PRS
DNU
V
DNU
DNU
D32
D33
DNU
MRS
MB
DNU
VPD
Q32
Q33
W
TDO
DNU
D34
D35
TDI
TRST
TMS
TCK
DNU
Q34
Q35
Notes
2. This pin should be tied to VSS preferably or can be left floating to ensure normal operation.
Document Number: 001-88646 Rev. *A
Page 4 of 27
CYFB0072V
Pin Definitions
Pin Name
I/O
Pin Description
MRS
Input
Master reset: MRS initializes the internal read and write pointers to zero, resets both flags and sets the
output register to all zeroes. During Master Reset, the configuration registers are set to default values.
PRS
Input
Partial reset: PRS initializes the internal read and write pointers to zero, resets both flags and sets the
output register to all zeroes. During Partial Reset, the configuration register settings are retained.
WCLK
Input
Write clock: The rising edge clocks data into the frame buffer when writes are enabled (WEN asserted).
Data is written into the buffer memory when LD is high and into configuration registers when LD is low.
LD
Input
Load: When LD is LOW, D[7:0] (Q[7:0]) are written (read) into (from) the configuration registers. When
LD is HIGH, D[35:0] (Q[35:0]) are written (read) into (from) the buffer memory.
WEN
Input
Write enable: Control signal to enable writes to the device. When WEN is low data present on the inputs
is written to the buffer memory or configuration registers on every rising edge of WCLK.
IE
Input
Input enable: IE is the data input enable signal that controls the enabling and disabling of the 36-bit data
input pins. If it is enabled, data on input pins is written into the frame buffer memory or configuration
registers. The internal write address pointer is always incremented at rising edge of WCLK if WEN is
enabled, regardless of the IE level. This is used for 'write masking' or incrementing the write pointer
without writing into a location.
D[35:0]
Input
Data inputs: Data inputs for a 36-bit bus.
RCLK
Input
Read clock: The rising edge initiates a read from the frame buffer when reads are enabled (REN
asserted). Data is read from the buffer memory when LD is high & from the configuration registers if LD
is low.
REN
Input
Read enable: Control signal to enable reads from the device. When REN is low data is read from the
buffer memory or configuration registers on every rising edge of RCLK.
OE
Input
Output enable: When OE is LOW, device data outputs are enabled; when OE is HIGH, the device’s
outputs are in High Z (high impedance) state.
Q[35:0]
Output
Data outputs: Data outputs for a 36-bit bus.
DVal
Output
Data valid: Active low data valid signal to indicate valid data on Q[35:0].
MB
Input
Mailbox: When asserted the reads and writes happen to flow-through mailbox register.
EF
Output
Empty flag: When EF is LOW, the frame buffer is empty. EF is synchronized to RCLK.
FF
Output
Full flag: When FF is LOW, the frame buffer is full. FF is synchronized to WCLK.
SPI_SCLK
Input
Serial clock: A rising edge on SPI_SCLK clocks the serial data present on the SPI_SI input into the
configuration registers if SPI_SEN is enabled.
SPI_SI
Input
Serial input: Serial input data in SPI mode.
SPI_SEN
Input
Serial enable: Enables serial loading of configuration registers.
TCK
Input
Test clock (TCK) pin for JTAG.
TRST
Input
Reset pin for JTAG.
TMS
Input
Test mode select (TMS) pin for JTAG.
TDI
Input
Test data in (TDI) pin for JTAG.
TDO
Output
VREF
VCC1
Test data out (TDO) pin for JTAG.
Input
Reference voltage: Reference voltage (regardless of I/O standard used)
Reference
Power
Supply
Core voltage supply 1: 1.8 V supply voltage
Document Number: 001-88646 Rev. *A
Page 5 of 27
CYFB0072V
Pin Definitions (continued)
Pin Name
I/O
Pin Description
VCC2
Power
Supply
Core voltage supply 2: 1.5 V supply voltage
VCCIO
Power
Supply
Supply for I/Os
VSS [3]
Ground
Ground
VPU
CMOS
Voltage
Level
The pins A5, A6 and B6 of the FBGA Pins are required to be Pulled Up to the CMOS voltage level. These
pins should be powered up post the power supply VCC1 & VCC2, and should be stable prior MRST
operation.
DNU
VPD
[3]
–
Input
Do not use: These pins need to be left floating.
Connect to GND (Short to VSS).
Note
3. All VSS pins should be connected to the same ground plane.
Document Number: 001-88646 Rev. *A
Page 6 of 27
CYFB0072V
Architecture
continuously at different frequencies by indicating when valid
data is available at the output port Q[35:0].
The video frame buffer consists of a memory array of 72-Mbit
along with the logic blocks to implement FIFO functionality and
its associated features that are built around this memory array.
Write Mask and Read Skip Operation
The input and output data buses have a maximum width of
36 bits. The input data bus goes to an input register and the data
flow from the input register to the memory is controlled by the
write control logic. The inputs to the write logic block are WCLK,
WEN and IE. When the writes are enabled through WEN and if
the inputs are enabled by IE, then the data on the input bus is
written into the memory array at the rising edge of WCLK. This
also increments the write pointer. Enabling writes but disabling
the data input pins through IE only, increments the write pointer
without doing any writes or altering the contents of the memory
location.
This feature is called Write Mask and allows user to move the
write pointer without actually writing to the locations. This “write
masking” ability is useful in some video applications such as
Picture In Picture (PIP).
Similarly, the output register is connected to the data output bus.
Transfer of contents from the memory to the output register is
controlled by the read control logic. The inputs to the read control
logic include RCLK, REN, OE. When reads are enabled by REN
and outputs are enabled using OE, the data from the memory
pointed by the read pointer is transferred to the output data bus
at the rising edge of RCLK along with active low DVal. If the
outputs are disabled but the reads enabled, the outputs are in
high impedance state, but internally the read pointer is incremented.
As mentioned in Architecture on page 7, enabling writes but
disabling the inputs (IE HIGH) increments the write pointer
without doing any write operations or altering the contents of the
location.
Similarly, during a read operation, if the outputs are disabled by
keeping the OE high, the read data does not appear on the
output bus; however, the read pointer is incremented. This
feature is referred to as a Read Skip Operation.
Flow-through Mailbox Register
This feature transfers data from input to output directly bypassing
the sequential buffer memory. When MB signal is asserted the
data present in D[35:0] will be available at Q[35:0] after two
WCLK cycles. Normal read and write operations are not allowed
during flow-through mailbox operation. Before starting
Flow-through mailbox operation reads should be completed to
make data valid DVal high to avoid data loss from buffer memory.
During write operation, the number of writes performed is always
an even number (i.e., minimum write burst length is two and
number of writes always a multiple of two), whereas during read
operation, the number of reads performed can be even or odd
(i.e., minimum read burst length is one).
Flag Operation
Reset Logic
The Full Flag (FF) operates on double word (burst length of two)
boundaries and goes LOW when the device is full. Write
operations are inhibited whenever FF is LOW regardless of the
state of WEN. FF is synchronized to WCLK, that is, it is
exclusively updated by each rising edge of WCLK. The worst
case assertion latency for Full Flag is four. As the user cannot
know that the frame buffer is full for four clock cycles, it is
possible that user continues writing data during this time. In this
case, the four data words written will be stored to prevent data
loss and these words have to be read back in order for full flag
to get de-asserted. The minimum number of reads required to
de-assert full-flag is two and the maximum number of reads
required to de-assert full flag is six. The latency associated with
Full flag is explained in Latency Table on page 14.
The frame buffer can be reset in two ways: Master Reset (MRS)
and Partial Reset (PRS). The MRS initializes the read and write
pointers to zero and sets the output register to all zeroes. It also
resets empty flag, full flag & the configuration registers to their
default values. A Master Reset is required after power-up before
accessing the frame buffer.
PRS resets the read pointer, write pointer to the first physical
location in the memory array. It also resets the flags to their
default values. PRS does not affect the programmed
configuration register values.
Data Valid Signal (DVal)
Data valid (DVal) is an active low signal, synchronized to RCLK
and is provided to check for valid data on the output bus. When
a read operation is performed, the DVal signal goes low along
with output data. This helps user to capture the data without
keeping track of REN to data output latency. This signal also
helps when write and read operations are performed
Document Number: 001-88646 Rev. *A
This device provides two flag pins to indicate the condition of the
video frame buffer.
Full Flag
Empty Flag
The Empty Flag (EF) deassertion depends on burst writes and
goes LOW when the device is empty. Read operations are
inhibited whenever EF is LOW, regardless of the state of REN.
EF is synchronized to RCLK, that is, it is exclusively updated by
each rising edge of RCLK. The latency associated with Empty
flag is explained in Latency Table on page 14.
Page 7 of 27
CYFB0072V
Programming Configuration Registers
The CYFB0072V has ten 8-bit user configurable registers. The
tenth register is the Fast CLK bit which indicates the faster clock
domain.
This register can be programmed in one of two ways: serial
loading or parallel loading method. The loading method is
selected using the SPI_SEN (Serial Enable) pin. A low on the
SPI_SEN selects the serial method for writing into the register.
For serial programming, there is a separate SCLK and a Serial
Input (SI). In parallel mode, a LOW on the load (LD) pin causes
the write and read operation to these registers. When LD is held
LOW, write and read operations happen sequentially from the
first location (0x1) to the last location (0xA). If LD is HIGH, the
writes occur to the FIFO.
Register values can be read through the parallel output port
regardless of the programming mode selected (serial or parallel).
Register values cannot be read serially. The registers may be
programmed (and reprogrammed) any time after master reset,
regardless of whether serial or parallel programming is selected.
See Table 1 and Table 2 on page 9 for access to configuration
registers in serial and parallel modes.
In parallel mode, the read and write operations loop back when
the maximum address location of the configuration registers is
reached. Simultaneous read and write operations should be
avoided on the configuration registers.
Table 1. Configuration Registers
ADDR
Bit [7]
Bit [6]
Bit [5]
Bit [4]
Bit [3]
Bit [2]
Bit [1]
Bit [0]
0x1
Reserved
Configuration Register
0x00
X
X
X
X
X
X
X
X
0x2
Reserved
0x00
X
X
X
X
X
X
X
X
0x3
Reserved
0x00
X
X
X
X
X
X
X
X
0x4
Reserved
0x7F
X
X
X
X
X
X
X
X
0x5
Reserved
0x00
X
X
X
X
X
X
X
X
0x6
Reserved
0x00
X
X
X
X
X
X
X
X
0x7
Reserved
0x7F
X
X
X
X
X
X
X
X
0x8
Reserved
0x00
X
X
X
X
X
X
X
X
0x9
Reserved
0x00
X
X
X
X
X
X
X
X
0xA
Fast CLK Bit Register
1XXXXXXXb Fast CLK
bit
X
X
X
X
X
X
X
Document Number: 001-88646 Rev. *A
Default
Page 8 of 27
CYFB0072V
Table 2. Writing and Reading Configuration Registers in Parallel Mode
SPI_SEN
LD
WEN
REN
WCLK
RCLK
SPI_SCLK
1
0
0
1
 First rising edge
because both LD and
WEN are low
X
X
Parallel write to first register
1
0
0
1
 Second rising edge
X
X
Parallel write to second register
1
0
0
1
 Third rising edge
X
X
Parallel write to third register
1
0
0
1
 Fourth rising edge
X
X
Parallel write to fourth register
1
0
0
1

X
X

1
0
0
1

X
X

1
0
0
1

X
X

1
0
0
1
 Tenth rising edge
X
X
Parallel write to tenth register
1
0
0
1
 Eleventh rising edge
X
X
Parallel write to first register
(roll back)
1
0
1
0
X
 First rising edge since
both LD and REN are
low
X
Parallel read from first register
1
0
1
0
X
 Second rising edge
X
Parallel read from second
register
1
0
1
0
X
 Third rising edge
X
Parallel read from third register
1
0
1
0
X
 Fourth rising edge
X
Parallel read from fourth
register
1
0
1
0
X

X

1
0
1
0
X

X

1
0
1
0
X

X

1
0
1
0
X
 Tenth rising edge
X
Parallel read from tenth
register
1
0
1
0
X
 Eleventh rising edge
X
Parallel read from first register
(roll back)
1
X
1
1
X
X
X
No operation
X
1
0
X
 Rising edge
X
X
Write to Frame Buffer memory
X
1
X
0
X
 Rising edge
X
Read from Frame Buffer
memory
0
0
X
1
X
X
X
Illegal operation
Document Number: 001-88646 Rev. *A
Operation
Page 9 of 27
CYFB0072V
Table 3. Writing into Configuration Registers in Serial Mode
SPI_SEN
LD
WEN
REN
WCLK
RCLK
SCLK
Operation
0
1
X
X
X
X
X
1
0
X
 Rising edge
X
X
Parallel write to Frame Buffer
memory.
X
1
X
0
X
 Rising edge
X
Parallel read from Frame
Buffer memory.
1
0
1
1
X
X
X
This corresponds to parallel
mode (refer to Table 2 on page
9).
 Rising edge Each rising of the SCLK clocks
in one bit from the SI (Serial
In). Any of the 10 registers can
be addressed and written to,
following the SPI protocol.
Figure 2. Serial WRITE to Configuration Register
LD
Document Number: 001-88646 Rev. *A
Page 10 of 27
CYFB0072V
Width Expansion Configuration
The width of the frame buffer can be expanded to provide word widths greater than 36 bits. During width expansion mode, all control
line inputs are common and all flags are available. Empty (Full) flags are created by ANDing the Empty (Full) flags of every Frame
Buffer. This technique avoids reading data from or writing data to the device that is “staggered” by one clock cycle due to the variations
in skew between RCLK and WCLK. Figure 3 demonstrates an example of 72 bit-word width by using two 36-bit word frame buffers.
Figure 3. Width Expansion
DATAIN (D) 72
36
36
READ CLOCK (RCLK)
WRITE CLOCK (WCLK)
READ ENABLE (REN)
WRITE ENABLE (WEN)
OUTPUT ENABLE(OE)
CYF0072V
CYF0072V
FF
FF
EF
EF
36
FF
DATA OUT (Q)
72
36
Power Up
The device becomes functional after VCC1, VCC2, VCCIO, and
VREF attain minimum stable voltage required as given in
Recommended DC Operating Conditions on page 13. The
device can be accessed tPU time after these supplies attain the
minimum required level (see Switching Characteristics on page
16). There is no specific power sequencing required for the
device.
Read/Write Clock Requirements
For proper frame buffer operation, the device must determine
which of the input clocks – RCLK or WCLK – is faster. This is
evaluated using counters after the MRS cycle. The device uses
two 9-bit counters (one running on RCLK and other on WCLK),
which count 256 cycles of read and write clocks after MRS. The
clock of the counter which reaches its terminal count first is used
as master clock inside the frame buffer.
When there is change in the relative frequency of RCLK and
WCLK during normal operation of Frame Buffer, user can specify
it by using “Fast CLK bit” in the configuration register (0xA).
The read and write clocks must satisfy the following
requirements:
“1” - indicates freq (WCLK) > freq (RCLK)
■
Both read (RCLK) and write (WCLK) clocks should be
free-running.
■
The clock frequency for both clocks should be between the
minimum and maximum range given in Electrical
Characteristics on page 13.
The fast clock bit configuration register(0xA), can be accessed
by keeping LD low for 10 clock cycles. The result of counter
evaluated frequency is available in this register bit. User can
override the counter evaluated frequency for faster clock by
changing this bit.
■
The WCLK to RCLK ratio should be in the range of 0.5 to 2.
Document Number: 001-88646 Rev. *A
“0” - indicates freq (WCLK) < freq (RCLK)
Whenever there is a change in this bit value, user must wait tPLL
time before issuing the next read or write to buffer memory.
Page 11 of 27
CYFB0072V
JTAG Operation
The video frame buffer has two devices connected internally in a JTAG chain as shown in Figure 4
Figure 4. Device Connection in a JTAG Chain
TRST
TM S
TCK
TM S
TCK
device1
TDI
TDO
TM S TRST
TCK
device2
TDI
TDO
TDI
TDO
Table 4 shows the IR register length and device ID
Table 4. JTAG IDCODES
IR Register Length
3
8
Device-1
Device-2
Device ID (HEX)
“Ignore”
1E3261CF
Bypass Register Length
1
1
Table 5. JTAG Instructions for Device-1
Device-1
Opcode (Binary)
BYPASS
111
Table 6. JTAG Instructions for Device-2
Device-2
Opcode (HEX)
EXTEST
00
HIGHZ
07
SAMPLE/PRELOAD
01
BYPASS
FF
IDCODE
0F
Document Number: 001-88646 Rev. *A
Page 12 of 27
CYFB0072V
Maximum Ratings
Voltage applied to I/O pins ...........................–0.3 V to 3.75 V
Exceeding maximum ratings may shorten the useful life of the
device. These user guidelines are not tested.
Storage temperature (without bias) ........ –65 C to +150 C
Output current into outputs (LOW) ............................. 24 mA
Static discharge voltage
(per MIL–STD–883, Method 3015) ......................... > 2001 V
Operating Range
Ambient temperature with
power applied ......................................... –55 C to +125 C
Range
Core supply voltage 1 (VCC1) to
ground potential .............................................–0.3 V to 2.5 V
Ambient Temperature
–40 C to +85 C
Industrial
Core supply voltage 2 (VCC2) to
ground potential ...........................................–0.3 V to 1.65 V
Latch up current ................................................ >100 mA
I/O port supply voltage (VCCIO) ......................–0.3 V to 3.7 V
Recommended DC Operating Conditions
Parameter [4]
Min
Typ
Max
Unit
VCC1
Core supply voltage 1
1.70
1.80
1.90
V
VCC2
Core supply voltage 2
1.425
1.5
1.575
V
VREF
Reference voltage (irrespective of I/O standard used)
0.7
0.75
0.8
V
VPU
Input CMOS Voltage level for the Frame Buffer
LVCMOS33
3.00
3.30
3.60
V
LVCMOS18
1.70
1.8
1.90
V
LVCMOS33
3.00
3.30
3.60
V
LVCMOS18
1.70
1.8
1.90
V
Min
Typ
Max
Unit
VCCIO
Description
I/O supply voltage, read and write banks.
Electrical Characteristics
Parameter
ICC
Description
Active current
Conditions
VCC1 = VCC1MAX
–
–
300
mA
VCC2 = VCC2MAX
(All I/O switching, 133 MHz)
–
–
600
mA
VCCIO = VCCIOMAX
(All outputs disabled)
–
–
100
mA
VIN = VCCIOmax to 0 V
–15
–
15
µA
II
Input pin leakage current
IOZ
I/O pin leakage current
VO = VCCIOmax to 0 V
–15
–
15
µA
CP
Capacitance for TMS and TCK
–
–
–
16
pF
CPIO
Capacitance for all other pins
except TMS and TCK
–
–
–
8
pF
Note
4. Device operation guaranteed for a supply rate > 1 V / µs.
Document Number: 001-88646 Rev. *A
Page 13 of 27
CYFB0072V
I/O Characteristics
(Over the operating range)
I/O standard
Nominal
I/O supply
voltage
LVCMOS33
3.3 V
LVCMOS18
1.8 V
Input Voltage (V)
VIL(max)
Output voltage (V)
VIH(min)
VOL(max)
0.80
2.20
30% VCCIO
65% VCCIO
Output Current (mA)
VOH(min)
IOL(max)
IOH(max)
0.45
2.40
24
24
0.45
VCCIO – 0.45
16
16
Latency Table
Latency Parameter
Number of cycles
Detail
LREN_TO_DATA
4
Latency when REN is asserted low to first data output from Frame Buffer.
LREN_TO_CONFIG
4
Latency when REN is asserted along with LD to first data read from configuration
registers.
LIN
Max = 26 [5]
Initial latency for data read after Frame Buffer goes empty during simultaneous
read/write.
LFF_ASSERT
Max = 4
Last data write to FF going low.
LEF_ASSERT
0
Last data read to EF going low.
LFF_DEASSERT
8 [5]
LEF_DEASSERT
Max = 24
LPRS_TO_ACTIVE
32
LMAILBOX
2
Read to FF going high.
[5]
[5]
Write to EF going high.
PRS de-assert to normal operation.
Latency from write port to read port when MB = 1 (w.r.t. WCLK).
Note
5. These latency values are valid for a clock ratio of 1.
Document Number: 001-88646 Rev. *A
Page 14 of 27
CYFB0072V
AC Test Load Conditions
Figure 5. AC Test Load Conditions
30
0.9 V
(a) VCCIO = 1.8 Volt
30
(b) VCCIO = 3.3 Volt
(c) All Input Pulses
Document Number: 001-88646 Rev. *A
Page 15 of 27
CYFB0072V
Switching Characteristics
Parameter
-133
Description
Min
Max
Unit
tPU
Power-up time after all supplies reach minimum value
–
2
ms
tS
Clock cycle frequency
3.3 V LVCMOS
24
133
MHz
tS
Clock cycle frequency
1.8 V LVCMOS
24
133
MHz
tA
Data access time
–
10
ns
tCLK
Clock cycle time
7.5
41.67
ns
tCLKH
Clock high time
3.375
–
ns
tCLKL
Clock low time
3.375
–
ns
tDS
Data setup time
3
–
ns
tDH
Data hold time
3
–
ns
tENS
Enable setup time
3
–
ns
tENH
Enable hold time
3
–
ns
tENS_SI
Setup time for SPI_SI and SPI_SEN pins
5
–
ns
tENH_SI
Hold time for SPI_SI and SPI_SEN pins
5
–
ns
tRATE_SPI
Frequency of SCLK
–
25
MHz
tRS
Reset pulse width
100
–
ns
tRSF
Reset to flag output time
–
50
ns
tOLZ
Output enable to output in Low Z
4
15
ns
tOE
Output enable to output valid
–
15
ns
tOHZ
Output enable to output in High Z
–
15
ns
tWFF
Write clock to FF
–
8.5
ns
tREF
Read clock to EF
–
8.5
ns
tPLL
Time required to synchronize PLL
–
1024
cycles
tRATE_JTAG
JTAG TCK cycle time
100
–
ns
tS_JTAG
Setup time for JTAG TMS,TDI
8
–
ns
tH_JTAG
Hold time for JTAG TMS,TDI
8
–
ns
tCO_JTAG
JTAG TCK low to TDO valid
–
20
ns
Document Number: 001-88646 Rev. *A
Page 16 of 27
CYFB0072V
Switching Waveforms
Figure 6. Write Cycle Timing
tCLK
tCLKH
tCLKL
WCLK
tDS
tDH
D[35:0]
tENH
tENS
WEN, IE
NO OPERATION
Figure 7. Read Cycle Timing
tCLK
RCLK
tENS
tENH
REN
NO OPERATION
LREN_TO_DATA
tA
VALID DATA
Q[35:0]
tOLZ
tOHZ
OE
DVal
Document Number: 001-88646 Rev. *A
Page 17 of 27
CYFB0072V
Switching Waveforms (continued)
Figure 8. Reset Timing
tRS
MRS / PRS
tRSF
EF
tRSF
DVal FF
tRSF
OE=1
Q[35:0]
OE=0
Figure 9. Empty Flag Timing
RCLK
tREF
EF
EF
REN
REN
OE
OE
Q[35:0]
Q(Last)-3
Q(Last)-2
Q(Last)-1
Q(Last)
Invalid Data
DVal
Figure 10. Full Flag Timing
WCLK
tDS
D[35:0]
Dn-2 (written)
Dn-1 (written)
Dn (written)
Dn+1 (not written)
Dn+2 (not written)
tWFF
FF
WEN
Document Number: 001-88646 Rev. *A
Page 18 of 27
CYFB0072V
Switching Waveforms (continued)
Figure 11. Initial Data Latency
WCLK
WEN
D[35:0]
D0
D1
D2
D3
D4
D5
D6
RCLK
tA
REN
L IN (iNITIAL LATENCY)
Q[35:0
QO
Q1
Q2
Q3
Q4
Q5
Q6
DVal
Figure 12. Flow-through Mailbox Operation
WCLK
D[35:0]
REN / WEN
DO
D1
D2
D3
D4
L MAILBOX
MB
Q[35:0]
QO
Q1
Q2
Q3
Q4
DVal
Document Number: 001-88646 Rev. *A
Page 19 of 27
CYFB0072V
Switching Waveforms (continued)
Figure 13. Configuration Register Write
WCLK
tENS
WEN
LD
tDS
D[35:0]
config-reg 0
tDH
config-reg 1
config-reg 2
config-reg 3
config-reg 4
config-reg 5
Figure 14. Configuration Register Read
RCLK
REN
LREN_TO_CONFIG
tA
LD
Q[35:0]
Reg - 1
Figure 15. Empty Flag Deassertion
WCLK
WEN / IE
D[35:0]
D0
D1
L EF_DEASSERT
EF
tREF
RCLK
REN
Document Number: 001-88646 Rev. *A
Page 20 of 27
CYFB0072V
Switching Waveforms (continued)
Figure 16. Empty Flag Assertion
0
1
1
2
2
3
3
4
4
5
RCLK
REN
tA
Q[35:0]
Q
LAST
DVal
L REN_TO_DATA
EF
tREF
L FF_RELEASE
FF
Figure 17. Full Flag Assertion
WCLK
WEN / IE
D[35:0]
D
0
D
1
D
x
D
LAST-1
D
LAST
NOT
WRITTEN
NOT
WRITTEN
FF
Document Number: 001-88646 Rev. *A
Page 21 of 27
CYFB0072V
Switching Waveforms (continued)
Figure 18. Full Flag Deassertion
0
1
2
3
8
WCLK
WEN / IE
D[35:0]
D
LAST-5
D
LAST-4
D
LAST-3
D
LAST-2
D
LAST-1
D
LAST
L FF_DEASSERT
FF
RCLK
REN
Document Number: 001-88646 Rev. *A
Page 22 of 27
CYFB0072V
Ordering Information
Speed [6]
(MHz)
Ordering Code
Package
Diagram
Package Type
Operating
Range
133
CYFB0072V18L-133BGXI
51-85167 209-ball FBGA (14 × 22 × 1.76 mm)
Industrial
133
CYFB0072V33L-133BGXI
51-85167 209-ball FBGA (14 × 22 × 1.76 mm)
Industrial
Ordering Code Definitions
CY FB 0 072 VXX L - 133 BG X
I
Temperature Grade:
I = Industrial = –40 °C to +85 °C
Pb-free
Package Type:
BG = 209-ball FBGA
Speed Grade: 133 MHz
I/O Standard: L = LVCMOS
I/O Voltage: VXX = V18 or V33
V18 = 1.8 V; V33 = 3.3 V
Density: 072 = 72M
Single Queue
Family Code: Frame Buffer
Company ID: CY = Cypress
Note
6. For device operating at 150-MHz, Contact Sales.
Document Number: 001-88646 Rev. *A
Page 23 of 27
CYFB0072V
Package Diagram
Figure 19. 209-ball FBGA (14 × 22 × 1.76 mm) BB209A Package Outline, 51-85167
51-85167 *C
Document Number: 001-88646 Rev. *A
Page 24 of 27
CYFB0072V
Acronyms
Acronym
Document Conventions
Description
Units of Measure
FF
Full Flag
FIFO
First In First Out
°C
degree Celsius
IE
Input Enable
MHz
megahertz
I/O
Input/Output
A
microampere
FBGA
Fine-Pitch Ball Grid Array
mA
milliampere
JTAG
Joint Test Action Group
mm
millimeter
ms
millisecond
ns
nanosecond

ohm
Symbol
Unit of Measure
LSB
Least Significant Bit
LVCMOS
Low Voltage Complementary Metal Oxide
Semiconductor
MB
Mailbox
pF
picofarad
MRS
Master Reset
V
volt
MSB
Most Significant Bit
W
watt
OE
Output Enable
PRS
Partial Reset
RCLK
Read Clock
REN
Read Enable
RCLK
Read Clock
SCLK
Serial Clock
TCK
Test Clock
TDI
Test Data In
TDO
Test Data Out
TMS
Test Mode Select
WCLK
Write Clock
WEN
Write Enable
Document Number: 001-88646 Rev. *A
Page 25 of 27
CYFB0072V
Document History Page
Document Title: CYFB0072V, 72-Mbit Video Frame Buffer
Document Number: 001-88646
Revision
ECN
Orig. of
Change
Submission
Date
**
4084048
SMCH
09/16/2013
New data sheet.
*A
4136715
SMCH
09/26/2013
Changed status from Preliminary to Final.
Description of Change
Updated Features:
Updated the sub-features under Memory organization.
Updated Pin Configuration:
Updated Figure 1.
Updated Pin Definitions:
Added VPD pin details.
Added Note 3 and referred the same note for VSS and VPD pins.
Updated Architecture:
Updated Reset Logic:
Updated description.
Updated Programming Configuration Registers:
Updated description.
Document Number: 001-88646 Rev. *A
Page 26 of 27
CYFB0072V
Sales, Solutions, and Legal Information
Worldwide Sales and Design Support
Cypress maintains a worldwide network of offices, solution centers, manufacturer’s representatives, and distributors. To find the office
closest to you, visit us at Cypress Locations.
PSoC® Solutions
Products
Automotive
Clocks & Buffers
Interface
Lighting & Power Control
cypress.com/go/automotive
cypress.com/go/clocks
cypress.com/go/interface
cypress.com/go/powerpsoc
psoc.cypress.com/solutions
PSoC 1 | PSoC 3 | PSoC 4 | PSoC 5LP
Cypress Developer Community
Community | Forums | Blogs | Video | Training
cypress.com/go/plc
Memory
PSoC
Touch Sensing
cypress.com/go/memory
cypress.com/go/psoc
cypress.com/go/support
cypress.com/go/touch
USB Controllers
Wireless/RF
Technical Support
cypress.com/go/USB
cypress.com/go/wireless
© Cypress Semiconductor Corporation, 2013. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any
circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical,
life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical
components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in life-support systems
application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges.
Any Source Code (software and/or firmware) is owned by Cypress Semiconductor Corporation (Cypress) and is protected by and subject to worldwide patent protection (United States and foreign),
United States copyright laws and international treaty provisions. Cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of,
and compile the Cypress Source Code and derivative works for the sole purpose of creating custom software and or firmware in support of licensee product to be used only in conjunction with a Cypress
integrated circuit as specified in the applicable agreement. Any reproduction, modification, translation, compilation, or representation of this Source Code except as specified above is prohibited without
the express written permission of Cypress.
Disclaimer: CYPRESS MAKES NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. Cypress reserves the right to make changes without further notice to the materials described herein. Cypress does not
assume any liability arising out of the application or use of any product or circuit described herein. Cypress does not authorize its products for use as critical components in life-support systems where
a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress’ product in a life-support systems application implies that the manufacturer
assumes all risk of such use and in doing so indemnifies Cypress against all charges.
Use may be limited by and subject to the applicable Cypress software license agreement.
Document Number: 001-88646 Rev. *A
Revised September 26, 2013
All products and company names mentioned in this document may be the trademarks of their respective holders.
Page 27 of 27