RPC56EL60L5 - STMicroelectronics

RPC56EL60L5
32-bit Power Architecture® microcontroller
for Aerospace and Defense, SIL3/ASILD safety applications
Datasheet - production data
LQFP144 (20 x 20 x 1.4 mm)







High-performance e200z4d dual core
32-bit Power Architecture® technology CPU
Core frequency as high as 120 MHz
Dual issue five-stage pipeline core
Variable Length Encoding (VLE)
Memory Management Unit (MMU)
4 KB instruction cache with error detection
code
 Signal processing engine (SPE)
 Memory available
– 1 MB flash memory with ECC
– 128 KB on-chip SRAM with ECC
– Built-in RWW capabilities for EEPROM
emulation
 SIL3/ASILD innovative safety concept:
LockStep mode and Fail-safe protection
– Sphere of replication (SoR) for key
components (such as CPU core, eDMA,
crossbar switch)
– FCCU, interrupt controller
– Redundancy control and checker unit
(RCCU) on outputs of the SoR connected
to FCCU
– Boot-time Built-In Self-Test for Memory
(MBIST) and Logic (LBIST) triggered by
hardware
– Boot-time Built-In Self-Test for ADC and
flash memory triggered by software
– Replicated safety enhanced watchdog
– Replicated junction temperature sensor
– Non-maskable interrupt (NMI)
– 16-region memory protection unit (MPU)
– Clock monitoring units (CMU)
This is information on a product in full production.
 Decoupled Parallel mode for high-performance
use of replicated cores
 Nexus Class 3+ interface
Features
September 2014
– Power management unit (PMU)
– Cyclic redundancy check (CRC) unit
 GPIOs individually programmable as input,
output or special function
 Three 6-channel general-purpose eTimer units
 2 FlexPWM units: 4 16-bit channels per module
 Communications interfaces
– 2 LINFlexD channels
– 3 DSPI channels
– 2 FlexCAN interfaces (2.0B Active)
– FlexRay module (V2.1 Rev. A)
 Two 12-bit analog-to-digital converters (ADCs)
– 16 input channels
– Programmable CTU to synchronize ADCs
conversion with timer and PWM
 Sine wave generator (D/A with low pass filter)
 Single 3.0 V to 3.6 V voltage supply
 Ambient temperature range –40 °C to 125 °C
 Junction temperature range –40 °C to 150 °C
 Aerospace and Defense features
– Dedicated traceability and part marking
– Production parts approval documents
available
– Adapted Extended life time and
obsolescence management
– Extended Product Change Notification
process
– Designed and manufactured to meet sub
ppm quality goals
– Advanced mold and frame designs for
Superior resilience to harsh environment
(acceleration, EMI, thermal, humidity)
– Single Fabrication, Assembly and Test site
– Dual internal production source capability
DocID026934 Rev 1
1/137
www.st.com
Contents
RPC56EL60L5
Contents
1
2/137
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
1.1
Document overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
1.2
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
1.3
Device comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
1.4
Block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.5
Feature details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
1.5.1
High-performance e200z4d core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
1.5.2
Crossbar switch (XBAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
1.5.3
Memory Protection Unit (MPU) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
1.5.4
Enhanced Direct Memory Access (eDMA) . . . . . . . . . . . . . . . . . . . . . . 14
1.5.5
On-chip flash memory with ECC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
1.5.6
On-chip SRAM with ECC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
1.5.7
Platform flash memory controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1.5.8
Platform Static RAM Controller (SRAMC) . . . . . . . . . . . . . . . . . . . . . . . 16
1.5.9
Memory subsystem access time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.5.10
Error Correction Status Module (ECSM) . . . . . . . . . . . . . . . . . . . . . . . . 17
1.5.11
Peripheral bridge (PBRIDGE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
1.5.12
Interrupt Controller (INTC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
1.5.13
System clocks and clock generation . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
1.5.14
Frequency-Modulated Phase-Locked Loop (FMPLL) . . . . . . . . . . . . . . 20
1.5.15
Main oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
1.5.16
Internal Reference Clock (RC) oscillator . . . . . . . . . . . . . . . . . . . . . . . . 20
1.5.17
Clock, reset, power, mode and test control modules (MC_CGM,
MC_RGM, MC_PCU, and MC_ME) . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
1.5.18
Periodic Interrupt Timer Module (PIT) . . . . . . . . . . . . . . . . . . . . . . . . . . 21
1.5.19
System Timer Module (STM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
1.5.20
Software Watchdog Timer (SWT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
1.5.21
Fault Collection and Control Unit (FCCU) . . . . . . . . . . . . . . . . . . . . . . . 22
1.5.22
System Integration Unit Lite (SIUL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
1.5.23
Non-Maskable Interrupt (NMI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
1.5.24
Boot Assist Module (BAM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
1.5.25
System Status and Configuration Module (SSCM) . . . . . . . . . . . . . . . . 23
1.5.26
FlexCAN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
1.5.27
FlexRay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
DocID026934 Rev 1
RPC56EL60L5
2
3
Contents
1.5.28
Serial communication interface module (LINFlexD) . . . . . . . . . . . . . . . 25
1.5.29
Deserial Serial Peripheral Interface (DSPI) . . . . . . . . . . . . . . . . . . . . . . 25
1.5.30
FlexPWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
1.5.31
eTimer module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
1.5.32
Sine Wave Generator (SWG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
1.5.33
Analog-to-Digital Converter module (ADC) . . . . . . . . . . . . . . . . . . . . . . 28
1.5.34
Cross Triggering Unit (CTU) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
1.5.35
Cyclic Redundancy Checker (CRC) Unit . . . . . . . . . . . . . . . . . . . . . . . . 29
1.5.36
Redundancy Control and Checker Unit (RCCU) . . . . . . . . . . . . . . . . . . 29
1.5.37
Junction temperature sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
1.5.38
Nexus Port Controller (NPC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
1.5.39
IEEE 1149.1 JTAG Controller (JTAGC) . . . . . . . . . . . . . . . . . . . . . . . . . 31
1.5.40
Voltage regulator / Power Management Unit (PMU) . . . . . . . . . . . . . . . 32
1.5.41
Built-In Self-Test (BIST) capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Package pinouts and signal descriptions . . . . . . . . . . . . . . . . . . . . . . . 33
2.1
Package pinouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
2.2
Supply pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
2.3
System pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
2.4
Pin muxing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
3.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
3.2
Absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
3.3
Recommended operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
3.4
Thermal characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
3.4.1
General notes for specifications at maximum junction temperature . . . 87
3.5
Electromagnetic Interference (EMI) characteristics . . . . . . . . . . . . . . . . . 89
3.6
Electrostatic discharge (ESD) characteristics . . . . . . . . . . . . . . . . . . . . . 90
3.7
Static latch-up (LU) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
3.8
Voltage regulator electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . 91
3.9
DC electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
3.10
Supply current characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
3.11
Temperature sensor electrical characteristics . . . . . . . . . . . . . . . . . . . . . 99
3.12
Main oscillator electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . 99
DocID026934 Rev 1
3/137
4
Contents
RPC56EL60L5
3.13
FMPLL electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
3.14
16 MHz RC oscillator electrical characteristics . . . . . . . . . . . . . . . . . . . 102
3.15
ADC electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
3.15.1
3.16
Flash memory electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . 108
3.17
SWG electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110
3.18
AC specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
3.18.1
3.19
3.20
4
Input Impedance and ADC Accuracy . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Pad AC specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Reset sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112
3.19.1
Reset sequence duration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
3.19.2
Reset sequence description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
3.19.3
Reset sequence trigger mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
3.19.4
Reset sequence — start condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
3.19.5
External watchdog window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
AC timing characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118
3.20.1
RESET pin characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
3.20.2
WKUP/NMI timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
3.20.3
IEEE 1149.1 JTAG interface timing . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
3.20.4
Nexus timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
3.20.5
External interrupt timing (IRQ pin) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
3.20.6
DSPI timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Package characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
4.1
ECOPACK® . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
4.2
Package mechanical data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
5
Ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
6
Revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
4/137
DocID026934 Rev 1
RPC56EL60L5
List of tables
List of tables
Table 1.
Table 2.
Table 3.
Table 4.
Table 5.
Table 6.
Table 7.
Table 8.
Table 9.
Table 10.
Table 11.
Table 12.
Table 13.
Table 14.
Table 15.
Table 16.
Table 17.
Table 18.
Table 19.
Table 20.
Table 21.
Table 22.
Table 23.
Table 24.
Table 25.
Table 26.
Table 27.
Table 28.
Table 29.
Table 30.
Table 31.
Table 32.
Table 33.
Table 34.
Table 35.
Table 36.
Table 37.
Table 38.
Table 39.
Table 40.
Table 41.
RPC56EL60L5 device summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
Platform memory access time summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
LQFP144 pin function summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
LFBGA257 pin function summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Supply pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
System pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Pin muxing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Recommended operating conditions (3.3 V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Thermal characteristics for LQFP144 package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Thermal characteristics for LFBGA257 package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
EMI configuration summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
EMI emission testing specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
ESD ratings, . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Latch-up results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
Voltage regulator electrical specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
DC electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Current consumption characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Temperature sensor electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Main oscillator electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
FMPLL electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
16 MHz RC oscillator electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
ADC conversion characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
Flash memory program and erase electrical specifications . . . . . . . . . . . . . . . . . . . . . . . 108
Flash memory timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
Flash memory module life. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
RPC56EL60L5 SWG Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
Pad AC specifications (3.3 V , IPP_HVE = 0 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
RESET sequences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
Reset sequence trigger — reset sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
Voltage Thresholds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
RESET electrical characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
WKUP/NMI glitch filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
JTAG pin AC electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
Nexus debug port timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
External interrupt timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
DSPI timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
LQFP144 mechanical data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
LFBGA257 mechanical data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
DocID026934 Rev 1
5/137
5
List of figures
RPC56EL60L5
List of figures
Figure 1.
Figure 2.
Figure 3.
Figure 4.
Figure 5.
Figure 6.
Figure 7.
Figure 8.
Figure 9.
Figure 10.
Figure 11.
Figure 12.
Figure 13.
Figure 14.
Figure 15.
Figure 16.
Figure 17.
Figure 18.
Figure 19.
Figure 20.
Figure 21.
Figure 22.
Figure 23.
Figure 24.
Figure 25.
Figure 26.
Figure 27.
Figure 28.
Figure 29.
Figure 30.
Figure 31.
Figure 32.
Figure 33.
Figure 34.
Figure 35.
Figure 36.
Figure 37.
Figure 38.
Figure 39.
Figure 40.
Figure 41.
6/137
RPC56EL60L5 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
RPC56EL60L5 LQFP144 pinout (top view) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
RPC56EL60L5 LFBGA257 pinout (top view) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
BCP68 board schematic example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
Crystal oscillator and resonator connection scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Main oscillator electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
ADC characteristics and error definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Input Equivalent Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Transient Behavior during Sampling Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Spectral representation of input signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
Pad output delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
Destructive Reset Sequence, BIST enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Destructive Reset Sequence, BIST disabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
External Reset Sequence Long, BIST enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Functional Reset Sequence Long. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Functional Reset Sequence Short . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Reset sequence start for Destructive Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
Reset sequence start via RESET assertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
Reset sequence - External watchdog trigger window position . . . . . . . . . . . . . . . . . . . . . 117
Start-up reset requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Noise filtering on reset signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
JTAG test clock input timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
JTAG test access port timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
JTAG boundary scan timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Nexus output timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Nexus EVTI Input Pulse Width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Nexus Double Data Rate (DDR) Mode output timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
Nexus TDI, TMS, TDO timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
External interrupt timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
DSPI classic SPI timing — master, CPHA = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
DSPI classic SPI timing — master, CPHA = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
DSPI classic SPI timing — slave, CPHA = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
DSPI classic SPI timing — slave, CPHA = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
DSPI modified transfer format timing — master, CPHA = 0 . . . . . . . . . . . . . . . . . . . . . . . 128
DSPI modified transfer format timing — master, CPHA = 1 . . . . . . . . . . . . . . . . . . . . . . . 129
DSPI modified transfer format timing – slave, CPHA = 0 . . . . . . . . . . . . . . . . . . . . . . . . . 129
DSPI modified transfer format timing — slave, CPHA = 1 . . . . . . . . . . . . . . . . . . . . . . . . 130
DSPI PCS strobe (PCSS) timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
LQFP144 package mechanical drawing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
LFBGA257 package mechanical drawing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Commercial product code structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
DocID026934 Rev 1
RPC56EL60L5
1
Introduction
1.1
Document overview
Introduction
This document describes the features of the family and options available within the family
members, and highlights important electrical and physical characteristics of the devices.
This document provides electrical specifications, pin assignments, and package diagrams
for the RPC56EL60L5 series of microcontroller units (MCUs). Microcontroller Reference
Manual and Safety Application Guide are available on request.
1.2
Description
The RPC56EL60L5 series microcontrollers are system-on-chip devices that are built on
Power Architecture technology and contain enhancements that improve the architecture’s fit
in embedded applications, include additional instruction support for digital signal processing
(DSP) and integrate technologies such as an enhanced time processor unit, enhanced
queued analog-to-digital converter, Controller Area Network, and an enhanced modular
input-output system.
The RPC56EL60L5 family of 32-bit microcontrollers is designed to address electrical
hydraulic power steering (EHPS), electric power steering (EPS) and airbag applications.
The advanced and cost-efficient host processor core of the RPC56EL60L5 controller family
complies with the Power Architecture embedded category. It operates at speeds as high as
120 MHz and offers high-performance processing optimized for low power consumption. It
capitalizes on the available development infrastructure of current Power Architecture
devices and is supported with software drivers, operating systems and configuration code to
assist with users’ implementations.
1.3
Device comparison
DocID026934 Rev 1
7/137
136
Introduction
RPC56EL60L5
Table 1. RPC56EL60L5 device summary
Feature
RPC56EL60
2 × e200z4
(in lock-step or decoupled operation)
Type
Architecture
Harvard
Execution speed
0–120 MHz (+2% FM)
DMIPS intrinsic performance
>240 MIPS
SIMD (DSP + FPU)
CPU
Yes
MMU
16 entry
Instruction set PPC
Yes
Instruction set VLE
Yes
Instruction cache
4 KB, EDC
MPU-16 regions
Yes, replicated module
Semaphore unit (SEMA4)
Yes
Core bus
AHB, 32-bit address, 64-bit data
Buses
Internal periphery bus
Crossbar
32-bit address, 32-bit data
Lock Step Mode: 4 × 3
Decoupled Parallel Mode: 6 × 3
Master × slave ports
Flash
1 MB, ECC, RWW
Memory
Static RAM (SRAM)
128 KB, ECC
Interrupt Controller (INTC)
16 interrupt levels, replicated module
Periodic Interrupt Timer (PIT)
1 × 4 channels
System Timer Module (STM)
1 × 4 channels, replicated module
Software Watchdog Timer (SWT)
eDMA
Modules
16 channels, replicated module
FlexRay
1 × 64 message buffers, dual channel
FlexCAN
2 × 32 message buffers
LINFlexD (UART and LIN with DMA support)
2
Clock out
Yes
Fault Collection and Control Unit (FCCU)
Yes
Cross Triggering Unit (CTU)
Yes
3 × 6 channels(1)
eTimer
2 Module 4 × (2 + 1) channels(2)
FlexPWM
Analog-to-Digital Converter (ADC)
Sine Wave Generator (SWG)
8/137
Yes, replicated module
2 × 12-bit ADC, 16 channels per ADC
(3 internal, 4 shared and 9 external)
32 point
DocID026934 Rev 1
RPC56EL60L5
Introduction
Table 1. RPC56EL60L5 device summary (continued)
Feature
RPC56EL60
Deserial Serial Peripheral Interface (DSPI)
Modules
(cont.)
Cyclic Redundancy Checker (CRC) unit
Junction temperature sensor (TSENS)
Device power supply
Analog reference voltage
3.0 V – 3.6 V and 4.5 V – 5.5 V
Frequency-modulated phase-locked loop (FMPLL)
Internal RC oscillator
2
16 MHz
External crystal oscillator
4 – 40 MHz
Nexus
Level 3+
LQFP
144 pins
Packages
LBGA
Temperature
Yes, replicated module
3.3 V with integrated bypassable ballast
transistor
External ballast transistor not needed for bare
die
Supply
Debug
Yes
 16
Digital I/Os
Clocking
3 × DSPI
as many as 8 chip selects
(3)
LBGA257
Temperature range (junction)
–40 to 150 °C
Ambient temperature range using external ballast
transistor (LQFP)
–40 to 125 °C
1. The third eTimer (eTimer_2) is available with external I/O access only in the BGA package, on the LQFP package eTimer_2
is available internally only without any external I/O access.
2. The second FlexPWM module is available only in the BGA package.
3. LBGA257 available only as development package.
DocID026934 Rev 1
9/137
136
Introduction
1.4
RPC56EL60L5
Block diagram
Figure 1 shows a top-level block diagram of the RPC56EL60L5 device.
PMU
JTAG
Nexus
e200z4
SWT
ECSM
STM
INTC
SPE
VLE
VLE
ECSM
STM
INTC
MMU
FlexRay
I-CACHE
eDMA
SWT
SPE
MMU
SEMA4
e200z4
SEMA4
I-CACHE
eDMA
RC
Crossbar Switch
Crossbar Switch
Memory Protection Unit
Memory Protection Unit
ECC logic for SRAM
ECC logic for SRAM
PBRIDGE
RC
TSENS
PBRIDGE
RC
Flash memory
ECC bits + logic
TSENS
SRAM
ECC bits
ADC
BAM
CMU
CRC
CTU
DSPI
ECC
ECSM
eDMA
FCCU
FlexCAN
FMPLL
INTC
IRCOSC
JTAG
– Analog-to-Digital Converter
– Boot Assist Module
– Clock Monitoring Unit
– Cyclic Redundancy Check unit
– Cross Triggering Unit
– Serial Peripherals Interface
– Error Correction Code
– Error Correction Status Module
– Enhanced Direct Memory Access controller
– Fault Collection and Control Unit
– Controller Area Network controller
– Frequency Modulated Phase Locked Loop
– Interrupt Controller
– Internal RC Oscillator
– Joint Test Action Group interface
LINFlexD
MC
PBRIDGE
PIT
PMU
RC
RTC
SEMA4
SIUL
SSCM
STM
SWG
SWT
TSENS
XOSC
DocID026934 Rev 1
PIT
FCCU
SWG
CRC
DSPI
DSPI
– LIN controller with DMA support
– Mode Entry, Clock, Reset, & Power
– Peripheral bridge
– Periodic Interrupt Timer
– Power Management Unit
– Redundancy Checker
– Real Time Clock
– Semaphore Unit
– System Integration Unit Lite
– System Status and Configuration Module
– System Timer Module
– Sine Wave Generator
– Software Watchdog Timer
– Temperature Sensor
– Crystal Oscillator
Figure 1. RPC56EL60L5 block diagram
10/137
DSPI
LINFlexD
LINFlexD
FlexCAN
FlexCAN
eTimer
eTimer
eTimer
FlexPWM
FlexPWM
CMU
IRCOSC
ADC
CTU
FMPLL
WakeUp
CMU
Secondary FMPLL
SIUL
CMU
SSCM
XOSC
ADC
BAM
MC
RC
RPC56EL60L5

Introduction
High-performance e200z4d dual core
–


32-bit Power Architecture® technology CPU
–
Core frequency as high as 120 MHz
–
Dual issue five-stage pipeline core
–
Variable Length Encoding (VLE)
–
Memory Management Unit (MMU)
–
4 KB instruction cache with error detection code
–
Signal processing engine (SPE)
Memory available
–
1 MB flash memory with ECC
–
128 KB on-chip SRAM with ECC
–
Built-in RWW capabilities for EEPROM emulation
SIL3/ASILD innovative safety concept: LockStep mode and Fail-safe protection
–
Sphere of replication (SoR) for key components (such as CPU core, eDMA,
crossbar switch)
–
Fault collection and control unit (FCCU)
–
Redundancy control and checker unit (RCCU) on outputs of the SoR connected to
FCCU
–
Boot-time Built-In Self-Test for Memory (MBIST) and Logic (LBIST) triggered by
hardware
–
Boot-time Built-In Self-Test for ADC and flash memory triggered by software
–
Replicated safety enhanced watchdog
–
Replicated junction temperature sensor
–
Non-maskable interrupt (NMI)
–
16-region memory protection unit (MPU)
–
Clock monitoring units (CMU)
–
Power management unit (PMU)
–
Cyclic redundancy check (CRC) unit

Decoupled Parallel mode for high-performance use of replicated cores

Nexus Class 3+ interface

Interrupts
–
Replicated 16-priority controller
–
Replicated 16-channel eDMA controller

GPIOs individually programmable as input, output or special function

Three 6-channel general-purpose eTimer units

2 FlexPWM units
–

Four 16-bit channels per module
Communications interfaces
–
2 LINFlexD channels
–
3 DSPI channels with automatic chip select generation
–
2 FlexCAN interfaces (2.0B Active) with 32 message objects
DocID026934 Rev 1
11/137
136
Introduction
RPC56EL60L5
–

12/137
FlexRay module (V2.1 Rev. A) with 2 channels, 64 message buffers and data
rates up to 10 Mbit/s
Two 12-bit analog-to-digital converters (ADCs)
–
16 input channels
–
Programmable cross triggering unit (CTU) to synchronize ADCs conversion with
timer and PWM

Sine wave generator (D/A with low pass filter)

On-chip CAN/UART bootstrap loader

Single 3.0 V to 3.6 V voltage supply

Ambient temperature range –40 °C to 125 °C

Junction temperature range –40 °C to 150 °C
DocID026934 Rev 1
RPC56EL60L5
Introduction
1.5
Feature details
1.5.1
High-performance e200z4d core
The e200z4d Power Architecture® core provides the following features:

2 independent execution units, both supporting fixed-point and floating-point operations

Dual issue 32-bit Power Architecture technology compliant

–
5-stage pipeline (IF, DEC, EX1, EX2, WB)
–
In-order execution and instruction retirement
Full support for Power Architecture instruction set and Variable Length Encoding (VLE)
–
Mix of classic 32-bit and 16-bit instruction allowed
–
Optimization of code size possible

Thirty-two 64-bit general purpose registers (GPRs)

Harvard bus (32-bit address, 64-bit data)

–
I-Bus interface capable of one outstanding transaction plus one piped with no waiton-data return
–
D-Bus interface capable of two transactions outstanding to fill AHB pipe
I-cache and I-cache controller
–
4 KB, 256-bit cache line (programmable for 2- or 4-way)

No data cache

16-entry MMU

8-entry branch table buffer

Branch look-ahead instruction buffer to accelerate branching

Dedicated branch address calculator

3 cycles worst case for missed branch

Load/store unit
–
Fully pipelined
–
Single-cycle load latency
–
Big- and little-endian modes supported
–
Misaligned access support
–
Single stall cycle on load to use

Single-cycle throughput (2-cycle latency) integer 32 × 32 multiplication

4 – 14 cycles integer 32 × 32 division (average division on various benchmark of nine
cycles)

Single precision floating-point unit

–
1 cycle throughput (2-cycle latency) floating-point 32 × 32 multiplication
–
Target 9 cycles (worst case acceptable is 12 cycles) throughput floating-point 32 ×
32 division
–
Special square root and min/max function implemented
Signal processing support: APU-SPE 1.1
–
Support for vectorized mode: as many as two floating-point instructions per clock

Vectored interrupt support

Reservation instruction to support read-modify-write constructs
DocID026934 Rev 1
13/137
136
Introduction

1.5.2
RPC56EL60L5
Extensive system development and tracing support via Nexus debug port
Crossbar switch (XBAR)
The XBAR multi-port crossbar switch supports simultaneous connections between four
master ports and three slave ports. The crossbar supports a 32-bit address bus width and a
64-bit data bus width.
The crossbar allows four concurrent transactions to occur from any master port to any slave
port, although one of those transfers must be an instruction fetch from internal flash
memory. If a slave port is simultaneously requested by more than one master port,
arbitration logic selects the higher priority master and grants it ownership of the slave port.
All other masters requesting that slave port are stalled until the higher priority master
completes its transactions.
The crossbar provides the following features:

4 masters and 3 slaves supported per each replicated crossbar
–
Masters allocation for each crossbar: e200z4d core with two independent bus
interface units (BIU) for I and D access (2 masters), one eDMA, one FlexRay
–
Slaves allocation for each crossbar: a redundant flash-memory controller with 2
slave ports to guarantee maximum flexibility to handle Instruction and Data array,
one redundant SRAM controller with 1 slave port each and 1 redundant peripheral
bus bridge

32-bit address bus and 64-bit data bus

Programmable arbitration priority
–

Requesting masters can be treated with equal priority and are granted access to a
slave port in round-robin method, based upon the ID of the last master to be
granted access or a priority order can be assigned by software at application run
time
Temporary dynamic priority elevation of masters
The XBAR is replicated for each processing channel.
1.5.3
Memory Protection Unit (MPU)
The Memory Protection Unit splits the physical memory into 16 different regions. Each
master (eDMA, FlexRay, CPU) can be assigned different access rights to each region.

16-region MPU with concurrent checks against each master access

32-byte granularity for protected address region
The memory protection unit is replicated for each processing channel.
1.5.4
Enhanced Direct Memory Access (eDMA)
The enhanced direct memory access (eDMA) controller is a second-generation module
capable of performing complex data movements via 16 programmable channels, with
minimal intervention from the host processor. The hardware microarchitecture includes a
DMA engine which performs source and destination address calculations, and the actual
data movement operations, along with an SRAM-based memory containing the transfer
control descriptors (TCD) for the channels. This implementation is used to minimize the
overall block size.
14/137
DocID026934 Rev 1
RPC56EL60L5
Introduction
The eDMA module provides the following features:

16 channels supporting 8-, 16-, and 32-bit value single or block transfers

Support variable sized queues and circular buffered queue

Source and destination address registers independently configured to post-increment
or stay constant

Support major and minor loop offset

Support minor and major loop done signals

DMA task initiated either by hardware requestor or by software

Each DMA task can optionally generate an interrupt at completion and retirement of the
task

Signal to indicate closure of last minor loop

Transfer control descriptors mapped inside the SRAM
The eDMA controller is replicated for each processing channel.
1.5.5
On-chip flash memory with ECC
This device includes programmable, non-volatile flash memory. The non-volatile memory
(NVM) can be used for instruction storage or data storage, or both. The flash memory
module interfaces with the system bus through a dedicated flash memory array controller. It
supports a 64-bit data bus width at the system bus port, and a 128-bit read data interface to
flash memory. The module contains four 128-bit prefetch buffers. Prefetch buffer hits allow
no-wait responses. Buffer misses incur a 3 wait state response at 120 MHz.
The flash memory module provides the following features
1.5.6

1 MB of flash memory in unique multi-partitioned hard macro

Sectorization: 16 KB + 2 × 48 KB + 16 KB + 2 × 64 KB + 2 × 128 KB + 2 × 256 KB

EEPROM emulation (in software) within same module but on different partition

16 KB test sector and 16 KB shadow block for test, censorship device and user option
bits

Wait states:
–
3 wait states for frequencies =< 120 MHz
–
2 wait states for frequencies =< 80 MHz
–
1 wait state for frequencies =< 60 MHz

Flash memory line 128-bit wide with 8-bit ECC on 64-bit word (total 144 bits)

Accessed via a 64-bit wide bus for write and a 128-bit wide array for read operations

1-bit error correction, 2-bit error detection
On-chip SRAM with ECC
The RPC56EL60L5 SRAM provides a general-purpose single port memory.
ECC handling is done on a 32-bit boundary for data and it is extended to the address to
have the highest possible diagnostic coverage including the array internal address decoder.
DocID026934 Rev 1
15/137
136
Introduction
RPC56EL60L5
The SRAM module provides the following features:

System SRAM: 128 KB

ECC on 32-bit word (syndrome of 7 bits)
–
1.5.7
ECC covers SRAM bus address

1-bit error correction, 2-bit error detection

Wait states:
–
1 wait state for frequencies =< 120 MHz
–
0 wait states for frequencies =< 80 MHz
Platform flash memory controller
The following list summarizes the key features of the flash memory controller:

Single AHB port interface supports a 64-bit data bus. All AHB aligned and unaligned
reads within the 32-bit container are supported. Only aligned word writes are
supported.

Array interfaces support a 128-bit read data bus and a 64-bit write data bus for each
bank.

Code flash (bank0) interface provides configurable read buffering and page prefetch
support.
–
Four page-read buffers (each 128 bits wide) and a prefetch controller support
speculative reading and optimized flash access.

Single-cycle read responses (0 AHB data-phase wait states) for hits in the buffers. The
buffers implement a least-recently-used replacement algorithm to maximize
performance.

Programmable response for read-while-write sequences including support for stallwhile-write, optional stall notification interrupt, optional flash operation abort , and
optional abort notification interrupt.

Separate and independent configurable access timing (on a per bank basis) to support
use across a wide range of platforms and frequencies.

Support of address-based read access timing for emulation of other memory types.

Support for reporting of single- and multi-bit error events.

Typical operating configuration loaded into programming model by system reset.
The platform flash controller is replicated for each processor.
1.5.8
Platform Static RAM Controller (SRAMC)
The SRAMC module is the platform SRAM array controller, with integrated error detection
and correction.
The main features of the SRAMC provide connectivity for the following interfaces:
16/137

XBAR Slave Port (64-bit data path)

ECSM (ECC Error Reporting, error injection and configuration)

SRAM array
DocID026934 Rev 1
RPC56EL60L5
Introduction
The following functions are implemented:

ECC encoding (32-bit boundary for data and complete address bus)

ECC decoding (32-bit boundary and entire address)

Address translation from the AHB protocol on the XBAR to the SRAM array
The platform SRAM controller is replicated for each processor.
1.5.9
Memory subsystem access time
Every memory access the CPU performs requires at least one system clock cycle for the
data phase of the access. Slower memories or peripherals may require additional data
phase wait states. Additional data phase wait states may also occur if the slave being
accessed is not parked on the requesting master in the crossbar.
Table 2 shows the number of additional data phase wait states required for a range of
memory accesses.
Table 2. Platform memory access time summary
AHB transfer
Data phase
wait states
Description
e200z4d instruction fetch
0
Flash memory prefetch buffer hit (page hit)
e200z4d instruction fetch
3
Flash memory prefetch buffer miss
(based on 4-cycle random flash array access time)
e200z4d data read
0–1
SRAM read
e200z4d data write
0
SRAM 32-bit write
e200z4d data write
0
SRAM 64-bit write (executed as 2 x 32-bit writes)
e200z4d data write
0–2
SRAM 8-,16-bit write
(Read-modify-Write for ECC)
e200z4d flash memory read
0
Flash memory prefetch buffer hit (page hit)
e200z4d flash memory read
3
Flash memory prefetch buffer miss (at 120 MHz; includes 1 cycle
of program flash memory controller arbitration)
1.5.10
Error Correction Status Module (ECSM)
The ECSM on this device manages the ECC configuration and reporting for the platform
memories (flash memory and SRAM). It does not implement the actual ECC calculation. A
detected error (double error for flash memory or SRAM) is also reported to the FCCU. The
following errors and indications are reported into the ECSM dedicated registers:

ECC error status and configuration for flash memory and SRAM

ECC error reporting for flash memory

ECC error reporting for SRAM

ECC error injection for SRAM
DocID026934 Rev 1
17/137
136
Introduction
1.5.11
RPC56EL60L5
Peripheral bridge (PBRIDGE)
The PBRIDGE implements the following features:
1.5.12

Duplicated periphery

Master access privilege level per peripheral (per master: read access enable; write
access enable)

Checker applied on PBRIDGE output toward periphery

Byte endianess swap capability
Interrupt Controller (INTC)
The INTC provides priority-based preemptive scheduling of interrupt requests, suitable for
statically scheduled hard real-time systems.
For high-priority interrupt requests, the time from the assertion of the interrupt request from
the peripheral to when the processor is executing the interrupt service routine (ISR) has
been minimized. The INTC provides a unique vector for each interrupt request source for
quick determination of which ISR needs to be executed. It also provides an ample number
of priorities so that lower priority ISRs do not delay the execution of higher priority ISRs. To
allow the appropriate priorities for each source of interrupt request, the priority of each
interrupt request is software configurable.
The INTC supports the priority ceiling protocol for coherent accesses. By providing a
modifiable priority mask, the priority can be raised temporarily so that all tasks which share
the resource can not preempt each other.
The INTC provides the following features:

Duplicated periphery

Unique 9-bit vector per interrupt source

16 priority levels with fixed hardware arbitration within priority levels for each interrupt
source

Priority elevation for shared resource
The INTC is replicated for each processor.
18/137
DocID026934 Rev 1
RPC56EL60L5
1.5.13
Introduction
System clocks and clock generation
The following list summarizes the system clock and clock generation on this device:

Lock status continuously monitored by lock detect circuitry

Loss-of-clock (LOC) detection for reference and feedback clocks

On-chip loop filter (for improved electromagnetic interference performance and fewer
external components required)

Programmable output clock divider of system clock (1, 2, 4, 8)

FlexPWM module and as many as three eTimer modules running on an auxiliary clock
independent from system clock (with max frequency 120 MHz)

On-chip crystal oscillator with automatic level control

Dedicated internal 16 MHz internal RC oscillator for rapid start-up
–

Supports automated frequency trimming by hardware during device startup and by
user application
Auxiliary clock domain for motor control periphery (FlexPWM, eTimer, CTU, ADC, and
SWG)
DocID026934 Rev 1
19/137
136
Introduction
1.5.14
RPC56EL60L5
Frequency-Modulated Phase-Locked Loop (FMPLL)
Each device has two FMPLLs.
Each FMPLL allows the user to generate high speed system clocks starting from a minimum
reference of 4 MHz input clock. Further, the FMPLL supports programmable frequency
modulation of the system clock. The FMPLL multiplication factor, output clock divider ratio
are all software configurable. The FMPLLs have the following major features:
1.5.15

Input frequency: 4–40 MHz continuous range (limited by the crystal oscillator)

Voltage controlled oscillator (VCO) range: 256–512 MHz

Frequency modulation via software control to reduce and control emission peaks
–
Modulation depth ±2% if centered or 0% to –4% if downshifted via software control
register
–
Modulation frequency: triangular modulation with 25 kHz nominal rate

Option to switch modulation on and off via software interface

Output divider (ODF) for reduced frequency operation without re-lock

3 modes of operation
–
Bypass mode
–
Normal FMPLL mode with crystal reference (default)
–
Normal FMPLL mode with external reference

Lock monitor circuitry with lock status

Loss-of-lock detection for reference and feedback clocks

Self-clocked mode (SCM) operation

On-chip loop filter

Auxiliary FMPLL
–
Used for FlexRay due to precise symbol rate requirement by the protocol
–
Used for motor control periphery and connected IP (A/D digital interface CTU) to
allow independent frequencies of operation for PWM and timers and jitter-free
control
–
Option to enable/disable modulation to avoid protocol violation on jitter and/or
potential unadjusted error in electric motor control loop
–
Allows to run motor control periphery at different (precisely lower, equal or higher
as required) frequency than the system to ensure higher resolution
Main oscillator
The main oscillator provides these features:
1.5.16

Input frequency range 4–40 MHz

Crystal input mode

External reference clock (3.3 V) input mode

FMPLL reference
Internal Reference Clock (RC) oscillator
The architecture uses constant current charging of a capacitor. The voltage at the capacitor
is compared to the stable bandgap reference voltage. The RC oscillator is the device safe
clock.
20/137
DocID026934 Rev 1
RPC56EL60L5
Introduction
The RC oscillator provides these features:
1.5.17

Nominal frequency 16 MHz

±5% variation over voltage and temperature after process trim

Clock output of the RC oscillator serves as system clock source in case loss of lock or
loss of clock is detected by the FMPLL

RC oscillator is used as the default system clock during startup and can be used as
back-up input source of FMPLL(s) in case XOSC fails
Clock, reset, power, mode and test control modules (MC_CGM,
MC_RGM, MC_PCU, and MC_ME)
These modules provide the following:
1.5.18

Clock gating and clock distribution control

Halt, stop mode control

Flexible configurable system and auxiliary clock dividers

Various execution modes
–
HALT and STOP mode as reduced activity low power mode
–
Reset, Idle, Test, Safe
–
Various RUN modes with software selectable powered modules
–
No stand-by mode implemented (no internal switchable power domains)
Periodic Interrupt Timer Module (PIT)
The PIT module implements the following features:
1.5.19

4 general purpose interrupt timers

32-bit counter resolution

Can be used for software tick or DMA trigger operation
System Timer Module (STM)
The STM implements the following features:

Up-counter with 4 output compare registers

OS task protection and hardware tick implementation per AUTOSAR(a) requirement
The STM is replicated for each processor.
1.5.20
Software Watchdog Timer (SWT)
This module implements the following features:

Fault tolerant output

Safe internal RC oscillator as reference clock

Windowed watchdog

Program flow control monitor with 16-bit pseudorandom key generation

Allows a high level of safety (SIL3 monitor)
a. Automotive Open System Architecture
DocID026934 Rev 1
21/137
136
Introduction
RPC56EL60L5
The SWT module is replicated for each processor.
1.5.21
Fault Collection and Control Unit (FCCU)
The FCCU module has the following features:
1.5.22

Redundant collection of hardware checker results

Redundant collection of error information and latch of faults from critical modules on
the device

Collection of self-test results

Configurable and graded fault control
–
Internal reactions (no internal reaction, IRQ, Functional Reset, Destructive Reset,
or Safe mode entered)
–
External reaction (failure is reported to the external/surrounding system via
configurable output pins)
System Integration Unit Lite (SIUL)
The SIUL controls MCU reset configuration, pad configuration, external interrupt, general
purpose I/O (GPIO), internal peripheral multiplexing, and system reset operation. The reset
configuration block contains the external pin boot configuration logic. The pad configuration
block controls the static electrical characteristics of I/O pins. The GPIO block provides
uniform and discrete input/output control of the I/O pins of the MCU.
The SIU provides the following features:


1.5.23
Centralized pad control on a per-pin basis
–
Pin function selection
–
Configurable weak pull-up/down
–
Configurable slew rate control (slow/medium/fast)
–
Hysteresis on GPIO pins
–
Configurable automatic safe mode pad control
Input filtering for external interrupts
Non-Maskable Interrupt (NMI)
The non-maskable interrupt with de-glitching filter supports high-priority core exceptions.
1.5.24
Boot Assist Module (BAM)
The BAM is a block of read-only memory with hard-coded content. The BAM program is
executed only if serial booting mode is selected via boot configuration pins.
The BAM provides the following features:
22/137

Enables booting via serial mode (FlexCAN or LINFlex-UART)

Supports programmable 64-bit password protection for serial boot mode

Supports serial bootloading of either Power Architecture code (default) or VLE code

Automatic switch to serial boot mode if internal flash memory is blank or invalid
DocID026934 Rev 1
RPC56EL60L5
1.5.25
Introduction
System Status and Configuration Module (SSCM)
The SSCM on this device features the following:
1.5.26

System configuration and status

Debug port status and debug port enable

Multiple boot code starting locations out of reset through implementation of search for
valid Reset Configuration Half Word

Sets up the MMU to allow user boot code to execute as either Power Architecture code
(default) or as VLE code out of flash memory

Triggering of device self-tests during reset phase of device boot
FlexCAN
The FlexCAN module is a communication controller implementing the CAN protocol
according to Bosch Specification version 2.0B. The CAN protocol was designed to be used
primarily as a vehicle serial data bus, meeting the specific requirements of this field: realtime processing, reliable operation in the EMI environment of a vehicle, cost-effectiveness
and required bandwidth.
The FlexCAN module provides the following features:

Full implementation of the CAN protocol specification, version 2.0B
–
Standard data and remote frames
–
Extended data and remote frames
–
0 to 8 bytes data length
–
Programmable bit rate as fast as 1Mbit/s

32 message buffers of 0 to 8 bytes data length

Each message buffer configurable as receive or transmit buffer, all supporting standard
and extended messages

Programmable loop-back mode supporting self-test operation

3 programmable mask registers

Programmable transmit-first scheme: lowest ID or lowest buffer number

Time stamp based on 16-bit free-running timer

Global network time, synchronized by a specific message

Maskable interrupts

Independent of the transmission medium (an external transceiver is assumed)

High immunity to EMI

Short latency time due to an arbitration scheme for high-priority messages

Transmit features
–
Supports configuration of multiple mailboxes to form message queues of scalable
depth
–
Arbitration scheme according to message ID or message buffer number
–
Internal arbitration to guarantee no inner or outer priority inversion
–
Transmit abort procedure and notification
DocID026934 Rev 1
23/137
136
Introduction


1.5.27
RPC56EL60L5
Receive features
–
Individual programmable filters for each mailbox
–
8 mailboxes configurable as a 6-entry receive FIFO
–
8 programmable acceptance filters for receive FIFO
Programmable clock source
–
System clock
–
Direct oscillator clock to avoid FMPLL jitter
FlexRay
The FlexRay module provides the following features:
24/137

Full implementation of FlexRay Protocol Specification 2.1 Rev. A

64 configurable message buffers can be handled

Dual channel or single channel mode of operation, each as fast as 10 Mbit/s data rate

Message buffers configurable as transmit or receive

Message buffer size configurable

Message filtering for all message buffers based on Frame ID, cycle count, and
message ID

Programmable acceptance filters for receive FIFO

Message buffer header, status, and payload data stored in system memory (SRAM)

Internal FlexRay memories have error detection and correction
DocID026934 Rev 1
RPC56EL60L5
1.5.28
Introduction
Serial communication interface module (LINFlexD)
The LINFlexD module (LINFlex with DMA support) on this device features the following:

Supports LIN Master mode, LIN Slave mode and UART mode

LIN state machine compliant to LIN1.3, 2.0, and 2.1 specifications

Manages LIN frame transmission and reception without CPU intervention

LIN features



1.5.29
–
Autonomous LIN frame handling
–
Message buffer to store as many as 8 data bytes
–
Supports messages as long as 64 bytes
–
Detection and flagging of LIN errors (Sync field, delimiter, ID parity, bit framing,
checksum and Time-out errors)
–
Classic or extended checksum calculation
–
Configurable break duration of up to 50-bit times
–
Programmable baud rate prescalers (13-bit mantissa, 4-bit fractional)
–
Diagnostic features (Loop back, LIN bus stuck dominant detection)
–
Interrupt driven operation with 16 interrupt sources
LIN slave mode features
–
Autonomous LIN header handling
–
Autonomous LIN response handling
UART mode
–
Full-duplex operation
–
Standard non return-to-zero (NRZ) mark/space format
–
Data buffers with 4-byte receive, 4-byte transmit
–
Configurable word length (8-bit, 9-bit, 16-bit, or 17-bit words)
–
Configurable parity scheme: none, odd, even, always 0
–
Speed as fast as 2 Mbit/s
–
Error detection and flagging (Parity, Noise and Framing errors)
–
Interrupt driven operation with four interrupt sources
–
Separate transmitter and receiver CPU interrupt sources
–
16-bit programmable baud-rate modulus counter and 16-bit fractional
–
Two receiver wake-up methods
Support for DMA enabled transfers
Deserial Serial Peripheral Interface (DSPI)
The DSPI modules provide a synchronous serial interface for communication between the
RPC56EL60L5 and external devices.
A DSPI module provides these features:

Full duplex, synchronous transfers

Master or slave operation

Programmable master bit rates

Programmable clock polarity and phase
DocID026934 Rev 1
25/137
136
Introduction
1.5.30
RPC56EL60L5

End-of-transmission interrupt flag

Programmable transfer baud rate

Programmable data frames from 4 to 16 bits

As many as 8 chip select lines available, depending on package and pin multiplexing

4 clock and transfer attributes registers

Chip select strobe available as alternate function on one of the chip select pins for deglitching

FIFOs for buffering as many as 5 transfers on the transmit and receive side

Queueing operation possible through use of the eDMA

General purpose I/O functionality on pins when not used for SPI
FlexPWM
The pulse width modulator module (FlexPWM) contains four PWM channels, each of which
is configured to control a single half-bridge power stage. Two modules are included on
LFBGA257 devices; on the LQFP144 package, only one module is present. Additionally,
four fault input channels are provided per FlexPWM module.
This PWM is capable of controlling most motor types, including:

AC induction motors (ACIM)

Permanent Magnet AC motors (PMAC)

Brushless (BLDC) and brush DC motors (BDC)

Switched (SRM) and variable reluctance motors (VRM)

Stepper motors
A FlexPWM module implements the following features:

16 bits of resolution for center, edge aligned, and asymmetrical PWMs

Maximum operating frequency as high as 120 MHz
–
26/137
Clock source not modulated and independent from system clock (generated via
secondary FMPLL)

Fine granularity control for enhanced resolution of the PWM period

PWM outputs can operate as complementary pairs or independent channels

Ability to accept signed numbers for PWM generation

Independent control of both edges of each PWM output

Synchronization to external hardware or other PWM supported

Double buffered PWM registers
–
Integral reload rates from 1 to 16
–
Half cycle reload capability

Multiple ADC trigger events can be generated per PWM cycle via hardware

Fault inputs can be assigned to control multiple PWM outputs

Programmable filters for fault inputs

Independently programmable PWM output polarity

Independent top and bottom deadtime insertion

Each complementary pair can operate with its own PWM frequency and deadtime
values
DocID026934 Rev 1
RPC56EL60L5

Individual software control for each PWM output

All outputs can be forced to a value simultaneously

PWMX pin can optionally output a third signal from each channel

Channels not used for PWM generation can be used for buffered output compare
functions

Channels not used for PWM generation can be used for input capture functions

Enhanced dual edge capture functionality

Option to supply the source for each complementary PWM signal pair from any of the
following:

1.5.31
Introduction
–
External digital pin
–
Internal timer channel
–
External ADC input, taking into account values set in ADC high- and low-limit
registers
DMA support
eTimer module
The MPC5643L provides three eTimer modules (on the LQFP package eTimer_2 is
available internally only without any external I/O access). Six 16-bit general purpose
up/down timer/counters per module are implemented with the following features:

Maximum clock frequency of 120 MHz

Individual channel capability

1.5.32
–
Input capture trigger
–
Output compare
–
Double buffer (to capture rising edge and falling edge)
–
Separate prescaler for each counter
–
Selectable clock source
–
0–100% pulse measurement
–
Rotation direction flag (Quad decoder mode)
Maximum count rate
–
Equals peripheral clock divided by 2 for external event counting
–
Equals peripheral clock for internal clock counting

Cascadeable counters

Programmable count modulo

Quadrature decode capabilities

Counters can share available input pins

Count once or repeatedly

Preloadable counters

Pins available as GPIO when timer functionality not in use

DMA support
Sine Wave Generator (SWG)
A digital-to-analog converter is available to generate a sine wave based on 32 stored values
for external devices (ex: resolver).
DocID026934 Rev 1
27/137
136
Introduction
1.5.33
RPC56EL60L5
Analog-to-Digital Converter module (ADC)
The ADC module features include:
Analog part:

2 on-chip ADCs
–
12-bit resolution SAR architecture
–
Same digital interface as in the SPC560P family
–
A/D Channels: 9 external, 3 internal and 4 shared with other A/D (total 16
channels)
–
One channel dedicated to each T-sensor to enable temperature reading during
application
–
Separated reference for each ADC
–
Shared analog supply voltage for both ADCs
–
One sample and hold unit per ADC
–
Adjustable sampling and conversion time
Digital part:

4 analog watchdogs comparing ADC results against predefined levels (low, high,
range) before results are stored in the appropriate ADC result location

2 modes of operation: CPU Mode or CTU Mode

CPU mode features


1.5.34
–
Register based interface with the CPU: one result register per channel
–
ADC state machine managing three request flows: regular command, hardware
injected command, software injected command
–
Selectable priority between software and hardware injected commands
–
4 analog watchdogs comparing ADC results against predefined levels (low, high,
range)
–
DMA compatible interface
CTU mode features
–
Triggered mode only
–
4 independent result queues (116 entries, 28 entries, 14 entries)
–
Result alignment circuitry (left justified; right justified)
–
32-bit read mode allows to have channel ID on one of the 16-bit parts
–
DMA compatible interfaces
Built-in self-test features triggered by software
Cross Triggering Unit (CTU)
The ADC cross triggering unit allows automatic generation of ADC conversion requests on
user selected conditions without CPU load during the PWM period and with minimized CPU
load for dynamic configuration.
28/137
DocID026934 Rev 1
RPC56EL60L5
Introduction
The CTU implements the following features:
1.5.35

Cross triggering between ADC, FlexPWM, eTimer, and external pins

Double buffered trigger generation unit with as many as 8 independent triggers
generated from external triggers

Maximum operating frequency less than or equal to 120 MHz

Trigger generation unit configurable in sequential mode or in triggered mode

Trigger delay unit to compensate the delay of external low pass filter

Double buffered global trigger unit allowing eTimer synchronization and/or ADC
command generation

Double buffered ADC command list pointers to minimize ADC-trigger unit update

Double buffered ADC conversion command list with as many as 24 ADC commands

Each trigger capable of generating consecutive commands

ADC conversion command allows control of ADC channel from each ADC, single or
synchronous sampling, independent result queue selection

DMA support with safety features
Cyclic Redundancy Checker (CRC) Unit
The CRC module is a configurable multiple data flow unit to compute CRC signatures on
data written to its input register.
The CRC unit has the following features:
1.5.36

3 sets of registers to allow 3 concurrent contexts with possibly different CRC
computations, each with a selectable polynomial and seed

Computes 16- or 32-bit wide CRC on the fly (single-cycle computation) and stores
result in internal register. 
The following standard CRC polynomials are implemented:
–
x8 + x4 + x3 + x2 + 1 [8-bit CRC]
–
x16 + x12 + x5 + 1 [16-bit CRC-CCITT]
–
x32 + x26 + x23 + x22 + x16 + x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x + 1 
[32-bit CRC-ethernet(32)]

Key engine to be coupled with communication periphery where CRC application is
added to allow implementation of safe communication protocol

Offloads core from cycle-consuming CRC and helps checking configuration signature
for safe start-up or periodic procedures

CRC unit connected as peripheral bus on internal peripheral bus

DMA support
Redundancy Control and Checker Unit (RCCU)
The RCCU checks all outputs of the sphere of replication (addresses, data, control signals).
It has the following features:

Duplicated module to guarantee highest possible diagnostic coverage (check of
checker)

Multiple times replicated IPs are used as checkers on the SoR outputs
DocID026934 Rev 1
29/137
136
Introduction
1.5.37
RPC56EL60L5
Junction temperature sensor
The junction temperature sensor provides a value via an ADC channel that can be used by
software to calculate the device junction temperature.
The key parameters of the junction temperature sensor include:
1.5.38

Nominal temperature range from –40 to 150 °C

Software temperature alarm via analog ADC comparator possible
Nexus Port Controller (NPC)
The NPC module provides real-time development support capabilities for this device in
compliance with the IEEE-ISTO 5001-2003. This development support is supplied for MCUs
without requiring external address and data pins for internal visibility.
The NPC block interfaces to the host processor and internal buses to provide development
support as per the IEEE-ISTO 5001-2003 Class 3+, including selected features from Class
4 standard.
The development support provided includes program trace, data trace, watchpoint trace,
ownership trace, run-time access to the MCUs internal memory map and access to the
Power Architecture internal registers during halt. The Nexus interface also supports a JTAG
only mode using only the JTAG pins. The following features are implemented:

Full and reduced port modes

MCKO (message clock out) pin

4 or 12 MDO (message data out) pins(b)

2 MSEO (message start/end out) pins

EVTO (event out) pin
–
Auxiliary input port

EVTI (event in) pin

5-pin JTAG port (JCOMP, TDI, TDO, TMS, and TCK)
–

Supports JTAG mode
Host processor (e200) development support features
–
Data trace via data write messaging (DWM) and data read messaging (DRM).
This allows the development tool to trace reads or writes, or both, to selected
internal memory resources.
–
Ownership trace via ownership trace messaging (OTM). OTM facilitates
ownership trace by providing visibility of which process ID or operating system
task is activated. An ownership trace message is transmitted when a new
process/task is activated, allowing development tools to trace ownership flow.
–
Program trace via branch trace messaging (BTM). Branch trace messaging
displays program flow discontinuities (direct branches, indirect branches,
b. 4 MDO pins on LQFP144 package, 12 MDO pins on LFBGA257 package.
30/137
DocID026934 Rev 1
RPC56EL60L5
Introduction
exceptions, etc.), allowing the development tool to interpolate what transpires
between the discontinuities. Thus, static code may be traced.
1.5.39
–
Watchpoint messaging (WPM) via the auxiliary port
–
Watchpoint trigger enable of program and/or data trace messaging
–
Data tracing of instruction fetches via private opcodes
IEEE 1149.1 JTAG Controller (JTAGC)
The JTAGC block provides the means to test chip functionality and connectivity while
remaining transparent to system logic when not in test mode. All data input to and output
from the JTAGC block is communicated in serial format. The JTAGC block is compliant with
the IEEE standard.
The JTAG controller provides the following features:

IEEE Test Access Port (TAP) interface with 5 pins:
–
TDI
–
TMS
–
TCK
–
TDO
–
JCOMP

Selectable modes of operation include JTAGC/debug or normal system operation

5-bit instruction register that supports the following IEEE 1149.1-2001 defined
instructions:
–
BYPASS
–
IDCODE
–
EXTEST
–
SAMPLE
–
SAMPLE/PRELOAD

3 test data registers: a bypass register, a boundary scan register, and a device
identification register. The size of the boundary scan register is parameterized to
support a variety of boundary scan chain lengths.

TAP controller state machine that controls the operation of the data registers,
instruction register and associated circuitry
DocID026934 Rev 1
31/137
136
Introduction
1.5.40
RPC56EL60L5
Voltage regulator / Power Management Unit (PMU)
The on-chip voltage regulator module provides the following features:
1.5.41

Single external rail required

Single high supply required: nominal 3.3 V both for packaged and Known Good Die
option
–
Packaged option requires external ballast transistor due to reduced dissipation
capacity at high temperature but can use embedded transistor if power dissipation
is maintained within package dissipation capacity (lower frequency of operation)
–
Known Good Die option uses embedded ballast transistor as dissipation capacity
is increased to reduce system cost

All I/Os are at same voltage as external supply (3.3 V nominal)

Duplicated Low-Voltage Detectors (LVD) to guarantee proper operation at all stages
(reset, configuration, normal operation) and, to maximize safety coverage, one LVD
can be tested while the other operates (on-line self-testing feature)
Built-In Self-Test (BIST) capability
This device includes the following protection against latent faults:
32/137

Boot-time Memory Built-In Self-Test (MBIST)

Boot-time scan-based Logic Built-In Self-Test (LBIST)

Run-time ADC Built-In Self-Test (BIST)

Run-time Built-In Self Test of LVDs
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
2
Package pinouts and signal descriptions
2.1
Package pinouts
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
LQFP144 package
108
107
106
105
104
103
102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
A[4]
VPP_TEST
F[12]
D[14]
G[3]
C[14]
G[2]
C[13]
G[4]
D[12]
G[6]
VDD_HV_FLA
VSS_HV_FLA
VDD_HV_REG_1
VSS_LV_COR
VDD_LV_COR
A[3]
VDD_HV_IO
VSS_HV_IO
B[4]
TCK
TMS
B[5]
G[5]
A[2]
G[7]
C[12]
G[8]
C[11]
G[9]
D[11]
G[10]
D[10]
G[11]
A[1]
A[0]
D[7]
FCCU_F[0]
VDD_LV_COR
VSS_LV_COR
C[1]
E[4]
B[7]
E[5]
C[2]
E[6]
B[8]
E[7]
E[2]
VDD_HV_ADR0
VSS_HV_ADR0
B[9]
B[10]
B[11]
B[12]
VDD_HV_ADR1
VSS_HV_ADR1
VDD_HV_ADV
VSS_HV_ADV
B[13]
E[9]
B[15]
E[10]
B[14]
E[11]
C[0]
E[12]
E[0]
BCTRL
VDD_LV_COR
VSS_LV_COR
VDD_HV_PMU
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
NMI
A[6]
D[1]
F[4]
F[5]
VDD_HV_IO
VSS_HV_IO
F[6]
MDO0
A[7]
C[4]
A[8]
C[5]
A[5]
C[7]
VDD_HV_REG_0
VSS_LV_COR
VDD_LV_COR
F[7]
F[8]
VDD_HV_IO
VSS_HV_IO
F[9]
F[10]
F[11]
D[9]
VDD_HV_OSC
VSS_HV_OSC
XTAL
EXTAL
RESET
D[8]
D[5]
D[6]
VSS_LV_PLL0_PLL1
VDD_LV_PLL0_PLL1
144
143
142
141
140
139
138
137
136
135
134
133
132
131
130
129
128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
A[15]
A[14]
C[6]
FCCU_F[1]
D[2]
F[3]
B[6]
VSS_LV_COR
A[13]
VDD_LV_COR
A[9]
F[0]
VSS_LV_COR
VDD_LV_COR
VDD_HV_REG_2
D[4]
D[3]
VSS_HV_IO
VDD_HV_IO
D[0]
C[15]
JCOMP
A[12]
E[15]
A[11]
E[14]
A[10]
E[13]
B[3]
F[14]
B[2]
F[15]
F[13]
C[10]
B[1]
B[0]
Figure 2 shows the RPC56EL60L5 in the LQFP144 package.
Figure 2. RPC56EL60L5 LQFP144 pinout (top view)
Figure 3 shows the RPC56EL60L5 in the LFBGA257 package.
DocID026934 Rev 1
33/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Figure 3. RPC56EL60L5 LFBGA257 pinout (top view)
1
A
C
_IO
VSS_HV VSS_HV
_IO
_IO
VDD_HV
NC(1)
_IO
D
3
VSS_HV VSS_HV VDD_HV
_IO
B
2
F[5]
F[4]
4
H[2]
5
6
7
8
9
10
11
12
13
14
15
H[0]
G[14]
D[3]
C[15]
VDD_HV
A[12]
H[10]
H[14]
A[10]
B[2]
C[10]
VSS_HV VSS_HV
B[0]
VDD_HV VSS_HV
_IO
B[6]
_IO
A[14]
F[3]
A[9]
VSS_HV FCCU_
F[1]
_IO
A[15]
D[2]
A[13]
MDO0
F[6]
D[1]
NMI
F
H[1]
G[12]
A[7]
A[8]
C[5]
G[13]
VSS_HV
F[7]
G[15]
COR
C[4]
F[9]
F[8]
L
F[10]
F[11]
M
VDD_HV VDD_HV
_OSC
N
XTAL
A[5]
VSS_HV
RESET
T
EXTAL
VSS_HV VDD_HV
_IO
U
FCCU
_F[0]
I[0]
JCOMP
H[11]
I[1]
F[14]
COR
COR
COR
_IO
NC
A[11]
E[13]
F[15]
_IO
_IO
_IO
A[4]
F[12]
D[14]
G[3]
COR
COR
COR
COR
COR
COR
VDD_HV
VPP
_IO
_TEST
NC
C[14]
G[2]
I[3]
NC
C[13]
I[2]
G[4]
D[12]
H[13]
H[9]
G[6]
COR
COR
VSS_LV VSS_LV VSS_LV VSS_LV VDD_LV
VDD_LV
C[7]
VDD_LV VSS_LV
VSS_LV VSS_LV VSS_LV VSS_LV VDD_LV
D[9]
NC
VDD_LV VSS_LV
VSS_LV VSS_LV VSS_LV VSS_LV VDD_LV
D[8]
NC
VDD_LV VDD_LV VDD_LV VDD_LV VDD_LV VDD_LV VDD_LV
D[5]
VSS_LV_
_REG_0
VSS_HV
17
_IO
VDD_HV VSS_HV
_IO
COR
B[1]
_REG_2
VDD_LV VSS_LV
VDD_HV VDD_HV
VDD_HV VDD_HV
_REG_1
H[6]
_FLA
VDD_HV VSS_HV
H[15]
_REG_1
_FLA
NC
H[8]
H[7]
A[3]
NC
TCK
H[4]
B[4]
C[11]
B[5]
TMS
H[5]
NC
C[12]
A[2]
G[5]
G[10]
G[8]
G[7]
VSS_HV
D[11]
G[9]
PLL
D[6]
_OSC
R
F[13]
VSS_LV
_IO
P
B[3]
VSS_LV VSS_LV VSS_LV VSS_LV VDD_LV
_IO
VSS_HV
E[14]
VDD_LV VSS_LV
_REG_0
K
E[15]
VDD_LV_ VSS_LV_ VSS_LV_ VSS_LV_ VSS_LV_ VSS_LV_ VDD_LV_
A[6]
_IO
J
H[12]
VDD_LV_ VDD_LV_ VDD_LV_ VDD_LV_ VDD_LV_ VDD_LV_ VDD_LV_
_IO
H
F[0]
COR
COR
VDD_HV
VDD_HV VDD_HV
_REG_2
VSS_LV_ VDD_LV_
C[6]
E
H[3]
D[0]
_IO
_IO
COR
G
D[4]
VSS_HV
16
VSS_HV
VDD_LV_ VDD_LV_ VSS_LV_
PLL
COR
COR
D[7]
B[7]
E[6]
_IO
NC
B[8]
NC
_IO
VDD_HV
B[10]
_ADR0
C[1]
E[5]
E[7]
_IO
VSS_HV
_IO
_IO
NC
1
2
3
E[4]
4
C[2]
5
E[2]
6
B[9]
7
VDD_HV
B[14]
_IO
B[13]
B[15]
VDD_LV_ VSS_LV_ VDD_HV
COR
COR
_IO
C[0]
BCTRL
A[1]
_ADR1
B[11]
_ADR0
VSS_HV VSS_HV
VSS_HV VDD_HV
VSS_HV
_IO
E[9]
E[10]
E[12]
E[0]
A[0]
D[10]
_ADR1
B[12]
8
VDD_HV VSS_HV
_IO
VDD_HV VSS_HV
_ADV
_ADV
9
10
E[11]
NC
NC
VDD_HV
G[11]
_PMU
11
12
13
14
15
_IO
VSS_HV VSS_HV
_IO
_IO
16
17
1. NC = Not connected (the pin is physically not connected to anything on the device)
Table 3, and Table 4 provide the pin function summaries for the 144-pin, and 257-pin
packages, respectively, listing all the signals multiplexed to each pin.
Table 3. LQFP144 pin function summary
34/137
Pin #
Port/function
1
NMI
Peripheral
Output function
—
DocID026934 Rev 1
Input function
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
2
3
4
5
Port/function
A[6]
Peripheral
Output function
Input function
SIUL
GPIO[6]
GPIO[6]
DSPI_1
SCK
SCK
SIUL
—
EIRQ[6]
SIUL
GPIO[49]
GPIO[49]
eTimer_1
ETC[2]
ETC[2]
CTU_0
EXT_TGR
—
FlexRay
—
CA_RX
SIUL
GPIO[84]
GPIO[84]
NPC
MDO[3]
—
SIUL
GPIO[85]
GPIO[85]
NPC
MDO[2]
—
D[1]
F[4]
F[5]
6
VDD_HV_IO
—
7
VSS_HV_IO
—
8
F[6]
9
10
11
12
13
SIUL
GPIO[86]
GPIO[86]
NPC
MDO[1]
—
MDO0
A[7]
C[4]
A[8]
C[5]
—
SIUL
GPIO[7]
GPIO[7]
DSPI_1
SOUT
—
SIUL
—
EIRQ[7]
SIUL
GPIO[36]
GPIO[36]
DSPI_0
CS0
CS0
FlexPWM_0
X[1]
X[1]
SSCM
DEBUG[4]
—
SIUL
—
EIRQ[22]
SIUL
GPIO[8]
GPIO[8]
DSPI_1
—
SIN
SIUL
—
EIRQ[8]
SIUL
GPIO[37]
GPIO[37]
DSPI_0
SCK
SCK
SSCM
DEBUG[5]
—
FlexPWM_0
—
FAULT[3]
SIUL
—
EIRQ[23]
DocID026934 Rev 1
35/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
14
15
A[5]
Peripheral
Output function
Input function
SIUL
GPIO[5]
GPIO[5]
DSPI_1
CS0
CS0
eTimer_1
ETC[5]
ETC[5]
DSPI_0
CS7
—
SIUL
—
EIRQ[5]
SIUL
GPIO[39]
GPIO[39]
FlexPWM_0
A[1]
A[1]
SSCM
DEBUG[7]
—
DSPI_0
—
SIN
C[7]
16
VDD_HV_REG_0
—
17
VSS_LV_COR
—
18
VDD_LV_COR
—
19
F[7]
20
SIUL
GPIO[87]
GPIO[87]
NPC
MCKO
—
SIUL
GPIO[88]
GPIO[88]
NPC
MSEO[1]
—
F[8]
21
VDD_HV_IO
—
22
VSS_HV_IO
—
23
F[9]
24
25
26
36/137
Port/function
SIUL
GPIO[89]
GPIO[89]
NPC
MSEO[0]
—
SIUL
GPIO[90]
GPIO[90]
NPC
EVTO
—
SIUL
GPIO[91]
GPIO[91]
NPC
—
EVTI
SIUL
GPIO[57]
GPIO[57]
FlexPWM_0
X[0]
X[0]
LINFlexD_1
TXD
—
F[10]
F[11]
D[9]
27
VDD_HV_OSC
—
28
VSS_HV_OSC
—
29
XTAL
—
30
EXTAL
—
31
RESET
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
32
33
34
Port/function
D[8]
D[5]
Peripheral
Output function
Input function
SIUL
GPIO[56]
GPIO[56]
DSPI_1
CS2
—
eTimer_1
ETC[4]
ETC[4]
DSPI_0
CS5
—
FlexPWM_0
—
FAULT[3]
SIUL
GPIO[53]
GPIO[53]
DSPI_0
CS3
—
FlexPWM_0
—
FAULT[2]
SIUL
GPIO[54]
GPIO[54]
DSPI_0
CS2
—
FlexPWM_0
X[3]
X[3]
FlexPWM_0
—
FAULT[1]
D[6]
35
VSS_LV_PLL0_PLL1
—
36
VDD_LV_PLL0_PLL1
—
37
SIUL
GPIO[55]
GPIO[55]
DSPI_1
CS3
—
DSPI_0
CS4
—
SWG
analog output
—
FCCU
F[0]
F[0]
D[7]
38
FCCU_F[0]
39
VDD_LV_COR
—
40
VSS_LV_COR
—
41
C[1]
42
43
44
45
46
SIUL
—
GPIO[33]
ADC_0
—
AN[2]
SIUL
—
GPIO[68]
ADC_0
—
AN[7]
SIUL
—
GPIO[23]
LINFlexD_0
—
RXD
ADC_0
—
AN[0]
SIUL
—
GPIO[69]
ADC_0
—
AN[8]
SIUL
—
GPIO[34]
ADC_0
—
AN[3]
SIUL
—
GPIO[70]
ADC_0
—
AN[4]
E[4]
B[7]
E[5]
C[2]
E[6]
DocID026934 Rev 1
37/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
47
48
49
B[8]
Peripheral
Output function
Input function
SIUL
—
GPIO[24]
eTimer_0
—
ETC[5]
ADC_0
—
AN[1]
SIUL
—
GPIO[71]
ADC_0
—
AN[6]
SIUL
—
GPIO[66]
ADC_0
—
AN[5]
E[7]
E[2]
50
VDD_HV_ADR0
—
51
VSS_HV_ADR0
—
52
B[9]
53
54
55
B[10]
B[11]
B[12]
SIUL
—
GPIO[25]
ADC_0
ADC_1
—
AN[11]
SIUL
—
GPIO[26]
ADC_0
ADC_1
—
AN[12]
SIUL
—
GPIO[27]
ADC_0
ADC_1
—
AN[13]
SIUL
—
GPIO[28]
ADC_0
ADC_1
—
AN[14]
56
VDD_HV_ADR1
—
57
VSS_HV_ADR1
—
58
VDD_HV_ADV
—
59
VSS_HV_ADV
—
60
61
62
63
38/137
Port/function
B[13]
SIUL
—
GPIO[29]
LINFlexD_1
—
RXD
ADC_1
—
AN[0]
SIUL
—
GPIO[73]
ADC_1
—
AN[7]
SIUL
—
GPIO[31]
SIUL
—
EIRQ[20]
ADC_1
—
AN[2]
SIUL
—
GPIO[74]
ADC_1
—
AN[8]
E[9]
B[15]
E[10]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
64
65
66
67
68
Port/function
Peripheral
Output function
Input function
SIUL
—
GPIO[30]
eTimer_0
—
ETC[4]
SIUL
—
EIRQ[19]
ADC_1
—
AN[1]
SIUL
—
GPIO[75]
ADC_1
—
AN[4]
SIUL
—
GPIO[32]
ADC_1
—
AN[3]
SIUL
—
GPIO[76]
ADC_1
—
AN[6]
SIUL
—
GPIO[64]
ADC_1
—
AN[5]
B[14]
E[11]
C[0]
E[12]
E[0]
69
BCTRL
—
70
VDD_LV_COR
—
71
VSS_LV_COR
—
72
VDD_HV_PMU
—
73
74
75
76
77
SIUL
GPIO[0]
GPIO[0]
eTimer_0
ETC[0]
ETC[0]
DSPI_2
SCK
SCK
SIUL
—
EIRQ[0]
SIUL
GPIO[1]
GPIO[1]
eTimer_0
ETC[1]
ETC[1]
DSPI_2
SOUT
—
SIUL
—
EIRQ[1]
SIUL
GPIO[107]
GPIO[107]
FlexRay
DBG3
—
FlexPWM_0
—
FAULT[3]
SIUL
GPIO[58]
GPIO[58]
FlexPWM_0
A[0]
A[0]
eTimer_0
—
ETC[0]
SIUL
GPIO[106]
GPIO[106]
FlexRay
DBG2
—
DSPI_2
CS3
—
FlexPWM_0
—
FAULT[2]
A[0]
A[1]
G[11]
D[10]
G[10]
DocID026934 Rev 1
39/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
78
79
80
81
82
83
84
85
86
40/137
Port/function
D[11]
G[9]
C[11]
G[8]
C[12]
Peripheral
Output function
Input function
SIUL
GPIO[59]
GPIO[59]
FlexPWM_0
B[0]
B[0]
eTimer_0
—
ETC[1]
SIUL
GPIO[105]
GPIO[105]
FlexRay
DBG1
—
DSPI_1
CS1
—
FlexPWM_0
—
FAULT[1]
SIUL
—
EIRQ[29]
SIUL
GPIO[43]
GPIO[43]
eTimer_0
ETC[4]
ETC[4]
DSPI_2
CS2
—
SIUL
GPIO[104]
GPIO[104]
FlexRay
DBG0
—
DSPI_0
CS1
—
FlexPWM_0
—
FAULT[0]
SIUL
—
EIRQ[21]
SIUL
GPIO[44]
GPIO[44]
eTimer_0
ETC[5]
ETC[5]
DSPI_2
CS3
—
SIUL
GPIO[103]
GPIO[103]
FlexPWM_0
B[3]
B[3]
SIUL
GPIO[2]
GPIO[2]
eTimer_0
ETC[2]
ETC[2]
FlexPWM_0
A[3]
A[3]
DSPI_2
—
SIN
MC_RGM
—
ABS[0]
SIUL
—
EIRQ[2]
SIUL
GPIO[101]
GPIO[101]
FlexPWM_0
X[3]
X[3]
DSPI_2
CS3
—
SIUL
GPIO[21]
GPIO[21]
JTAGC
—
TDI
G[7]
A[2]
G[5]
B[5]
87
TMS
—
88
TCK
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
Port/function
89
B[4]
Peripheral
Output function
Input function
SIUL
GPIO[20]
GPIO[20]
JTAGC
TDO
—
90
VSS_HV_IO
—
91
VDD_HV_IO
—
92
SIUL
GPIO[3]
GPIO[3]
eTimer_0
ETC[3]
ETC[3]
DSPI_2
CS0
CS0
FlexPWM_0
B[3]
B[3]
MC_RGM
—
ABS[2]
SIUL
—
EIRQ[3]
A[3]
93
VDD_LV_COR
—
94
VSS_LV_COR
—
95
VDD_HV_REG_1
—
96
VSS_HV_FLA
—
97
VDD_HV_FLA
—
98
G[6]
99
100
101
102
103
D[12]
G[4]
SIUL
GPIO[102]
GPIO[102]
FlexPWM_0
A[3]
A[3]
SIUL
GPIO[60]
GPIO[60]
FlexPWM_0
X[1]
X[1]
LINFlexD_1
—
RXD
SIUL
GPIO[100]
GPIO[100]
FlexPWM_0
B[2]
B[2]
eTimer_0
—
ETC[5]
SIUL
GPIO[45]
GPIO[45]
eTimer_1
ETC[1]
ETC[1]
CTU_0
—
EXT_IN
FlexPWM_0
—
EXT_SYNC
SIUL
GPIO[98]
GPIO[98]
FlexPWM_0
X[2]
X[2]
DSPI_1
CS1
—
SIUL
GPIO[46]
GPIO[46]
eTimer_1
ETC[2]
ETC[2]
CTU_0
EXT_TGR
—
C[13]
G[2]
C[14]
DocID026934 Rev 1
41/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
104
105
106
107
108
109
110
111
112
42/137
Port/function
G[3]
D[14]
F[12]
Peripheral
Output function
Input function
SIUL
GPIO[99]
GPIO[99]
FlexPWM_0
A[2]
A[2]
eTimer_0
—
ETC[4]
SIUL
GPIO[62]
GPIO[62]
FlexPWM_0
B[1]
B[1]
eTimer_0
—
ETC[3]
SIUL
GPIO[92]
GPIO[92]
eTimer_1
ETC[3]
ETC[3]
SIUL
—
EIRQ[30]
VPP_TEST(1)
—
SIUL
GPIO[4]
GPIO[4]
eTimer_1
ETC[0]
ETC[0]
DSPI_2
CS1
—
eTimer_0
ETC[4]
ETC[4]
MC_RGM
—
FAB
SIUL
—
EIRQ[4]
SIUL
GPIO[16]
GPIO[16]
FlexCAN_0
TXD
—
eTimer_1
ETC[2]
ETC[2]
SSCM
DEBUG[0]
—
SIUL
—
EIRQ[15]
SIUL
GPIO[17]
GPIO[17]
eTimer_1
ETC[3]
ETC[3]
SSCM
DEBUG[1]
—
FlexCAN_0
—
RXD
FlexCAN_1
—
RXD
SIUL
—
EIRQ[16]
SIUL
GPIO[42]
GPIO[42]
DSPI_2
CS2
—
FlexPWM_0
A[3]
A[3]
FlexPWM_0
—
FAULT[1]
SIUL
GPIO[93]
GPIO[93]
eTimer_1
ETC[4]
ETC[4]
SIUL
—
EIRQ[31]
A[4]
B[0]
B[1]
C[10]
F[13]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
Port/function
113
F[15]
114
115
116
117
118
119
120
121
Peripheral
Output function
Input function
SIUL
GPIO[95]
GPIO[95]
LINFlexD_1
—
RXD
SIUL
GPIO[18]
GPIO[18]
LINFlexD_0
TXD
—
SSCM
DEBUG[2]
—
SIUL
—
EIRQ[17]
SIUL
GPIO[94]
GPIO[94]
LINFlexD_1
TXD
—
SIUL
GPIO[19]
GPIO[19]
SSCM
DEBUG[3]
—
LINFlexD_0
—
RXD
SIUL
GPIO[77]
GPIO[77]
eTimer_0
ETC[5]
ETC[5]
DSPI_2
CS3
—
SIUL
—
EIRQ[25]
SIUL
GPIO[10]
GPIO[10]
DSPI_2
CS0
CS0
FlexPWM_0
B[0]
B[0]
FlexPWM_0
X[2]
X[2]
SIUL
—
EIRQ[9]
SIUL
GPIO[78]
GPIO[78]
eTimer_1
ETC[5]
ETC[5]
SIUL
—
EIRQ[26]
SIUL
GPIO[11]
GPIO[11]
DSPI_2
SCK
SCK
FlexPWM_0
A[0]
A[0]
FlexPWM_0
A[2]
A[2]
SIUL
—
EIRQ[10]
SIUL
GPIO[79]
GPIO[79]
DSPI_0
CS1
—
SIUL
—
EIRQ[27]
B[2]
F[14]
B[3]
E[13]
A[10]
E[14]
A[11]
E[15]
DocID026934 Rev 1
43/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
122
123
124
125
A[12]
JCOMP
Peripheral
Output function
Input function
SIUL
GPIO[12]
GPIO[12]
DSPI_2
SOUT
—
FlexPWM_0
A[2]
A[2]
FlexPWM_0
B[2]
B[2]
SIUL
—
EIRQ[11]
—
—
JCOMP
SIUL
GPIO[47]
GPIO[47]
FlexRay
CA_TR_EN
—
eTimer_1
ETC[0]
ETC[0]
FlexPWM_0
A[1]
A[1]
CTU_0
—
EXT_IN
FlexPWM_0
—
EXT_SYNC
SIUL
GPIO[48]
GPIO[48]
FlexRay
CA_TX
—
eTimer_1
ETC[1]
ETC[1]
FlexPWM_0
B[1]
B[1]
C[15]
D[0]
126
VDD_HV_IO
—
127
VSS_HV_IO
—
128
129
SIUL
GPIO[51]
GPIO[51]
FlexRay
CB_TX
—
eTimer_1
ETC[4]
ETC[4]
FlexPWM_0
A[3]
A[3]
SIUL
GPIO[52]
GPIO[52]
FlexRay
CB_TR_EN
—
eTimer_1
ETC[5]
ETC[5]
FlexPWM_0
B[3]
B[3]
D[3]
D[4]
130
VDD_HV_REG_2
—
131
VDD_LV_COR
—
132
VSS_LV_COR
—
133
44/137
Port/function
SIUL
GPIO[80]
GPIO[80]
FlexPWM_0
A[1]
A[1]
eTimer_0
—
ETC[2]
SIUL
—
EIRQ[28]
F[0]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 3. LQFP144 pin function summary (continued)
Pin #
134
135
136
137
138
139
140
141
142
143
Port/function
Peripheral
Output function
Input function
SIUL
GPIO[9]
GPIO[9]
DSPI_2
CS1
—
FlexPWM_0
B[3]
B[3]
FlexPWM_0
—
FAULT[0]
A[9]
—
VDD_LV_COR
A[13]
SIUL
GPIO[13]
GPIO[13]
FlexPWM_0
B[2]
B[2]
DSPI_2
—
SIN
FlexPWM_0
—
FAULT[0]
SIUL
—
EIRQ[12]
—
VSS_LV_COR
SIUL
GPIO[22]
GPIO[22]
MC_CGM
clk_out
—
DSPI_2
CS2
—
SIUL
—
EIRQ[18]
SIUL
GPIO[83]
GPIO[83]
DSPI_0
CS6
—
SIUL
GPIO[50]
GPIO[50]
eTimer_1
ETC[3]
ETC[3]
FlexPWM_0
X[3]
X[3]
FlexRay
—
CB_RX
FCCU
F[1]
F[1]
SIUL
GPIO[38]
GPIO[38]
DSPI_0
SOUT
—
FlexPWM_0
B[1]
B[1]
SSCM
DEBUG[6]
—
SIUL
—
EIRQ[24]
SIUL
GPIO[14]
GPIO[14]
FlexCAN_1
TXD
—
eTimer_1
ETC[4]
ETC[4]
SIUL
—
EIRQ[13]
B[6]
F[3]
D[2]
FCCU_F[1]
C[6]
A[14]
DocID026934 Rev 1
45/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 3. LQFP144 pin function summary (continued)
Pin #
144
Port/function
A[15]
Peripheral
Output function
Input function
SIUL
GPIO[15]
GPIO[15]
eTimer_1
ETC[5]
ETC[5]
FlexCAN_1
—
RXD
FlexCAN_0
—
RXD
SIUL
—
EIRQ[14]
1. VPP_TEST should always be tied to ground (VSS) for normal operations.
Table 4. LFBGA257 pin function summary
Pin #
Port/function
A1
VSS_HV_IO_RING
—
A2
VSS_HV_IO_RING
—
A3
VDD_HV_IO_RING
—
A4
H[2]
A5
A6
A7
A8
A9
A10
46/137
Peripheral
Output function
Input function
SIUL
GPIO[114]
GPIO[114]
NPC
MDO[5]
—
SIUL
GPIO[112]
GPIO[112]
NPC
MDO[7]
—
SIUL
GPIO[110]
GPIO[110]
NPC
MDO[9]
—
SIUL
GPIO[51]
GPIO[51]
FlexRay
CB_TX
—
eTimer_1
ETC[4]
ETC[4]
FlexPWM_0
A[3]
A[3]
SIUL
GPIO[47]
GPIO[47]
FlexRay
CA_TR_EN
—
eTimer_1
ETC[0]
ETC[0]
FlexPWM_0
A[1]
A[1]
CTU_0
—
EXT_IN
FlexPWM_0
—
EXT_SYNC
H[0]
G[14]
D[3]
C[15]
VDD_HV_IO_RING
A[12]
—
SIUL
GPIO[12]
GPIO[12]
DSPI_2
SOUT
—
FlexPWM_0
A[2]
A[2]
FlexPWM_0
B[2]
B[2]
SIUL
—
EIRQ[11]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
A11
A12
A13
A14
A15
Port/function
H[10]
H[14]
A[10]
Peripheral
Output function
Input function
SIUL
GPIO[122]
GPIO[122]
FlexPWM_1
X[2]
X[2]
eTimer_2
ETC[2]
ETC[2]
SIUL
GPIO[126]
GPIO[126]
FlexPWM_1
A[3]
A[3]
eTimer_2
ETC[4]
ETC[4]
SIUL
GPIO[10]
GPIO[10]
DSPI_2
CS0
CS0
FlexPWM_0
B[0]
B[0]
FlexPWM_0
X[2]
X[2]
SIUL
—
EIRQ[9]
SIUL
GPIO[18]
GPIO[18]
LINFlexD_0
TXD
—
SSCM
DEBUG[2]
—
SIUL
—
EIRQ[17]
SIUL
GPIO[42]
GPIO[42]
DSPI_2
CS2
—
FlexPWM_0
A[3]
A[3]
FlexPWM_0
—
FAULT[1]
B[2]
C[10]
A16
VSS_HV_IO_RING
—
A17
VSS_HV_IO_RING
—
B1
VSS_HV_IO_RING
—
B2
VSS_HV_IO_RING
—
B3
B4
B5
SIUL
GPIO[22]
GPIO[22]
MC_CGM
clk_out
—
DSPI_2
CS2
—
SIUL
—
EIRQ[18]
SIUL
GPIO[14]
GPIO[14]
FlexCAN_1
TXD
—
eTimer_1
ETC[4]
ETC[4]
SIUL
—
EIRQ[13]
SIUL
GPIO[83]
GPIO[83]
DSPI_0
CS6
—
B[6]
A[14]
F[3]
DocID026934 Rev 1
47/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
B6
B7
B8
H[12]
B14
B15
Input function
SIUL
GPIO[9]
GPIO[9]
DSPI_2
CS1
—
FlexPWM_0
B[3]
B[3]
FlexPWM_0
—
FAULT[0]
SIUL
GPIO[52]
GPIO[52]
FlexRay
CB_TR_EN
—
eTimer_1
ETC[5]
ETC[5]
FlexPWM_0
B[3]
B[3]
SIUL
GPIO[48]
GPIO[48]
FlexRay
CA_TX
—
eTimer_1
ETC[1]
ETC[1]
FlexPWM_0
B[1]
B[1]
D[0]
B10
B13
Output function
D[4]
VSS_HV_IO_RING
B12
Peripheral
A[9]
B9
B11
48/137
Port/function
E[15]
E[14]
B[3]
F[13]
B[0]
—
SIUL
GPIO[124]
GPIO[124]
FlexPWM_1
B[2]
B[2]
SIUL
GPIO[79]
GPIO[79]
DSPI_0
CS1
—
SIUL
—
EIRQ[27]
SIUL
GPIO[78]
GPIO[78]
eTimer_1
ETC[5]
ETC[5]
SIUL
—
EIRQ[26]
SIUL
GPIO[19]
GPIO[19]
SSCM
DEBUG[3]
—
LINFlexD_0
—
RXD
SIUL
GPIO[93]
GPIO[93]
eTimer_1
ETC[4]
ETC[4]
SIUL
—
EIRQ[31]
SIUL
GPIO[16]
GPIO[16]
FlexCAN_0
TXD
—
eTimer_1
ETC[2]
ETC[2]
SSCM
DEBUG[0]
—
SIUL
—
EIRQ[15]
B16
VDD_HV_IO_RING
—
B17
VSS_HV_IO_RING
—
C1
VDD_HV_IO_RING
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
Port/function
C2
Not connected
—
C3
VSS_HV_IO_RING
—
C4
FCCU_F[1]
C5
C6
Peripheral
Output function
FCCU
F[1]
F[1]
SIUL
GPIO[50]
GPIO[50]
eTimer_1
ETC[3]
ETC[3]
FlexPWM_0
X[3]
X[3]
FlexRay
—
CB_RX
SIUL
GPIO[13]
GPIO[13]
FlexPWM_0
B[2]
B[2]
DSPI_2
—
SIN
FlexPWM_0
—
FAULT[0]
SIUL
—
EIRQ[12]
D[2]
A[13]
C7
VDD_HV_REG_2
—
C8
VDD_HV_REG_2
—
C9
JCOMP
C11
H[11]
C13
C14
C15
SIUL
GPIO[128]
GPIO[128]
eTimer_2
ETC[0]
ETC[0]
DSPI_0
CS4
—
FlexPWM_1
—
FAULT[0]
—
—
JCOMP
SIUL
GPIO[123]
GPIO[123]
FlexPWM_1
A[2]
A[2]
SIUL
GPIO[129]
GPIO[129]
eTimer_2
ETC[1]
ETC[1]
DSPI_0
CS5
—
FlexPWM_1
—
FAULT[1]
SIUL
GPIO[94]
GPIO[94]
LINFlexD_1
TXD
—
SIUL
GPIO[17]
GPIO[17]
eTimer_1
ETC[3]
ETC[3]
SSCM
DEBUG[1]
—
FlexCAN_0
—
RXD
FlexCAN_1
—
RXD
SIUL
—
EIRQ[16]
I[0]
C10
C12
Input function
I[1]
F[14]
B[1]
—
VSS_HV_IO_RING
DocID026934 Rev 1
49/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
C16
C17
D1
D2
D3
D4
Peripheral
Output function
Input function
SIUL
GPIO[4]
GPIO[4]
eTimer_1
ETC[0]
ETC[0]
DSPI_2
CS1
—
eTimer_0
ETC[4]
ETC[4]
MC_RGM
—
FAB
SIUL
—
EIRQ[4]
SIUL
GPIO[92]
GPIO[92]
eTimer_1
ETC[3]
ETC[3]
SIUL
—
EIRQ[30]
SIUL
GPIO[85]
GPIO[85]
NPC
MDO[2]
—
SIUL
GPIO[84]
GPIO[84]
NPC
MDO[3]
—
SIUL
GPIO[15]
GPIO[15]
eTimer_1
ETC[5]
ETC[5]
FlexCAN_1
—
RXD
FlexCAN_0
—
RXD
SIUL
—
EIRQ[14]
SIUL
GPIO[38]
GPIO[38]
DSPI_0
SOUT
—
FlexPWM_0
B[1]
B[1]
SSCM
DEBUG[6]
—
SIUL
—
EIRQ[24]
A[4]
F[12]
F[5]
F[4]
A[15]
C[6]
D5
VSS_LV_CORE_RING
—
D6
VDD_LV_CORE_RING
—
D7
50/137
Port/function
SIUL
GPIO[80]
GPIO[80]
FlexPWM_0
A[1]
A[1]
eTimer_0
—
ETC[2]
SIUL
—
EIRQ[28]
F[0]
D8
VDD_HV_IO_RING
—
D9
VSS_HV_IO_RING
—
D10
Not connected
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
D11
D12
D13
Port/function
A[11]
Peripheral
Output function
Input function
SIUL
GPIO[11]
GPIO[11]
DSPI_2
SCK
SCK
FlexPWM_0
A[0]
A[0]
FlexPWM_0
A[2]
A[2]
SIUL
—
EIRQ[10]
SIUL
GPIO[77]
GPIO[77]
eTimer_0
ETC[5]
ETC[5]
DSPI_2
CS3
—
SIUL
—
EIRQ[25]
SIUL
GPIO[95]
GPIO[95]
LINFlexD_1
—
RXD
E[13]
F[15]
D14
VDD_HV_IO_RING
—
D15
VPP_TEST(1)
—
D16
D17
D[14]
G[3]
E1
MDO0
E2
F[6]
E3
SIUL
GPIO[62]
GPIO[62]
FlexPWM_0
B[1]
B[1]
eTimer_0
—
ETC[3]
SIUL
GPIO[99]
GPIO[99]
FlexPWM_0
A[2]
A[2]
eTimer_0
—
ETC[4]
—
SIUL
GPIO[86]
GPIO[86]
NPC
MDO[1]
—
SIUL
GPIO[49]
GPIO[49]
eTimer_1
ETC[2]
ETC[2]
CTU_0
EXT_TGR
—
FlexRay
—
CA_RX
D[1]
E4
NMI
—
E14
Not connected
—
E15
E16
C[14]
G[2]
SIUL
GPIO[46]
GPIO[46]
eTimer_1
ETC[2]
ETC[2]
CTU_0
EXT_TGR
—
SIUL
GPIO[98]
GPIO[98]
FlexPWM_0
X[2]
X[2]
DSPI_1
CS1
—
DocID026934 Rev 1
51/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
E17
F1
F2
F3
F4
I[3]
Peripheral
Output function
Input function
SIUL
GPIO[131]
GPIO[131]
eTimer_2
ETC[3]
ETC[3]
DSPI_0
CS7
—
CTU_0
EXT_TGR
—
FlexPWM_1
—
FAULT[3]
SIUL
GPIO[113]
GPIO[113]
NPC
MDO[6]
—
SIUL
GPIO[108]
GPIO[108]
NPC
MDO[11]
—
SIUL
GPIO[7]
GPIO[7]
DSPI_1
SOUT
—
SIUL
—
EIRQ[7]
SIUL
GPIO[8]
GPIO[8]
DSPI_1
—
SIN
SIUL
—
EIRQ[8]
H[1]
G[12]
A[7]
A[8]
F6
VDD_LV_CORE_RING
—
F7
VDD_LV_CORE_RING
—
F8
VDD_LV_CORE_RING
—
F9
VDD_LV_CORE_RING
—
F10
VDD_LV_CORE_RING
—
F11
VDD_LV_CORE_RING
—
F12
VDD_LV_CORE_RING
—
F14
Not connected
—
F15
F16
F17
52/137
Port/function
SIUL
GPIO[45]
GPIO[45]
eTimer_1
ETC[1]
ETC[1]
CTU_0
—
EXT_IN
FlexPWM_0
—
EXT_SYNC
SIUL
GPIO[130]
GPIO[130]
eTimer_2
ETC[2]
ETC[2]
DSPI_0
CS6
—
FlexPWM_1
—
FAULT[2]
SIUL
GPIO[100]
GPIO[100]
FlexPWM_0
B[2]
B[2]
eTimer_0
—
ETC[5]
C[13]
I[2]
G[4]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
Port/function
G1
H[3]
G2
G3
G4
Peripheral
Output function
Input function
SIUL
GPIO[115]
GPIO[115]
NPC
MDO[4]
—
VDD_HV_IO_RING
C[5]
A[6]
—
SIUL
GPIO[37]
GPIO[37]
DSPI_0
SCK
SCK
SSCM
DEBUG[5]
—
FlexPWM_0
—
FAULT[3]
SIUL
—
EIRQ[23]
SIUL
GPIO[6]
GPIO[6]
DSPI_1
SCK
SCK
SIUL
—
EIRQ[6]
G6
VDD_LV_CORE_RING
—
G7
VSS_LV_CORE_RING
—
G8
VSS_LV_CORE_RING
—
G9
VSS_LV_CORE_RING
—
G10
VSS_LV_CORE_RING
—
G11
VSS_LV_CORE_RING
—
G12
VDD_LV_CORE_RING
—
G14
G15
G16
G17
H1
H2
D[12]
H[13]
H[9]
SIUL
GPIO[60]
GPIO[60]
FlexPWM_0
X[1]
X[1]
LINFlexD_1
—
RXD
SIUL
GPIO[125]
GPIO[125]
FlexPWM_1
X[3]
X[3]
eTimer_2
ETC[3]
ETC[3]
SIUL
GPIO[121]
GPIO[121]
FlexPWM_1
B[1]
B[1]
DSPI_0
CS7
—
SIUL
GPIO[102]
GPIO[102]
FlexPWM_0
A[3]
A[3]
SIUL
GPIO[109]
GPIO[109]
NPC
MDO[10]
—
G[6]
G[13]
—
VSS_HV_IO_RING
DocID026934 Rev 1
53/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
H3
H4
C[4]
A[5]
Peripheral
Output function
Input function
SIUL
GPIO[36]
GPIO[36]
DSPI_0
CS0
CS0
FlexPWM_0
X[1]
X[1]
SSCM
DEBUG[4]
—
SIUL
—
EIRQ[22]
SIUL
GPIO[5]
GPIO[5]
DSPI_1
CS0
CS0
eTimer_1
ETC[5]
ETC[5]
DSPI_0
CS7
—
SIUL
—
EIRQ[5]
H6
VDD_LV
—
H7
VSS_LV
—
H8
VSS_LV
—
H9
VSS_LV
—
H10
VSS_LV
—
H11
VSS_LV
—
H12
VDD_LV
—
H14
VSS_LV
—
H15
VDD_HV_REG_1
—
H16
VDD_HV_FLA
—
H17
J1
J2
54/137
Port/function
H[6]
SIUL
GPIO[118]
GPIO[118]
FlexPWM_1
B[0]
B[0]
DSPI_0
CS5
—
SIUL
GPIO[87]
GPIO[87]
NPC
MCKO
—
SIUL
GPIO[111]
GPIO[111]
NPC
MDO[8]
—
F[7]
G[15]
J3
VDD_HV_REG_0
—
J4
VDD_HV_REG_0
—
J6
VDD_LV
—
J7
VSS_LV
—
J8
VSS_LV
—
J9
VSS_LV
—
J10
VSS_LV
—
J11
VSS_LV
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
Port/function
J12
VDD_LV
—
J14
VDD_LV
—
J15
VDD_HV_REG_1
—
J16
VSS_HV_FLA
—
J17
K1
K2
K3
K4
H[15]
Peripheral
Output function
SIUL
GPIO[127]
GPIO[127]
FlexPWM_1
B[3]
B[3]
eTimer_2
ETC[5]
ETC[5]
SIUL
GPIO[89]
GPIO[89]
NPC
MSEO[0]
—
SIUL
GPIO[88]
GPIO[88]
NPC
MSEO[1]
—
NPC
RDY
—
SIUL
GPIO[132]
GPIO[132]
SIUL
GPIO[39]
GPIO[39]
FlexPWM_0
A[1]
A[1]
SSCM
DEBUG[7]
—
DSPI_0
—
SIN
F[9]
F[8]
RDY
C[7]
K6
VDD_LV
—
K7
VSS_LV
—
K8
VSS_LV
—
K9
VSS_LV
—
K10
VSS_LV
—
K11
VSS_LV
—
K12
VDD_LV
—
K14
Not connected
—
K15
K16
Input function
H[8]
H[7]
SIUL
GPIO[120]
GPIO[120]
FlexPWM_1
A[1]
A[1]
DSPI_0
CS6
—
SIUL
GPIO[119]
GPIO[119]
FlexPWM_1
X[1]
X[1]
eTimer_2
ETC[1]
ETC[1]
DocID026934 Rev 1
55/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
K17
L1
L2
L3
Peripheral
Output function
Input function
SIUL
GPIO[3]
GPIO[3]
eTimer_0
ETC[3]
ETC[3]
DSPI_2
CS0
CS0
FlexPWM_0
B[3]
B[3]
MC_RGM
—
ABS[2]
SIUL
—
EIRQ[3]
SIUL
GPIO[90]
GPIO[90]
NPC
EVTO
—
SIUL
GPIO[91]
GPIO[91]
NPC
—
EVTI
SIUL
GPIO[57]
GPIO[57]
FlexPWM_0
X[0]
X[0]
LINFlexD_1
TXD
—
A[3]
F[10]
F[11]
D[9]
L4
Not connected
—
L6
VDD_LV
—
L7
VSS_LV
—
L8
VSS_LV
—
L9
VSS_LV
—
L10
VSS_LV
—
L11
VSS_LV
—
L12
VDD_LV
—
L14
Not connected
—
L15
TCK
—
L16
L17
H[4]
SIUL
GPIO[116]
GPIO[116]
FlexPWM_1
X[0]
X[0]
eTimer_2
ETC[0]
ETC[0]
SIUL
GPIO[20]
GPIO[20]
JTAGC
TDO
—
B[4]
M1
VDD_HV_OSC
—
M2
VDD_HV_IO_RING
—
M3
56/137
Port/function
D[8]
SIUL
GPIO[56]
GPIO[56]
DSPI_1
CS2
—
eTimer_1
ETC[4]
ETC[4]
DSPI_0
CS5
—
FlexPWM_0
—
FAULT[3]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
Port/function
M4
Not connected
—
M6
VDD_LV
—
M7
VDD_LV
—
M8
VDD_LV
—
M9
VDD_LV
—
M10
VDD_LV
—
M11
VDD_LV
—
M12
VDD_LV
—
M14
M15
M16
M17
C[11]
Peripheral
Output function
SIUL
GPIO[43]
GPIO[43]
eTimer_0
ETC[4]
ETC[4]
DSPI_2
CS2
—
SIUL
GPIO[21]
GPIO[21]
JTAGC
—
TDI
B[5]
TMS
H[5]
—
SIUL
GPIO[117]
GPIO[117]
FlexPWM_1
A[0]
A[0]
DSPI_0
CS4
—
N1
XTAL
—
N2
VSS_HV_IO_RING
—
N3
D[5]
SIUL
GPIO[53]
GPIO[53]
DSPI_0
CS3
—
FlexPWM_0
—
FAULT[2]
N4
VSS_LV_PLL0_PLL1
—
N14
Not connected
—
N15
N16
Input function
C[12]
SIUL
GPIO[44]
GPIO[44]
eTimer_0
ETC[5]
ETC[5]
DSPI_2
CS3
—
SIUL
GPIO[2]
GPIO[2]
eTimer_0
ETC[2]
ETC[2]
FlexPWM_0
A[3]
A[3]
DSPI_2
—
SIN
MC_RGM
—
ABS[0]
SIUL
—
EIRQ[2]
A[2]
DocID026934 Rev 1
57/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
N17
G[5]
Peripheral
Output function
Input function
SIUL
GPIO[101]
GPIO[101]
FlexPWM_0
X[3]
X[3]
DSPI_2
CS3
—
P1
VSS_HV_OSC
—
P2
RESET
—
P3
SIUL
GPIO[54]
GPIO[54]
DSPI_0
CS2
—
FlexPWM_0
X[3]
X[3]
FlexPWM_0
—
FAULT[1]
D[6]
P4
VDD_LV_PLL0_PLL1
—
P5
VDD_LV_CORE_RING
—
P6
VSS_LV_CORE_RING
—
P7
B[8]
SIUL
—
GPIO[24]
eTimer_0
—
ETC[5]
ADC_0
—
AN[1]
P8
Not connected
—
P9
VSS_HV_IO_RING
—
P10
VDD_HV_IO_RING
—
P11
SIUL
—
GPIO[30]
eTimer_0
—
ETC[4]
SIUL
—
EIRQ[19]
ADC_1
—
AN[1]
B[14]
P12
VDD_LV_CORE_RING
—
P13
VSS_LV_CORE_RING
—
P14
VDD_HV_IO_RING
—
P15
P16
58/137
Port/function
SIUL
GPIO[106]
GPIO[106]
FlexRay
DBG2
—
DSPI_2
CS3
—
FlexPWM_0
—
FAULT[2]
SIUL
GPIO[104]
GPIO[104]
FlexRay
DBG0
—
DSPI_0
CS1
—
FlexPWM_0
—
FAULT[0]
SIUL
—
EIRQ[21]
G[10]
G[8]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
Port/function
P17
G[7]
R1
EXTAL
R2
FCCU_F[0]
R3
VSS_HV_IO_RING
R4
R5
R6
R7
R8
R9
R10
R11
R12
R13
R14
R15
Peripheral
Output function
Input function
SIUL
GPIO[103]
GPIO[103]
FlexPWM_0
B[3]
B[3]
—
FCCU
F[0]
F[0]
—
SIUL
GPIO[55]
GPIO[55]
DSPI_1
CS3
—
DSPI_0
CS4
—
SWG
analog output
—
SIUL
—
GPIO[23]
LINFlexD_0
—
RXD
ADC_0
—
AN[0]
SIUL
—
GPIO[70]
ADC_0
—
AN[4]
D[7]
B[7]
E[6]
—
VDD_HV_ADR0
B[10]
SIUL
—
GPIO[26]
ADC_0
ADC_1
—
AN[12]
VDD_HV_ADR1
B[13]
B[15]
—
SIUL
—
GPIO[29]
LINFlexD_1
—
RXD
ADC_1
—
AN[0]
SIUL
—
GPIO[31]
SIUL
—
EIRQ[20]
ADC_1
—
AN[2]
SIUL
—
GPIO[32]
ADC_1
—
AN[3]
C[0]
BCTRL
—
SIUL
GPIO[1]
GPIO[1]
eTimer_0
ETC[1]
ETC[1]
DSPI_2
SOUT
—
SIUL
—
EIRQ[1]
A[1]
—
VSS_HV_IO_RING
DocID026934 Rev 1
59/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 4. LFBGA257 pin function summary (continued)
Pin #
R16
R17
D[11]
G[9]
Peripheral
Output function
Input function
SIUL
GPIO[59]
GPIO[59]
FlexPWM_0
B[0]
B[0]
eTimer_0
—
ETC[1]
SIUL
GPIO[105]
GPIO[105]
FlexRay
DBG1
—
DSPI_1
CS1
—
FlexPWM_0
—
FAULT[1]
SIUL
—
EIRQ[29]
T1
VSS_HV_IO_RING
—
T2
VDD_HV_IO_RING
—
T3
Not connected
—
T4
C[1]
T5
T6
T7
T8
B[11]
E[9]
T14
GPIO[33]
ADC_0
—
AN[2]
SIUL
—
GPIO[69]
ADC_0
—
AN[8]
SIUL
—
GPIO[71]
ADC_0
—
AN[6]
VSS_HV_ADR0
T10
T13
—
E[7]
VSS_HV_ADR1
T12
SIUL
E[5]
T9
T11
60/137
Port/function
—
SIUL
—
GPIO[27]
ADC_0
ADC_1
—
AN[13]
—
SIUL
—
GPIO[73]
ADC_1
—
AN[7]
SIUL
—
GPIO[74]
ADC_1
—
AN[8]
SIUL
—
GPIO[76]
ADC_1
—
AN[6]
SIUL
—
GPIO[64]
ADC_1
—
AN[5]
SIUL
GPIO[0]
GPIO[0]
eTimer_0
ETC[0]
ETC[0]
DSPI_2
SCK
SCK
SIUL
—
EIRQ[0]
E[10]
E[12]
E[0]
A[0]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 4. LFBGA257 pin function summary (continued)
Pin #
T15
Port/function
D[10]
Peripheral
Output function
Input function
SIUL
GPIO[58]
GPIO[58]
FlexPWM_0
A[0]
A[0]
eTimer_0
—
ETC[0]
T16
VDD_HV_IO_RING
—
T17
VSS_HV_IO_RING
—
U1
VSS_HV_IO_RING
—
U2
VSS_HV_IO_RING
—
U3
Not connected
—
U4
E[4]
U5
U6
U7
U8
SIUL
—
GPIO[68]
ADC_0
—
AN[7]
SIUL
—
GPIO[34]
ADC_0
—
AN[3]
SIUL
—
GPIO[66]
ADC_0
—
AN[5]
SIUL
—
GPIO[25]
ADC_0
ADC_1
—
AN[11]
SIUL
—
GPIO[28]
ADC_0
ADC_1
—
AN[14]
C[2]
E[2]
B[9]
B[12]
U9
VDD_HV_ADV
—
U10
VSS_HV_ADV
—
U11
E[11]
SIUL
—
GPIO[75]
ADC_1
—
AN[4]
U12
Not connected
—
U13
Not connected
—
U14
VDD_HV_PMU
—
U15
G[11]
SIUL
GPIO[107]
GPIO[107]
FlexRay
DBG3
—
FlexPWM_0
—
FAULT[3]
U16
VSS_HV_IO_RING
—
U17
VSS_HV_IO_RING
—
1. VPP_TEST should always be tied to ground (VSS) for normal operations.
DocID026934 Rev 1
61/137
136
Package pinouts and signal descriptions
2.2
RPC56EL60L5
Supply pins
Table 5. Supply pins
Supply
Pin #
144
pkg
257
pkg
Voltage regulator external NPN ballast base control pin
69
R13
VDD_LV_COR
Core logic supply
70
VDD_LV(1)
VSS_LV_COR
Core regulator ground
71
VSS_LV(2)
VDD_HV_PMU
Voltage regulator supply
72
U14
Symbol
Description
VREG control and power supply pins
BCTRL
ADC_0/ADC_1 reference voltage and ADC supply
VDD_HV_ADR0
ADC_0 high reference voltage
50
R7
VSS_HV_ADR0
ADC_0 low reference voltage
51
T7
VDD_HV_ADR1
ADC_1 high reference voltage
56
R9
VSS_HV_ADR1
ADC_1 low reference voltage
57
T9
VDD_HV_ADV
ADC voltage supply for ADC_0 and ADC_1
58
U9
VSS_HV_ADV
ADC ground for ADC_0 and ADC_1
59
U10
Power supply pins (3.3 V)
VDD_HV_IO
3.3 V Input/Output supply voltage
6
VDD_HV(3)
VSS_HV_IO
3.3 V Input/Output ground
7
VSS_HV(4)
VDD_HV_REG_0
16
J3
VDD_HV_IO
3.3 V Input/Output supply voltage
21
VDD_HV(3)
VSS_HV_IO
3.3 V Input/Output ground
22
VSS_HV(4)
VDD_HV_OSC
Crystal oscillator amplifier supply voltage
27
M1
VSS_HV_OSC
Crystal oscillator amplifier ground
28
P1
VSS_HV_IO
3.3 V Input/Output ground
90
VSS_HV(4)
VDD_HV_IO
3.3 V Input/Output supply voltage
91
VDD_HV(3)
VDD_HV_REG_1
95
H15
VSS_HV_FLA
VSS_HV_FLA
96
J16
VDD_HV_FLA
VDD_HV_FLA
97
H16
VDD_HV_IO
VDD_HV_IO
126
VDD_HV(3)
VSS_HV_IO
VSS_HV_IO
127
VSS_HV(4)
VDD_HV_REG_2
130
C7
17
VSS_HV(2)
VDD_HV_REG_0
VDD_HV_REG_1
VDD_HV_REG_2
Power supply pins (1.2 V)
VSS_LV_COR
62/137
VSS_LV_COR 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 5. Supply pins (continued)
Supply
Symbol
Description
Pin #
144
pkg
257
pkg
VDD_LV_COR
VDD_LV_COR
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VSS_LV_COR pin.
18
VDD_LV(1)
VSS 1V2
VSS_LV_PLL0_PLL1 / 
1.2 V Decoupling pins for on-chip FMPLL modules. Decoupling capacitor
must be connected between this pin and VDD_LV_PLL.
35
N4
VDD 1V2
VDD_LV_PLL0_PLL1 
Decoupling pins for on-chip FMPLL modules. Decoupling capacitor must be
connected between this pin and VSS_LV_PLL.
36
P4
VDD_LV_COR
VDD_LV_COR 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VSS_LV_COR pin.
39
VDD_LV(1)
VSS_LV_COR
VSS_LV_COR 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
40
VSS_LV(2)
VDD_LV_COR
VDD_LV_COR 
Decoupling pins for core logic and Regulator feedback. Decoupling
capacitor must be connected between this pins and VSS_LV_REGCOR.
70
VDD_LV(1)
VSS_LV_COR
VSS_LV_REGCOR0 
Decoupling pins for core logic and Regulator feedback. Decoupling
capacitor must be connected between this pins and VDD_LV_REGCOR.
71
VSS_LV(2)
VDD_LV_COR
VDD_LV_COR
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VSS_LV_COR pin.
93
VDD_LV(1)
VSS_LV_COR
VSS_LV_COR 
/ 1.2 V Decoupling pins for core logic. Decoupling capacitor must be
connected between these pins and the nearest VDD_LV_COR pin.
94
VSS_LV(2)
VDD 1V2
VDD_LV_COR 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
131
VDD_LV(1)
VSS 1V2
VSS_LV_COR 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
132
VSS_LV(2)
VDD 1V2
VDD_LV_COR /
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
135
VDD_LV(1)
VSS 1V2
VSS_LV_COR / 
Decoupling pins for core logic. Decoupling capacitor must be connected
between these pins and the nearest VDD_LV_COR pin.
137
VSS_LV(2)
1. VDD_LV balls are tied together on the LFBGA257 substrate.
2. VSS_LV balls are tied together on the LFBGA257 substrate.
3. VDD_HV balls are tied together on the LFBGA257 substrate.
4. VSS_HV balls are tied together on the LFBGA257 substrate.
DocID026934 Rev 1
63/137
136
Package pinouts and signal descriptions
2.3
RPC56EL60L5
System pins
Table 6. System pins
Pin #
Symbol
Description
Direction
144
pkg
257
pkg
Output only
9
E1
Non Maskable Interrupt
Input only
1
E4
Input for oscillator amplifier circuit and internal clock generator
Input only
29
N1
Input/Output(4)
30
R1
Dedicated pins
MDO0(1)
NMI
(2)
XTAL
EXTAL(3)
Nexus Message Data Output — line
Oscillator amplifier output
(2)
JTAG state machine control
Input only
87
M16
(2)
JTAG clock
Input only
88
L15
JTAG compliance select
Input only
123
C10
Bidirectional
31
P2
107
D15
TMS
TCK
JCOMP(5)
Reset pin
RESET
Bidirectional reset with Schmitt-Trigger characteristics and noise
filter. This pin has medium drive strength. Output drive is open drain
and must be terminated by an external resistor of value 1KOhm.(6)
Test pin
VPP TEST
Pin for testing purpose only. To be tied to ground in normal
operating mode.
1. This pad is configured for Fast (F) pad speed.
2. This pad contains a weak pull-up.
3. EXTAL is an "Output" in "crystal" mode, and is an "Input" in "ext clock" mode.
4. In XOSC Bypass Mode, the analog portion of crystal oscillator (amplifier) is disabled. An external clock can be applied at
EXTAL as an input. In XOSC Normal Mode, EXTAL is an output
5. This pad contains a weak pull-down.
6. RESET output shall be considered valid only after the 3.3V supply reaches its stable value.
Note:
None of system pins (except RESET) provides an open drain output.
2.4
Pin muxing
Table 7 defines the pin list and muxing for this device.
Each entry of Table 7 shows all the possible configurations for each pin, via the alternate
functions. The default function assigned to each pin after reset is indicated by ALT0.
Note:
Pins labeled “NC” are to be left unconnected. Any connection to an external circuit or
voltage may cause unpredictable device behavior or damage.
Note:
Pins labeled “Reserved” are to be tied to ground. Not doing so may cause unpredictable
device behavior.
64/137
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing
Port
name
PCR
Peripheral
Alternate
output
function
Output
Input
mux sel functions
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
Port A
A[0]
A[1]
A[2]
SIUL
GPIO[0]
ALT0
GPIO[0]
—
eTimer_0
ETC[0]
ALT1
ETC[0]
PSMI[35];
PADSEL=
0
PCR[0]
DSPI_2
SCK
ALT2
SCK
PSMI[1];
PADSEL=
0
SIUL
—
—
EIRQ[0]
—
SIUL
GPIO[1]
ALT0
GPIO[1]
—
eTimer_0
ETC[1]
ALT1
ETC[1]
PSMI[36];
PADSEL=
0
PCR[1]
DSPI_2
SOUT
ALT2
—
—
SIUL
—
—
EIRQ[1]
—
SIUL
GPIO[2]
ALT0
GPIO[2]
—
eTimer_0
ETC[2]
ALT1
ETC[2]
PSMI[37];
PADSEL=
0
FlexPWM_0
A[3]
ALT3
A[3]
PSMI[23];
PADSEL=
0
PCR[2]
DSPI_2
—
—
SIN
PSMI[2];
PADSEL=
0
MC_RGM
—
—
ABS[0]
—
SIUL
—
—
EIRQ[2]
—
DocID026934 Rev 1
—
M
S
73 T14
—
M
S
74
R1
4
Pull down
M
S
84
N1
6
65/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
A[3]
A[4]
A[5]
A[6]
A[7]
66/137
PCR
Peripheral
Alternate
output
function
SIUL
GPIO[3]
ALT0
GPIO[3]
—
eTimer_0
ETC[3]
ALT1
ETC[3]
PSMI[38];
PADSEL=
0
DSPI_2
CS0
ALT2
CS0
PSMI[3];
PADSEL=
0
Output
Input
mux sel functions
PCR[3]
Input
mux
select
FlexPWM_0
B[3]
ALT3
B[3]
PSMI[27];
PADSEL=
0
MC_RGM
—
—
ABS[2]
—
SIUL
—
—
EIRQ[3]
—
SIUL
GPIO[4]
ALT0
GPIO[4]
—
eTimer_1
ETC[0]
ALT1
ETC[0]
PSMI[9];
PADSEL=
0
DSPI_2
CS1
ALT2
—
—
PCR[4]
PCR[5]
PCR[6]
PCR[7]
eTimer_0
ETC[4]
ALT3
ETC[4]
PSMI[7];
PADSEL=
0
MC_RGM
—
—
FAB
—
SIUL
—
—
EIRQ[4]
—
SIUL
GPIO[5]
ALT0
GPIO[5]
—
DSPI_1
CS0
ALT1
CS0
—
eTimer_1
ETC[5]
ALT2
ETC[5]
PSMI[14];
PADSEL=
0
DSPI_0
CS7
ALT3
—
—
SIUL
—
—
EIRQ[5]
—
SIUL
GPIO[6]
ALT0
GPIO[6]
—
DSPI_1
SCK
ALT1
SCK
—
SIUL
—
—
EIRQ[6]
—
SIUL
GPIO[7]
ALT0
GPIO[7]
—
DSPI_1
SOUT
ALT1
—
—
SIUL
—
—
EIRQ[7]
—
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
Pull down
M
S
92
K1
7
Pull down
M
S
108
C1
6
—
M
S
14
H4
—
M
S
2
G4
—
M
S
10
F3
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
A[8]
A[9]
A[10]
A[11]
Peripheral
Alternate
output
function
SIUL
GPIO[8]
ALT0
GPIO[8]
—
DSPI_1
—
—
SIN
—
SIUL
—
—
EIRQ[8]
—
SIUL
GPIO[9]
ALT0
GPIO[9]
—
DSPI_2
CS1
ALT1
—
—
B[3]
ALT3
B[3]
PSMI[27];
PADSEL=
1
FlexPWM_0
—
—
FAULT[0]
PSMI[16];
PADSEL=
0
SIUL
GPIO[10]
ALT0
GPIO[10]
—
DSPI_2
CS0
ALT1
CS0
PSMI[3];
PADSEL=
1
PCR[10
FlexPWM_0
]
B[0]
ALT2
B[0]
PSMI[24];
PADSEL=
0
FlexPWM_0
X[2]
ALT3
X[2]
PSMI[29];
PADSEL=
0
SIUL
—
—
EIRQ[9]
—
SIUL
GPIO[11]
ALT0
GPIO[11]
—
DSPI_2
SCK
ALT1
SCK
PSMI[1];
PADSEL=
1
PCR[11
FlexPWM_0
]
A[0]
ALT2
A[0]
PSMI[20];
PADSEL=
0
FlexPWM_0
A[2]
ALT3
A[2]
PSMI[22];
PADSEL=
0
SIUL
—
—
EIRQ[10]
—
PCR
PCR[8]
PCR[9] FlexPWM_0
Output
Input
mux sel functions
DocID026934 Rev 1
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
12
F4
—
M
S
134 B6
—
M
S
118
A1
3
—
M
S
120
D1
1
67/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
A[12]
A[13]
A[14]
A[15]
68/137
PCR
PCR[12
]
Peripheral
Alternate
output
function
SIUL
GPIO[12]
ALT0
GPIO[12]
—
DSPI_2
SOUT
ALT1
—
—
FlexPWM_0
A[2]
ALT2
A[2]
PSMI[22];
PADSEL=
1
Output
Input
mux sel functions
Input
mux
select
FlexPWM_0
B[2]
ALT3
B[2]
PSMI[26];
PADSEL=
0
SIUL
—
—
EIRQ[11]
—
SIUL
GPIO[13]
ALT0
GPIO[13]
—
FlexPWM_0
B[2]
ALT2
B[2]
PSMI[26];
PADSEL=
1
DSPI_2
—
—
SIN
PSMI[2];
PADSEL=
1
FlexPWM_0
—
—
FAULT[0]
PSMI[16];
PADSEL=
1
SIUL
—
—
EIRQ[12]
—
SIUL
GPIO[14]
ALT0
GPIO[14]
—
FlexCAN_1
TXD
ALT1
—
—
eTimer_1
ETC[4]
ALT2
ETC[4]
PSMI[13];
PADSEL=
0
SIUL
—
—
EIRQ[13]
—
SIUL
GPIO[15]
ALT0
GPIO[15]
—
eTimer_1
ETC[5]
ALT2
ETC[5]
PSMI[14];
PADSEL=
1
PCR[15
FlexCAN_1
]
—
—
RXD
PSMI[34];
PADSEL=
0
FlexCAN_0
—
—
RXD
PSMI[33];
PADSEL=
0
SIUL
—
—
EIRQ[14]
—
PCR[13
]
PCR[14
]
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
A1
0
—
M
S
122
—
M
S
136 C6
—
M
S
143 B4
—
M
S
144 D3
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
Output
Input
mux sel functions
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
Port B
B[0]
B[1]
B[2]
B[3]
B[4](2)
B[5]
PCR[16
]
SIUL
GPIO[16]
ALT0
GPIO[16]
—
FlexCAN_0
TXD
ALT1
—
—
eTimer_1
ETC[2]
ALT2
ETC[2]
PSMI[11];
PADSEL=
0
SSCM
DEBUG[0]
ALT3
—
—
SIUL
—
—
EIRQ[15]
—
SIUL
GPIO[17]
ALT0
GPIO[17]
—
eTimer_1
ETC[3]
ALT2
ETC[3]
PSMI[12];
PADSEL=
0
SSCM
DEBUG[1]
ALT3
—
—
PCR[17
]
FlexCAN_0
—
—
RXD
PSMI[33];
PADSEL=
1
FlexCAN_1
—
—
RXD
PSMI[34];
PADSEL=
1
SIUL
—
—
EIRQ[16]
—
SIUL
GPIO[18]
ALT0
GPIO[18]
—
TXD
ALT1
—
—
DEBUG[2]
ALT3
—
—
SIUL
—
—
EIRQ[17]
—
SIUL
GPIO[19]
ALT0
GPIO[19]
—
SSCM
DEBUG[3]
ALT3
—
—
PCR[18 LINFlexD_0
]
SSCM
PCR[19
]
PCR[20
]
PCR[21
]
LINFlexD_0
—
—
RXD
PSMI[31];
PADSEL=
0
SIUL
GPIO[20]
ALT0
GPIO[20]
—
JTAGC
TDO
ALT1
—
—
SIUL
GPIO[21]
ALT0
GPIO[21]
—
JTAGC
—
—
TDI
DocID026934 Rev 1
—
—
M
S
109
B1
5
—
M
S
110
C1
4
—
M
S
114
A1
4
—
M
S
116
B1
3
—
F
S
89
L17
Pull up
M
S
86
M1
5
69/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
B[6]
B[7]
B[8]
B[9]
B[10]
B[11]
B[12]
B[13]
PCR
PCR[22
]
Peripheral
Alternate
output
function
SIUL
GPIO[22]
ALT0
GPIO[22]
—
MC_CGM
clk_out
ALT1
—
—
DSPI_2
CS2
ALT2
—
—
SIUL
—
EIRQ[18]
—
SIUL
—
ALT0
GPI[23]
—
—
—
RXD
PSMI[31];
PADSEL=
1
ADC_0
—
—
AN[0](3)
—
SIUL
—
ALT0
GPI[24]
—
eTimer_0
—
—
ETC[5]
PSMI[8];
PADSEL=
2
ADC_0
—
—
AN[1](3)
—
SIUL
—
ALT0
GPI[25]
—
ADC_0
ADC_1
—
—
AN[11](3)
—
SIUL
—
ALT0
GPI[26]
—
ADC_0
ADC_1
—
—
AN[12](3)
—
SIUL
—
ALT0
GPI[27]
—
ADC_0
ADC_1
—
—
AN[13](3)
—
SIUL
—
ALT0
GPI[28]
—
ADC_0
ADC_1
—
—
AN[14](3)
—
SIUL
—
ALT0
GPI[29]
—
—
—
RXD
PSMI[32];
PADSEL=
0
—
—
AN[0](3)
—
PCR[23
LINFlexD_0
]
PCR[24
]
PCR[25
]
PCR[26
]
PCR[27
]
PCR[28
]
PCR[29
LINFlexD_1
]
ADC_1
70/137
Output
Input
mux sel functions
DocID026934 Rev 1
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
F
S
138 B3
—
—
—
43
R5
—
—
—
47
P7
—
—
—
52
U7
—
—
—
53
R8
—
—
—
54
T8
—
—
—
55
U8
—
—
—
60
R1
0
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
B[14]
PCR
PCR[30
]
Peripheral
Alternate
output
function
SIUL
—
ALT0
GPI[30]
—
eTimer_0
—
—
ETC[4]
PSMI[7];
PADSEL=
2
SIUL
B[15]
PCR[31
]
—
Output
Input
mux sel functions
Input
mux
select
—
EIRQ[19]
—
—
ADC_1
—
—
AN[1](3)
SIUL
—
ALT0
GPI[31]
—
SIUL
—
—
EIRQ[20]
—
ADC_1
—
—
AN[2](3)
—
Weak pull
config
during
reset
Pad
speed(1)
Pin #
SR
C
=1
SR 144 257
C
pk pk
=0 g
g
—
—
—
64 P11
—
—
—
62
R1
1
—
—
—
66
R1
2
—
—
—
41
T4
—
—
—
45
U5
—
M
S
11
H3
—
M
S
13
G3
Port C
C[0]
C[1]
C[2]
C[4]
C[5]
PCR[32
]
PCR[33
]
PCR[34
]
SIUL
ALT0
GPI[32]
AN[3]
—
(3)
—
ADC_1
—
—
SIUL
—
ALT0
GPI[33]
—
—
ADC_0
—
—
AN[2](3)
SIUL
—
ALT0
GPI[34]
—
ADC_0
—
—
AN[3](3)
—
SIUL
GPIO[36]
ALT0
GPIO[36]
—
DSPI_0
CS0
ALT1
CS0
—
X[1]
ALT2
X[1]
PSMI[28];
PADSEL=
0
SSCM
DEBUG[4]
ALT3
—
—
SIUL
—
—
EIRQ[22]
—
SIUL
GPIO[37]
ALT0
GPIO[37]
—
DSPI_0
SCK
ALT1
SCK
—
SSCM
DEBUG[5]
ALT3
—
—
PCR[36
FlexPWM_0
]
PCR[37
]
—
FlexPWM_0
—
—
FAULT[3]
PSMI[19];
PADSEL=
0
SIUL
—
—
EIRQ[23]
—
DocID026934 Rev 1
71/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
C[6]
C[7]
C[10]
C[11]
C[12]
72/137
PCR
Peripheral
Alternate
output
function
SIUL
GPIO[38]
ALT0
GPIO[38]
—
DSPI_0
SOUT
ALT1
—
—
B[1]
ALT2
B[1]
PSMI[25];
PADSEL=
0
SSCM
DEBUG[6]
ALT3
—
—
SIUL
—
—
EIRQ[24]
—
SIUL
GPIO[39]
ALT0
GPIO[39]
—
A[1]
ALT2
A[1]
PSMI[21];
PADSEL=
0
PCR[38
FlexPWM_0
]
PCR[39 FlexPWM_0
]
SSCM
DEBUG[7]
ALT3
—
—
DSPI_0
—
—
SIN
—
SIUL
GPIO[42]
ALT0
GPIO[42]
—
DSPI_2
CS2
ALT1
—
—
A[3]
ALT3
A[3]
PSMI[23];
PADSEL=
1
FlexPWM_0
—
—
FAULT[1]
PSMI[17];
PADSEL=
0
SIUL
GPIO[43]
ALT0
GPIO[43]
—
eTimer_0
ETC[4]
ALT1
ETC[4]
PSMI[7];
PADSEL=
1
DSPI_2
CS2
ALT2
—
—
SIUL
GPIO[44]
ALT0
GPIO[44]
—
eTimer_0
ETC[5]
ALT1
ETC[5]
PSMI[8];
PADSEL=
0
DSPI_2
CS3
ALT2
—
—
PCR[42 FlexPWM_0
]
PCR[43
]
PCR[44
]
Output
Input
mux sel functions
Input
mux
select
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
142 D4
—
M
S
15
K4
—
M
S
111
A1
5
—
M
S
80
M1
4
—
M
S
82
N1
5
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
C[13]
C[14]
C[15]
PCR
PCR[45
]
PCR[46
]
Peripheral
Alternate
output
function
SIUL
GPIO[45]
ALT0
GPIO[45]
—
eTimer_1
ETC[1]
ALT1
ETC[1]
PSMI[10];
PADSEL=
0
CTU_0
—
—
EXT_IN
PSMI[0];
PADSEL=
0
FlexPWM_0
—
—
EXT_SYN
C
PSMI[15];
PADSEL=
0
SIUL
GPIO[46]
ALT0
GPIO[46]
—
eTimer_1
ETC[2]
ALT1
ETC[2]
PSMI[11];
PADSEL=
1
CTU_0
EXT_TGR
ALT2
—
—
SIUL
GPIO[47]
ALT0
GPIO[47]
—
FlexRay
CA_TR_E
N
ALT1
—
—
eTimer_1
ETC[0]
ALT2
ETC[0]
PSMI[9];
PADSEL=
1
A[1]
ALT3
A[1]
PSMI[21];
PADSEL=
1
CTU_0
—
—
EXT_IN
PSMI[0];
PADSEL=
1
FlexPWM_0
—
—
EXT_SYN
C
PSMI[15];
PADSEL=
1
PCR[47
FlexPWM_0
]
Output
Input
mux sel functions
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
101 F15
—
M
S
103
—
SY
M
S
124 A8
—
SY
M
S
125 B8
E1
5
Port D
D[0]
PCR[48
]
SIUL
GPIO[48]
ALT0
GPIO[48]
—
FlexRay
CA_TX
ALT1
—
—
eTimer_1
ETC[1]
ALT2
ETC[1]
PSMI[10];
PADSEL=
1
FlexPWM_0
B[1]
ALT3
B[1]
PSMI[25];
PADSEL=
1
DocID026934 Rev 1
73/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
D[1]
D[2]
D[3]
D[4]
D[5]
PCR
PCR[49
]
Peripheral
Alternate
output
function
SIUL
GPIO[49]
ALT0
GPIO[49]
—
eTimer_1
ETC[2]
ALT2
ETC[2]
PSMI[11];
PADSEL=
2
CTU_0
EXT_TGR
ALT3
—
—
FlexRay
—
—
CA_RX
—
SIUL
GPIO[50]
ALT0
GPIO[50]
—
eTimer_1
ETC[3]
ALT2
ETC[3]
PSMI[12];
PADSEL=
1
PCR[50
]
PCR[51
]
PCR[52
]
PCR[53
]
FlexPWM_0
X[3]
ALT3
X[3]
PSMI[30];
PADSEL=
0
FlexRay
—
—
CB_RX
—
SIUL
GPIO[51]
ALT0
GPIO[51]
—
FlexRay
CB_TX
ALT1
—
—
eTimer_1
ETC[4]
ALT2
ETC[4]
PSMI[13];
PADSEL=
1
FlexPWM_0
A[3]
ALT3
A[3]
PSMI[23];
PADSEL=
2
SIUL
GPIO[52]
ALT0
GPIO[52]
—
FlexRay
CB_TR_E
N
ALT1
—
—
eTimer_1
ETC[5]
ALT2
ETC[5]
PSMI[14];
PADSEL=
2
FlexPWM_0
B[3]
ALT3
B[3]
PSMI[27];
PADSEL=
2
SIUL
GPIO[53]
ALT0
GPIO[53]
—
DSPI_0
CS3
ALT1
—
—
FAULT[2]
PSMI[18];
PADSEL=
0
FlexPWM_0
74/137
Output
Input
mux sel functions
Input
mux
select
—
—
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
3
E3
—
M
S
140 C5
—
SY
M
S
128 A7
—
SY
M
S
129 B7
—
M
S
33
N3
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
D[6]
D[7]
D[8]
D[9]
D[10]
PCR
Peripheral
Alternate
output
function
SIUL
GPIO[54]
ALT0
GPIO[54]
—
DSPI_0
CS2
ALT1
—
—
X[3]
ALT3
X[3]
PSMI[30];
PADSEL=
1
FlexPWM_0
—
—
FAULT[1]
PSMI[17];
PADSEL=
1
SIUL
GPIO[55]
ALT0
GPIO[55]
—
DSPI_1
CS3
ALT1
—
—
DSPI_0
CS4
ALT3
—
—
SWG
analog
output
—
—
—
SIUL
GPIO[56]
ALT0
GPIO[56]
—
DSPI_1
CS2
ALT1
—
—
eTimer_1
ETC[4]
ALT2
ETC[4]
PSMI[13];
PADSEL=
2
PCR[54 FlexPWM_0
]
PCR[55
]
PCR[56
]
DSPI_0
CS5
ALT3
—
—
FlexPWM_0
—
—
FAULT[3]
PSMI[19];
PADSEL=
1
GPIO[57]
ALT0
GPIO[57]
—
X[0]
ALT1
X[0]
—
TXD
ALT2
—
—
SIUL
GPIO[58]
ALT0
GPIO[58]
—
FlexPWM_0
A[0]
ALT1
A[0]
PSMI[20];
PADSEL=
1
SIUL
PCR[57
FlexPWM_0
]
LINFlexD_1
PCR[58
]
Output
Input
mux sel functions
Input
mux
select
eTimer_0
—
—
ETC[0]
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
34
P3
—
M
S
37
R4
—
M
S
32
M3
—
M
S
26
L3
—
M
S
76 T15
PSMI[35];
PADSEL=
1
75/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
D[11]
D[12]
D[14]
PCR
PCR[59
]
PCR[60
]
PCR[62
]
Peripheral
Alternate
output
function
SIUL
GPIO[59]
ALT0
GPIO[59]
—
FlexPWM_0
B[0]
ALT1
B[0]
PSMI[24];
PADSEL=
1
Output
Input
mux sel functions
eTimer_0
—
—
ETC[1]
SIUL
GPIO[60]
ALT0
GPIO[60]
FlexPWM_0
X[1]
ALT1
X[1]
Input
mux
select
PSMI[28];
PADSEL=
1
—
—
RXD
PSMI[32];
PADSEL=
1
SIUL
GPIO[62]
ALT0
GPIO[62]
—
FlexPWM_0
B[1]
ALT1
B[1]
PSMI[25];
PADSEL=
2
—
—
ETC[3]
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
78
R1
6
—
M
S
99
G1
4
—
M
S
105
D1
6
—
—
—
68 T13
—
—
—
49
U6
—
—
—
42
U4
—
—
—
44
T5
—
—
—
46
R6
—
—
—
48
T6
—
—
—
61 T10
PSMI[36];
PADSEL=
1
LINFlexD_1
eTimer_0
Weak pull
config
during
reset
PSMI[38];
PADSEL=
1
Port E
E[0]
E[2]
E[4]
E[5]
E[6]
E[7]
E[9]
76/137
PCR[64
]
PCR[66
]
PCR[68
]
PCR[69
]
PCR[70
]
PCR[71
]
PCR[73
]
SIUL
—
ALT0
GPI[64]
—
ADC_1
—
—
AN[5](3)
—
SIUL
—
ALT0
GPI[66]
—
—
ADC_0
—
—
AN[5](3)
SIUL
—
ALT0
GPI[68]
—
ADC_0
—
—
AN[7](3)
—
SIUL
—
ALT0
GPI[69]
—
(3)
—
ADC_0
—
—
AN[8]
SIUL
—
ALT0
GPI[70]
—
ADC_0
—
—
AN[4](3)
—
SIUL
—
ALT0
GPI[71]
—
(3)
—
ADC_0
—
—
AN[6]
SIUL
—
ALT0
GPI[73]
—
ADC_1
—
—
AN[7](3)
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
PCR[74
]
SIUL
—
E[10]
E[11]
E[12]
E[13]
E[14]
E[15]
PCR[75
]
PCR[76
]
PCR[77
]
PCR[78
]
PCR[79
]
Output
Input
mux sel functions
ALT0
ADC_1
—
—
SIUL
—
ALT0
Input
mux
select
GPI[74]
—
(3)
—
GPI[75]
—
AN[8]
AN[4]
(3)
—
ADC_1
—
—
SIUL
—
ALT0
GPI[76]
—
—
ADC_1
—
—
AN[6](3)
SIUL
GPIO[77]
ALT0
GPIO[77]
—
eTimer_0
ETC[5]
ALT1
ETC[5]
PSMI[8];
PADSEL=
1
DSPI_2
CS3
ALT2
—
—
SIUL
—
—
EIRQ[25]
—
SIUL
GPIO[78]
ALT0
GPIO[78]
—
eTimer_1
ETC[5]
ALT1
ETC[5]
PSMI[14];
PADSEL=
3
SIUL
—
—
EIRQ[26]
—
SIUL
GPIO[79]
ALT0
GPIO[79]
—
DSPI_0
CS1
ALT1
—
—
SIUL
—
—
EIRQ[27]
—
Weak pull
config
during
reset
Pad
speed(1)
Pin #
SR
C
=1
SR 144 257
C
pk pk
=0 g
g
—
—
—
63
T11
—
—
—
65
U1
1
—
—
—
67 T12
—
M
S
117
D1
2
—
M
S
119
B1
2
—
M
S
121 B11
—
M
S
133 D7
—
M
S
139 B5
—
F
S
Port F
F[0]
F[3]
F[4]
SIUL
GPIO[80]
ALT0
GPIO[80]
—
FlexPWM_0
A[1]
ALT1
A[1]
PSMI[21];
PADSEL=
2
PCR[80
]
PCR[83
]
PCR[84
]
eTimer_0
—
—
ETC[2]
PSMI[37];
PADSEL=
1
SIUL
—
—
EIRQ[28]
—
SIUL
GPIO[83]
ALT0
GPIO[83]
—
DSPI_0
CS6
ALT1
—
—
SIUL
GPIO[84]
ALT0
GPIO[84]
—
NPC
MDO[3]
ALT2
—
—
DocID026934 Rev 1
4
D2
77/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
PCR[85
]
SIUL
GPIO[85]
ALT0
GPIO[85]
—
F[5]
NPC
MDO[2]
ALT2
—
—
PCR[86
]
SIUL
GPIO[86]
ALT0
GPIO[86]
—
NPC
MDO[1]
ALT2
—
—
PCR[87
]
SIUL
GPIO[87]
ALT0
GPIO[87]
—
NPC
MCKO
ALT2
—
—
PCR[88
]
SIUL
GPIO[88]
ALT0
GPIO[88]
—
NPC
MSEO[1]
ALT2
—
—
PCR[89
]
SIUL
GPIO[89]
ALT0
GPIO[89]
—
NPC
MSEO[0]
ALT2
—
—
PCR[90
]
SIUL
GPIO[90]
ALT0
GPIO[90]
—
NPC
EVTO
ALT2
—
—
PCR[91
]
SIUL
GPIO[91]
ALT0
GPIO[91]
—
NPC
—
ALT2
EVTI
—
SIUL
GPIO[92]
ALT0
GPIO[92]
—
eTimer_1
ETC[3]
ALT1
ETC[3]
PSMI[12];
PADSEL=
2
SIUL
—
—
EIRQ[30]
—
SIUL
GPIO[93]
ALT0
GPIO[93]
—
eTimer_1
ETC[4]
ALT1
ETC[4]
PSMI[13];
PADSEL=
3
SIUL
—
—
EIRQ[31]
—
GPIO[94]
ALT0
GPIO[94]
—
TXD
ALT1
—
—
GPIO[95]
ALT0
GPIO[95]
—
—
—
RXD
F[6]
F[7]
F[8]
F[9]
F[10]
F[11]
F[12]
F[13]
F[14]
PCR[92
]
PCR[93
]
SIUL
PCR[94
]
LINFlexD_1
SIUL
F[15]
PCR[95
]
LINFlexD_1
Output
Input
mux sel functions
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
F
S
5
D1
—
F
S
8
E2
—
F
S
19
J1
—
F
S
20
K2
—
F
S
23
K1
—
F
S
24
L1
—
M
S
25
L2
—
M
S
106
C1
7
—
M
S
112
B1
4
—
M
S
115
C1
3
PSMI[32];
PADSEL=
2
—
M
S
113
D1
3
—
—
S
S
38
R2
FCCU
FCCU
_
F[0]
78/137
—
FCCU
F[0]
ALT0
F[0]
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
FCCU
_
F[1]
—
FCCU
F[1]
Output
Input
mux sel functions
ALT0
F[1]
Pad
speed(1)
Pin #
Input
mux
select
Weak pull
config
during
reset
—
—
S
S
141 C4
—
M
S
102
E1
6
—
M
S
104
D1
7
—
M
S
100 F17
—
M
S
85
N1
7
SR
C
=1
SR 144 257
C
pk pk
=0 g
g
Port G
SIUL
G[2]
G[3]
G[4]
G[5]
G[6]
GPIO[98]
ALT0
GPIO[98]
—
X[2]
ALT1
X[2]
PSMI[29];
PADSEL=
1
DSPI_1
CS1
ALT2
—
—
SIUL
GPIO[99]
ALT0
GPIO[99]
—
FlexPWM_0
A[2]
ALT1
A[2]
PSMI[22];
PADSEL=
2
PCR[98
FlexPWM_0
]
PCR[99
]
PCR[10
0]
eTimer_0
—
—
ETC[4]
PSMI[7];
PADSEL=
3
SIUL
GPIO[100]
ALT0
GPIO[100]
—
FlexPWM_0
B[2]
ALT1
B[2]
PSMI[26];
PADSEL=
2
eTimer_0
—
—
ETC[5]
PSMI[8];
PADSEL=
3
SIUL
GPIO[101]
ALT0
GPIO[101]
—
X[3]
ALT1
X[3]
PSMI[30];
PADSEL=
2
DSPI_2
CS3
ALT2
—
—
SIUL
GPIO[102]
ALT0
GPIO[102]
—
PSMI[23];
PADSEL=
3
—
M
S
98
G1
7
PSMI[27];
PADSEL=
3
—
M
S
83
P1
7
PCR[10
FlexPWM_0
1]
PCR[10
2]
FlexPWM_0
SIUL
G[7]
PCR[10
3]
FlexPWM_0
A[3]
ALT1
A[3]
GPIO[103]
ALT0
GPIO[103]
B[3]
ALT1
B[3]
DocID026934 Rev 1
79/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
G[8]
G[9]
PCR
PCR[10
4]
PCR[10
5]
PCR[10
G[10]
6]
G[11]
G[12]
G[13]
G[14]
G[15]
80/137
PCR[10
7]
Peripheral
Alternate
output
function
SIUL
GPIO[104]
ALT0
GPIO[104]
—
FlexRay
DBG0
ALT1
—
—
DSPI_0
CS1
ALT2
—
—
Output
Input
mux sel functions
Input
mux
select
FlexPWM_0
—
—
FAULT[0]
PSMI[16];
PADSEL=
2
SIUL
—
—
EIRQ[21]
—
SIUL
GPIO[105]
ALT0
GPIO[105]
—
FlexRay
DBG1
ALT1
—
—
DSPI_1
CS1
ALT2
—
—
FlexPWM_0
—
—
FAULT[1]
PSMI[17];
PADSEL=
2
SIUL
—
—
EIRQ[29]
—
SIUL
GPIO[106]
ALT0
GPIO[106]
—
FlexRay
DBG2
ALT1
—
—
DSPI_2
CS3
ALT2
—
—
FlexPWM_0
—
—
FAULT[2]
PSMI[18];
PADSEL=
1
SIUL
GPIO[107]
ALT0
GPIO[107]
—
FlexRay
DBG3
ALT1
—
—
FlexPWM_0
—
—
FAULT[3]
PSMI[19];
PADSEL=
2
PCR[10
8]
SIUL
GPIO[108]
ALT0
GPIO[108]
—
NPC
MDO[11]
ALT2
—
—
PCR[10
9]
SIUL
GPIO[109]
ALT0
GPIO[109]
—
NPC
MDO[10]
ALT2
—
—
PCR[11
0]
SIUL
GPIO[110]
ALT0
GPIO[110]
—
NPC
MDO[9]
ALT2
—
—
PCR[11
1]
SIUL
GPIO[111]
ALT0
GPIO[111]
—
NPC
MDO[8]
ALT2
—
—
DocID026934 Rev 1
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
81
P1
6
—
M
S
79
R1
7
—
M
S
77
P1
5
—
M
S
75
U1
5
—
F
S
—
F2
—
F
S
—
H1
—
F
S
—
A6
—
F
S
—
J2
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
Output
Input
mux sel functions
Input
mux
select
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
Port H
H[0]
H[1]
H[2]
H[3]
H[4]
PCR[11
2]
SIUL
GPIO[112]
ALT0
GPIO[112]
—
NPC
MDO[7]
ALT2
—
—
PCR[11
3]
SIUL
GPIO[113]
ALT0
GPIO[113]
—
NPC
MDO[6]
ALT2
—
—
PCR[11
4]
SIUL
GPIO[114]
ALT0
GPIO[114]
—
NPC
MDO[5]
ALT2
—
—
PCR[11
5]
SIUL
GPIO[115]
ALT0
GPIO[115]
—
NPC
MDO[4]
ALT2
—
—
SIUL
GPIO[116]
ALT0
GPIO[116]
—
FlexPWM_1
X[0]
ALT1
X[0]
—
PCR[11
6]
ETC[0]
ALT2
ETC[0]
PSMI[39];
PADSEL=
0
SIUL
PCR[11
FlexPWM_1
7]
DSPI_0
GPIO[117]
ALT0
GPIO[117]
—
A[0]
ALT1
A[0]
—
CS4
ALT3
—
—
SIUL
PCR[11
FlexPWM_1
8]
DSPI_0
GPIO[118]
ALT0
GPIO[118]
—
B[0]
ALT1
B[0]
—
CS5
ALT3
—
—
SIUL
GPIO[119]
ALT0
GPIO[119]
—
FlexPWM_1
X[1]
ALT1
X[1]
—
eTimer_2
H[5]
H[6]
H[7]
PCR[11
9]
ETC[1]
ALT2
ETC[1]
PSMI[40];
PADSEL=
0
SIUL
PCR[12
FlexPWM_1
0]
DSPI_0
GPIO[120]
ALT0
GPIO[120]
—
A[1]
ALT1
A[1]
—
CS6
ALT3
—
—
SIUL
PCR[12
FlexPWM_1
1]
DSPI_0
GPIO[121]
ALT0
GPIO[121]
—
B[1]
ALT1
B[1]
—
CS7
ALT3
—
—
eTimer_2
H[8]
H[9]
DocID026934 Rev 1
—
F
S
—
A5
—
F
S
—
F1
—
F
S
—
A4
—
F
S
—
G1
—
M
S
—
L16
—
M
S
—
M1
7
—
M
S
—
H1
7
—
M
S
—
K1
6
—
M
S
—
K1
5
—
M
S
—
G1
6
81/137
136
Package pinouts and signal descriptions
RPC56EL60L5
Table 7. Pin muxing (continued)
Port
name
PCR
Peripheral
Alternate
output
function
Output
Input
mux sel functions
Input
mux
select
SIUL
PCR[12
H[10]
FlexPWM_1
2]
eTimer_2
GPIO[122]
ALT0
GPIO[122]
—
X[2]
ALT1
X[2]
—
ETC[2]
ALT2
ETC[2]
—
SIUL
PCR[12
3]
FlexPWM_1
GPIO[123]
ALT0
GPIO[123]
—
A[2]
ALT1
A[2]
—
SIUL
PCR[12
4]
FlexPWM_1
GPIO[124]
ALT0
GPIO[124]
—
B[2]
ALT1
B[2]
—
SIUL
GPIO[125]
ALT0
GPIO[125]
—
FlexPWM_1
X[3]
ALT1
X[3]
—
H[11]
H[12]
H[13]
PCR[12
5]
ETC[3]
ALT2
ETC[3]
PSMI[42];
PADSEL=
0
SIUL
PCR[12
H[14]
FlexPWM_1
6]
eTimer_2
GPIO[126]
ALT0
GPIO[126]
—
A[3]
ALT1
A[3]
—
ETC[4]
ALT2
ETC[4]
—
SIUL
PCR[12
H[15]
FlexPWM_1
7]
eTimer_2
GPIO[127]
ALT0
GPIO[127]
—
B[3]
ALT1
B[3]
—
ETC[5]
ALT2
ETC[5]
—
eTimer_2
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
—
A11
—
M
S
—
C1
1
—
M
S
—
B1
0
—
M
S
—
G1
5
—
M
S
—
A1
2
—
M
S
—
J17
—
M
S
—
C9
—
M
S
—
C1
2
Port I
I[0]
I[1]
82/137
PCR[12
8]
PCR[12
9]
SIUL
GPIO[128]
ALT0
GPIO[128]
—
eTimer_2
ETC[0]
ALT1
ETC[0]
PSMI[39];
PADSEL=
1
DSPI_0
CS4
ALT2
—
—
FlexPWM_1
—
—
FAULT[0]
—
SIUL
GPIO[129]
ALT0
GPIO[129]
—
eTimer_2
ETC[1]
ALT1
ETC[1]
PSMI[40];
PADSEL=
1
DSPI_0
CS5
ALT2
—
—
FlexPWM_1
—
—
FAULT[1]
—
DocID026934 Rev 1
RPC56EL60L5
Package pinouts and signal descriptions
Table 7. Pin muxing (continued)
Port
name
I[2]
I[3]
RDY
PCR
PCR[13
0]
PCR[13
1]
PCR[13
2]
Peripheral
Alternate
output
function
SIUL
GPIO[130]
ALT0
GPIO[130]
—
eTimer_2
ETC[2]
ALT1
ETC[2]
PSMI[41];
PADSEL=
1
Output
Input
mux sel functions
Input
mux
select
DSPI_0
CS6
ALT2
—
—
FlexPWM_1
—
—
FAULT[2]
—
SIUL
GPIO[131]
ALT0
GPIO[131]
—
eTimer_2
ETC[3]
ALT1
ETC[3]
PSMI[42];
PADSEL=
1
DSPI_0
CS7
ALT2
—
—
CTU_0
EXT_TGR
ALT3
—
—
FlexPWM_1
—
—
FAULT[3]
—
SIUL
GPIO[132]
ALT0
GPIO[132]
—
NPC
RDY
ALT2
—
Weak pull
config
during
reset
Pad
speed(1)
SR
C
=1
Pin #
SR 144 257
C
pk pk
=0 g
g
—
M
S
—
F16
—
M
S
—
E1
7
—
F
S
—
K3
—
1. Programmable via the SRC (Slew Rate Control) bit in the respective Pad Configuration Register; S = Slow, M = Medium,
F = Fast, SYM = Symmetric (for FlexRay)
2. The default function of this pin out of reset is ALT1 (TDO).
3. Analog
Note:
Open Drain can be configured by the PCRn for all pins used as output (except FCCU_F[0]
and FCCU_F[1] ).
DocID026934 Rev 1
83/137
136
Electrical characteristics
RPC56EL60L5
3
Electrical characteristics
3.1
Introduction
This section contains detailed information on power considerations, DC/AC electrical
characteristics, and AC timing specifications for this device.
This device is designed to operate at 120 MHz. The electrical specifications are preliminary
and are from previous designs, design simulations, or initial evaluation. These specifications
may not be fully tested or guaranteed at this early stage of the product life cycle. Finalized
specifications will be published after complete characterization and device qualifications
have been completed.
The “Symbol” column of the electrical parameter and timings tables contains an additional
column containing “SR”, “CC”, “P”, “C”, “T”, or “D”.
3.2

“SR” identifies system requirements—conditions that must be provided to ensure
normal device operation. An example is the input voltage of a voltage regulator.

“CC” identifies controller characteristics—indicating the characteristics and timing of
the signals that the chip provides.

“P”, “C”, “T”, or “D” apply only to controller characteristics—specifications that define
normal device operation. They specify how each characteristic is guaranteed.
–
P: parameter is guaranteed by production testing of each individual device.
–
C: parameter is guaranteed by design characterization. Measurements are taken
from a statistically relevant sample size across process variations.
–
T: parameter is guaranteed by design characterization on a small sample size
from typical devices under typical conditions unless otherwise noted. All values
are shown in the typical (“typ”) column are within this category.
–
D: parameters are derived mainly from simulations.
Absolute maximum ratings
Table 8. Absolute maximum ratings(1)
Symbol
VDD_HV_REG
Parameter
SR
3.3 V voltage regulator supply voltage
Conditions
Min
Max
Unit
—
–0.3
4.5(2), (3)
V
(2), (3)
V
VDD_HV_IOx
SR
3.3 V input/output supply voltage
—
–0.3
VSS_HV_IOx
SR
Input/output ground voltage
—
–0.1
4.5
0.1
(2), (3)
V
VDD_HV_FLA
SR
3.3 V flash supply voltage
—
–0.3
VSS_HV_FLA
SR
Flash memory ground
—
–0.1
0.1
V
VDD_HV_OSC
SR
3.3 V crystal oscillator amplifier supply
voltage
—
–0.3
4.5 (2), (3)
V
SR
3.3 V crystal oscillator amplifier reference
voltage
—
–0.1
0.1
V
SR
3.3 V / 5.0 V ADC_0 high reference
voltage
3.3 V / 5.0 V ADC_1 high reference
voltage
—
–0.3
6.4 (2)
V
VSS_HV_OSC
VDD_HV_ADR0
(2)(3)
VDD_HV_ADR1
84/137
DocID026934 Rev 1
4.5
V
RPC56EL60L5
Electrical characteristics
Table 8. Absolute maximum ratings(1) (continued)
Symbol
Parameter
Conditions
Min
Max
Unit
VSS_HV_ADR0
VSS_HV_ADR1
SR
ADC_0 ground and low reference voltage
ADC_1 ground and low reference voltage
—
–0.1
0.1
V
VDD_HV_ADV
SR
3.3 V ADC supply voltage
—
–0.3
4.5 (4), (3)
V
VSS_HV_ADV
SR
3.3 V ADC supply ground
—
–0.1
0.1
V
TVDD
SR
Supply ramp rate
—
3.0 × 10-6
(3.0
V/sec)
0.5 V/s
V/
s
–0.3
6.0 (4)
SR
—
Voltage on any pin with respect to ground
(VSS_HV_IOx) or Vss_HV_ADRx
Relative to VDD
VDD + 0.3(4),
V
–0.3
VIN
(5)
IINJPAD
SR
Injected input current on any pin during
overload condition
—
–10
10
mA
IINJSUM
SR
Absolute sum of all injected input currents
during overload condition
—
–50
50
mA
TSTG
SR
Storage temperature
—
–55
150
°C
1. Functional operating conditions are given in the DC electrical characteristics. Absolute maximum ratings are stress ratings
only, and functional operation at the maxima is not guaranteed. Exposure to absolute maximum rating conditions for
extended periods may affect device reliability or cause permanent damage to the device.
2. Any voltage between operating condition and absolute max rating can be sustained for maximum cumulative time of 10
hours.
3. Voltage overshoots during a high-to-low or low-to-high transition must not exceed 10 seconds per instance.
4. Internal structures hold the input voltage less than the maximum voltage on all pads powered by VDDE supplies, if the
maximum injection current specification is met and VDDE is within the operating voltage specifications.
5. VDD has to be considered equal to to VDD_HV_ADRx in case of ADC pins, whilst it is VDD_HV_IOx for any other pin.
3.3
Recommended operating conditions
Table 9. Recommended operating conditions (3.3 V)
Symbol
Parameter
Conditions
Min(1)
Max
Unit
VDD_HV_REG
SR 3.3 V voltage regulator supply voltage
—
3.0
3.63
V
VDD_HV_IOx
SR 3.3 V input/output supply voltage
—
3.0
3.63
V
VSS_HV_IOx
SR Input/output ground voltage
—
0
0
V
VDD_HV_FLA
SR 3.3 V flash supply voltage
—
3.0
3.63
V
VSS_HV_FLA
SR Flash memory ground
—
0
0
V
VDD_HV_OSC
SR 3.3 V crystal oscillator amplifier supply voltage
—
3.0
3.63
V
VSS_HV_OSC
SR
3.3 V crystal oscillator amplifier reference
voltage
—
0
0
V
SR
3.3 V / 5.0 V ADC_0 high reference voltage
3.3 V / 5.0 V ADC_1 high reference voltage
—
4.5 to 5.5 or
3.0 to 3.63
V
VDD_HV_ADR0(2),
(3)
VDD_HV_ADR1
VDD_HV_ADV
SR 3.3 V ADC supply voltage
DocID026934 Rev 1
—
3.0
3.63
V
85/137
136
Electrical characteristics
RPC56EL60L5
Table 9. Recommended operating conditions (3.3 V) (continued)
Symbol
Parameter
ADC_0 ground and low reference voltage
ADC_1 ground and low reference voltage
Conditions
Min(1)
Max
Unit
—
0
0
V
VSS_HV_AD0
VSS_HV_AD1
SR
VSS_HV_ADV
SR 3.3 V ADC supply ground
—
0
0
V
VDD_LV_REGCOR
SR Internal supply voltage
—
—
—
V
VSS_LV_REGCOR
SR Internal reference voltage
—
0
0
V
SR Internal supply voltage
—
—
—
V
SR Internal reference voltage
—
0
0
V
SR Internal supply voltage
—
—
—
V
SR Internal reference voltage
—
0
0
V
–40
125
°C
–40
150
°C
(4)
(5)
VDD_LV_CORx2
VSS_LV_CORx
3
VDD_LV_PLL2
VSS_LV_PLL
3
TA
SR Ambient temperature under bias
TJ
SR Junction temperature under bias
fCPU
 120 MHz
—
1. Full functionality cannot be guaranteed when voltage drops below 3.0 V. In particular, ADC electrical characteristics and
I/Os DC electrical specification may not be guaranteed.
2. VDD_HV_ADR0 and VDD_HV_ADR1 cannot be operated at different voltages, and need to be supplied by the same voltage
source.
3.
must always be applied and should be stable before LBIST starts. If this supply is not above its absolute
minimum level, LBIST operations can fail.
VDD_HV_ADRx
4. Can be connected to emitter of external NPN. Low voltage supplies are not under user control. They are produced by an onchip voltage regulator.
5. For the device to function properly, the low voltage grounds (VSS_LV_xxx) must be shorted to high voltage grounds
(VSS_HV_xxx) and the low voltage supply pins (VDD_LV_xxx) must be connected to the external ballast emitter, if one is used.
3.4
Thermal characteristics
Table 10. Thermal characteristics for LQFP144 package(1)
Symbol
Parameter
Conditions
Valu
e
Unit
Single layer board – 1s
44
Four layer board – 2s2p
36
Thermal resistance, junction-to-ambient forced Single layer board – 1s
convection at 200 ft/min
Four layer board – 2s2p
35
D
Thermal resistance junction-to-board(3)
—
24
°C/
W
RJC
D
Thermal resistance junction-to-case(4)
—
8
°C/
W
JT
D
Junction-to-package-top natural convection(5)
—
2
°C/
W
RJA
D
RJMA
D
RJB
86/137
Thermal resistance, junction-to-ambient
natural convection(2)
DocID026934 Rev 1
30
°C/
W
°C/
W
RPC56EL60L5
Electrical characteristics
1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board)
temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal
resistance.
2. Junction-to-Ambient thermal resistance determined per JEDEC JESD51-3 and JESD51-6. Thermal test board meets
JEDEC specification for this package.
3. Junction-to-Board thermal resistance determined per JEDEC JESD51-8. Thermal test board meets JEDEC specification
for the specified package.
4. Junction-to-Case at the top of the package determined using MIL-STD 883 Method 1012.1. The cold plate temperature is
used for the case temperature. Reported value includes the thermal resistance of the interface layer.
5. Thermal characterization parameter indicating the temperature difference between the package top and the junction
temperature per JEDEC JESD51-2. When Greek letters are not available, the thermal characterization parameter is written
as Psi-JT.
Table 11. Thermal characteristics for LFBGA257 package(1)
Symbol
Parameter
Conditions
Value
Unit
Thermal resistance junction-to-ambient natural Single layer board – 1s
convection(2)
Four layer board – 2s2p
46
°C/
W
Thermal resistance, junction-to-ambient forced Single layer board – 1s
convection at 200 ft/min
Four layer board – 2s2p
37
RJA
D
RJMA
D
RJB
D
Thermal resistance junction-to-board(3)
—
13
°C/
W
RJC
D
Thermal resistance junction-to-case(4)
—
8
°C/
W
JT
D
Junction-to-package-top natural convection(5)
—
2
°C/
W
26
°C/
W
22
1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board)
temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal
resistance.
2. Junction-to-Ambient thermal resistance determined per JEDEC JESD51-3 and JESD51-6. Thermal test board meets
JEDEC specification for this package.
3. Junction-to-Board thermal resistance determined per JEDEC JESD51-8. Thermal test board meets JEDEC specification
for the specified package.
4. Junction-to-Case at the top of the package determined using MIL-STD 883 Method 1012.1. The cold plate temperature is
used for the case temperature. Reported value includes the thermal resistance of the interface layer.
5. Thermal characterization parameter indicating the temperature difference between the package top and the junction
temperature per JEDEC JESD51-2. When Greek letters are not available, the thermal characterization parameter is written
as Psi-JT.
3.4.1
General notes for specifications at maximum junction temperature
An estimation of the chip junction temperature, TJ, can be obtained from Equation 1:
Equation 1: TJ = TA + (RJA × PD)
where:
TA= ambient temperature for the package (oC)
RJA= junction to ambient thermal resistance (oC/W)
PD= power dissipation in the package (W)
The junction to ambient thermal resistance is an industry standard value that provides a
quick and easy estimation of thermal performance. Unfortunately, there are two values in
DocID026934 Rev 1
87/137
136
Electrical characteristics
RPC56EL60L5
common usage: the value determined on a single layer board and the value obtained on a
board with two planes. For packages such as the PBGA, these values can be different by a
factor of two. Which value is closer to the application depends on the power dissipated by
other components on the board. The value obtained on a single layer board is appropriate
for the tightly packed printed circuit board. The value obtained on the board with the internal
planes is usually appropriate if the board has low power dissipation and the components are
well separated.
When a heat sink is used, the thermal resistance is expressed in Equation 2 as the sum of a
junction to case thermal resistance and a case to ambient thermal resistance:
Equation 2:
RJA = RJC + RCA
where:
RJA = junction to ambient thermal resistance (°C/W)
RJC= junction to case thermal resistance (°C/W)
RCA= case to ambient thermal resistance (°C/W)
RJC is device related and cannot be influenced by the user. The user controls the thermal
environment to change the case to ambient thermal resistance, RCA. For instance, the user
can change the size of the heat sink, the air flow around the device, the interface material,
the mounting arrangement on printed circuit board, or change the thermal dissipation on the
printed circuit board surrounding the device.
To determine the junction temperature of the device in the application when heat sinks are
not used, the Thermal Characterization Parameter (JT) can be used to determine the
junction temperature with a measurement of the temperature at the top center of the
package case using Equation 3:
Equation 3 TJ = TT + (JT × PD)
where:
TT= thermocouple temperature on top of the package (°C)
JT= thermal characterization parameter (°C/W)
PD= power dissipation in the package (W)
The thermal characterization parameter is measured per JESD51-2 specification using a 40
gauge type T thermocouple epoxied to the top center of the package case. The
thermocouple should be positioned so that the thermocouple junction rests on the package.
A small amount of epoxy is placed over the thermocouple junction and over about 1 mm of
wire extending from the junction. The thermocouple wire is placed flat against the package
case to avoid measurement errors caused by cooling effects of the thermocouple wire.
References
Semiconductor Equipment and Materials International
3081 Zanker Road
San Jose, CA 95134 USA
(408) 943-6900
MIL-SPEC and EIA/JESD (JEDEC) specifications are available from Global Engineering
Documents at 800-854-7179 or 303-397-7956.
JEDEC specifications are available on the WEB at http://www.jedec.org.
88/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
1.
C.E. Triplett and B. Joiner, “An Experimental Characterization of a 272 PBGA Within an
Automotive Engine Controller Module,” Proceedings of SemiTherm, San Diego, 1998,
pp. 47–54.
2.
G. Kromann, S. Shidore, and S. Addison, “Thermal Modeling of a PBGA for Air-Cooled
Applications,” Electronic Packaging and Production, pp. 53–58, March 1998.
3.
B. Joiner and V. Adams, “Measurement and Simulation of Junction to Board Thermal
Resistance and Its Application in Thermal Modeling,” Proceedings of SemiTherm, San
Diego, 1999, pp. 212–220.
3.5
Electromagnetic Interference (EMI) characteristics
The characteristics in Table 13 were measured using:

Device configuration, tet conditions, and EM testing per standard IEC61967-2

Supply voltage of 3.3 V DC

Ambient temperature of 25 C
The configuration information referenced in Table 13 is explained in Table 12.
Table 12. EMI configuration summary
Configuration name
Description
Configuration A
•
•
•
•
•
High emission = all pads have max slew rate, LVDS pads running at 40 MHz
Oscillator frequency = 40 MHz
System bus frequency = 80 MHz
No PLL frequency modulation
IEC level I ( 36 dBV)
Configuration B
•
•
•
•
•
Reference emission = pads use min, mid and max slew rates, LVDS pads disabled
Oscillator frequency = 40 MHz
System bus frequency = 80 MHz
2% PLL frequency modulation
IEC level K( 30 dBV)
DocID026934 Rev 1
89/137
136
Electrical characteristics
RPC56EL60L5
Table 13. EMI emission testing specifications
Symbol
VEME
Parameter
Conditions
Min
Typ
Max
Configuration A; frequency range
150 kHz–50 MHz
—
16
—
Configuration A; frequency range 50–
150 MHz
—
16
—
Configuration A; frequency range 150–
500 MHz
—
32
—
Configuration A; frequency range 500–
1000 MHz
—
25
—
Configuration B; frequency range 50–
150 MHz
—
15
—
Configuration B; frequency range 50–
150 MHz
—
21
—
Configuration B; frequency range 150–
500 MHz
—
30
—
Configuration B; frequency range 500–
1000 MHz
—
24
—
CC Radiated emissions
Unit
dBV
EMC testing was performed and documented according to these standards: [IEC61508-27.4.5.1.b, IEC61508-2-7.2.3.2.e, IEC61508-2-Table-A.17 (partially), IEC61508-2-TableB.5(partially),SRS2110]
EME testing was performed and documented according to these standards: [IEC 61967-2 &
-4]
EMS testing was performed and documented according to these standards: [IEC 62132-2 &
-4]
Refer RPC56EL60 for detailed information pertaining to the EMC, EME, and EMS testing
and results.
3.6
Electrostatic discharge (ESD) characteristics
Electrostatic discharges (a positive then a negative pulse separated by 1 second) are
applied to the pins of each sample according to each pin combination. The sample size
depends on the number of supply pins in the device (3 parts × (n + 1) supply pin). This test
conforms to the AEC-Q100-002/-003/-011 standard. For more details, the application note
Electrostatic Discharge Sensitivity Measurement is available on request.
Table 14. ESD ratings(1), (2)
No
.
Symbol
Parameter
Conditions
Clas
s
Max value(3)
Unit
1
VESD(HBM)
SR
Electrostatic discharge
(Human Body Model)
TA = 25 °C
H1C
conforming to AEC-Q100-002
2000
V
2
VESD(MM)
SR
Electrostatic discharge
(Machine Model)
TA = 25 °C
conforming to AEC-Q100-003
200
V
90/137
DocID026934 Rev 1
M2
RPC56EL60L5
Electrical characteristics
Table 14. ESD ratings(1), (2) (continued)
No
.
3
Symbol
VESD(CDM)
Parameter
SR
Conditions
Clas
s
Electrostatic discharge TA = 25 °C
(Charged Device Model) conforming to AEC-Q100-011
Max value(3)
Unit
500
C3A
V
750 (corners)
1. All ESD testing is in conformity with CDF-AEC-Q100 Stress Test Qualification for Automotive Grade Integrated Circuits.
2. A device will be defined as a failure if after exposure to ESD pulses the device no longer meets the device specification
requirements. Complete DC parametric and functional testing shall be performed per applicable device specification at
room temperature followed by hot temperature, unless specified otherwise in the device specification.
3. Data based on characterization results, not tested in production.
3.7
Static latch-up (LU)
Two complementary static tests are required on six parts to assess the latch-up
performance:

A supply overvoltage is applied to each power supply pin.

A current injection is applied to each input, output and configurable I/O pin.
These tests are compliant with the EIA/JESD 78 IC latch-up standard.
Table 15. Latch-up results
No.
1
3.8
Symbol
LU
Parameter
SR
Static latch-up class
Conditions
TA = 125 °C conforming to JESD 78
Class
II level A
Voltage regulator electrical characteristics
The voltage regulator is composed of the following blocks:

High power regulator HPREG1 (internal ballast to support core current)

High power regulator HPREG2 (external NPN to support core current)

Low voltage detector (LVD_MAIN_1) for 3.3 V supply to IO (VDDIO)

Low voltage detector (LVD_MAIN_2) for 3.3 V supply (VDDREG)

Low voltage detector (LVD_MAIN_3) for 3.3 V flash supply (VDDFLASH)

Low voltage detector (LVD_DIG_MAIN) for 1.2 V digital core supply (HPVDD)

Low voltage detector (LVD_DIG_BKUP) for the self-test of LVD_DIG_MAIN

High voltage detector (HVD_DIG_MAIN) for 1.2 V digital CORE supply (HPVDD)

High voltage detector (HVD_DIG_BKUP) for the self-test of HVD_DIG_MAIN.

Power on Reset (POR)
HPREG1 uses an internal ballast to support the core current. HPREG2 is used only when
external NPN transistor is present on board to supply core current. The RPC56EL60L5
always powers up using HPREG1 if an external NPN transistor is present. Then the
RPC56EL60L5 makes a transition from HPREG1 to HPREG2. This transition is dynamic.
Once HPREG2 is fully operational, the controller part of HPREG1 is switched off.
DocID026934 Rev 1
91/137
136
Electrical characteristics
RPC56EL60L5
The following bipolar transistors are supported:

BCP68 from ON Semiconductor

BCX68 from Infineon
Table 16. Characteristics
Symbol
hFE(  )
Parameter
DC current gain (Beta)
Maximum power dissipation @
TA=25°C(1)
PD
Value
Unit
85 - 375
—
1.5
W
1.0
A
ICMaxDC
Maximum peak collector current
VCESAT
Collector-to-emitter saturation
voltage(Max)
600(2)
mV
VBE
Base-to-emitter voltage (Max)
1.0
V
1. derating factor 12mW/degC
2. Adjust resistor at bipolar transistor collector for 3.3V to avoid VCE<VCESAT
The recommended external ballast transistor is the bipolar transistor BCP68 with the gain
range of 85 up to 375 (for IC=500mA, VCE=1V) provided by several suppliers. This includes
the gain variations BCP68-10, BCP68-16 and BCP68-25.The most important parameters for
the interoperability with the integrated voltage regulator are the DC current gain (hFE) and
the temperature coefficient of the gain (XTB). While the specified gain range of most BCP68
vendors is the same, there are slight variations in the temperature coefficient parameter.
RPC56EL60L5 Voltage regulator operation was simulated against the typical variation on
temperature coefficient and against the specified gain range to have a robust design.
Table 17. Voltage regulator electrical specifications
Symbol
Parameter
Cex
t
CV1V2
tSU
92/137
External decoupling/
stability capacitor
Conditions
Min
Typ
Max
Unit
Min, max values shall
be granted with respect
to tolerance, voltage,
temperature, and aging
variations.
12
—
40
µF
SR
Combined ESR of
external capacitor
—
1
—
100
m
SR
Number of pins for
external decoupling/
stability capacitor
—
5
—
—
—
300
—
900
nF
—
—
2.5
ms
SR
Total capacitance on
1.2 V pins
Start-up time after
main supply
stabilization
Ceramic capacitors,
taking into account
tolerance, aging,
voltage and
temperature variation
Cload = 10 µF × 4
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
Table 17. Voltage regulator electrical specifications (continued)
Symbol
—
—
—
—
D
D
D
Parameter
Conditions
Min
Typ
Max
Unit
Main High Voltage
Power - Low Voltage
Detection, upper
threshold
—
—
—
2.93
V
Main supply low
voltage detector, lower
threshold
—
2.6
—
—
V
1.355
—
1.495
Digital supply high
voltage detector upper
threshold
Digital supply high
voltage detector lower
threshold
Before a destructive
reset initialization
phase completion
V
After a destructive reset
initialization phase
completion
1.39
—
1.47
Before a destructive
reset initialization
phase completion
1.315
—
1.455
V
After a destructive reset
initialization phase
completion
1.35
—
1.38
—
D
Digital supply low
voltage detector lower
threshold
After a destructive reset
initialization phase
completion
1.080
—
1.140
V
—
D
Digital supply low
voltage detector upper
threshold
After a destructive reset
initialization phase
completion
1.16
—
1.22
V
—
D
Digital supply low
voltage detector lower
threshold
Before a destructive
reset initialization
phase
1.080
—
1.226
V
—
D
Digital supply low
voltage detector upper
threshold
Before a destructive
reset initialization
phase
1.160
—
1.306
V
—
D
POR rising/ falling
supply threshold
voltage
1.6
—
2.6
V
—
DocID026934 Rev 1
93/137
136
Electrical characteristics
RPC56EL60L5
Table 17. Voltage regulator electrical specifications (continued)
Symbol
Parameter
Supply ramp rate
Conditions
Min
Typ
Max
Unit
—
3 V/s
—
0.5 V/µs
—
—
SR
—
D
LVD_MAIN: Time
constant of RC filter at
LVD input
3.3V noise rejection at
the input of
LVD comparator
1.1
—
—
µs
—
D
HVD_DIG: Time
constant of RC filter at
LVD input
1.2V noise rejection at
the input of
LVD comparator
0.1
—
—
µs
—
D
LVD_DIG: Time
constant of RC filter at
LVD input
1.2V noise rejection at
the input of
LVD comparator
0.1
—
—
µs
VDD
BCP68
BCRTL
V1V2 ring on board
Rb
Rs
Cint
Lb
ESR
Cv1v2
Cext
V1V2 pin
RPC56EL60L5
Figure 4. BCP68 board schematic example
Note:
94/137
The minimum value of the ESR is constrained by the resonance caused by the external
components, bonding inductance, and internal decoupling. The minimum ESR is required to
avoid the resonance and make the regulator stable.
DocID026934 Rev 1
RPC56EL60L5
3.9
Electrical characteristics
DC electrical characteristics
Table 18 gives the DC electrical characteristics at 3.3 V (3.0 V < VDD_HV_IOx < 3.6 V).
Table 18. DC electrical characteristics(1)
Symbol
Parameter
Conditions
Min
Typ
Max
Unit
VIL
D
Minimum low level input
voltage
—
–0.1(2)
—
—
V
VIL
P Maximum level input voltage
—
—
—
0.35 VDD_HV_IOx
V
VIH
P
Minimum high level input
voltage
—
0.65 VDD_HV_IO
—
—
V
VIH
D
Maximum high level input
voltage
—
—
—
VDD_HV_IOx + 0.1(2)
,(3)
V
VHYS
T Schmitt trigger hysteresis
—
0.1 VDD_HV_IOx
—
—
V
VOL_S
P Slow, low level output voltage
IOL = 1.5 mA
—
—
0.5
V
VOH_S
P Slow, high level output voltage
IOH = –
1.5 mA
VDD_HV_IOx –
0.8
—
—
V
VOL_M
P
Medium, low level output
voltage
IOL = 2 mA
—
—
0.5
V
VOH_M
P
Medium, high level output
voltage
IOH = –2 mA
VDD_HV_IOx –
0.8
—
—
V
VOL_F
P Fast, high level output voltage
IOL = 11 mA
—
—
0.5
V
VOH_F
P Fast, high level output voltage
IOH = –
11 mA
VDD_HV_IOx –
0.8
—
—
V
x
VOL_SYM
P
Symmetric, high level output
voltage
IOL = 1.5 mA
—
—
0.5
V
VOH_SYM
P
Symmetric, high level output
voltage
IOH = –
1.5 mA
VDD_HV_IOx –
0.8
—
—
V
IINJ
T
DC injection current per pin
(all bi-directional ports)
—
–1
—
1
mA
—
—
P Equivalent pull-up current
VIN = VIL
–130
IPU
VIN = VIH
—
—
–10
—
—
P Equivalent pull-down current
VIN = VIL
10
IPD
VIN = VIH
—
—
130
-1
—
1
-0.25
—
0.25
-0.3
—
0.3
–0.1(2)
—
0.35 VDD_HV_IOx
Input leakage current
(all bidirectional ports)
IIL
P
Input leakage current
(all ADC input-only ports)(4)
TJ = –40 to
+150 °C
Input leakage current
(shared ADC input-only ports)
VILR
P RESET, low level input voltage
—
DocID026934 Rev 1
µA
µA
A
V
95/137
136
Electrical characteristics
RPC56EL60L5
Table 18. DC electrical characteristics(1) (continued)
Symbol
Parameter
Conditions
Min
Typ
Max
Unit
RESET, high level input
voltage
—
0.65 VDD_HV_IO
—
VDD_HV_IOx+0.1(2)
V
VIHR
P
VHYSR
D
RESET, Schmitt trigger
hysteresis
—
0.1 VDD_HV_IOx
—
—
V
VOLR
D
RESET, low level output
voltage
IOL = 2 mA
—
—
0.5
V
VIN = VIL
—
—
D
RESET, equivalent pull-down
current
10
IPD
VIN = VIH
—
—
130
x
µA
1. These specifications are design targets and subject to change per device characterization.
2. “SR” parameter values must not exceed the absolute maximum ratings shown in Table 8.
3. The max input voltage on the ADC pins is the ADC reference voltage VDD_HV_ADRx.
4. Measured values are applicable to all modes of the pad i.e. IBE = 0/1 and / or APC= 0/1.
3.10
Supply current characteristics
Current consumption data is given in Table 19. These specifications are design targets and
are subject to change per device characterization.
Table 19. Current consumption characteristics
Symbol
IDD_LV_FULL
+ IDD_LV_PLL
Parameter
T Operating current
IDD_LV_TYP
T Operating current
+ IDD_LV_PLL(2)
IDD_LV_BIST
+ IDD_LV_PLL
96/137
T Operating current
Conditions(1)
1.2 V supplies
TJ = 25 C
VDD_LV_COR = 1.32 V
Min
Typ
Max
—
—
50 mA+
2.18 mA*fCPU[MH
z]
mA
1.2 V supplies
TJ = 150 C
VDD_LV_COR = 1.32 V
—
—
80 mA+
2.50 mA*fCPU[MH
z]
1.2 V supplies
TJ = 25 C
VDD_LV_COR = 1.32 V
—
—
26 +
2.10 mA*fCPU[MH
z]
mA
1.2 V supplies
TJ = 150 C
VDD_LV_COR = 1.32 V
—
—
41 mA+
2.30 mA*fCPU[MH
z]
1.2 V supplies during
LBIST (full LBIST
configuration)
TJ = 25 C
VDD_LV_COR = 1.32 V
—
—
250
1.2 V supplies during
LBIST (full LBIST
configuration)
TJ = 150 C
VDD_LV_COR = 1.32 V
—
DocID026934 Rev 1
Unit
mA
—
290
RPC56EL60L5
Electrical characteristics
Table 19. Current consumption characteristics (continued)
Symbol
Parameter
Min
Typ
Max
Unit
1.2 V supplies
TJ = 25 C
VDD_LV_COR = 1.32 V
LSM mode
—
—
279
mA
TJ = 150 C
VDD_LV_COR = 1.32 V
LSM mode
—
—
318
mA
1.2V supplies
Tj=105C
VDD_LV_COR = 1.2V
LSM mode
—
—
275
mA
1.2V supplies
Tj=125C
VDD_LV_COR = 1.2V
LSM mode
—
—
299
mA
1.2V supplies
Tj=105C
VDD_LV_COR = 1.2V
DPM Mode
—
—
189
mA
1.2V supplies
Tj=125C
VDD_LV_COR = 1.2V
DPM Mode
—
—
214
mA
1.2V supplies
Tj=150C
VDD_LV_COR = 1.2V
DPM Mode
—
—
235
mA
T
TJ = 25 C
VDD_LV_COR = 1.32 V
—
—
20
T
TJ = 55 C
Operating current
in VDD STOP mode VDD_LV_COR = 1.32 V
—
—
57
P
TJ = 150 C
VDD_LV_COR = 1.32 V
—
—
105
T
TJ = 25 C
VDD_LV_COR = 1.32 V
—
—
25
T
TJ = 55 C
Operating current
in VDD HALT mode VDD_LV_COR = 1.32 V
—
—
64
P
TJ = 150 C
VDD_LV_COR = 1.32 V
—
—
115
IDD_LV_TYP
P Operating current
+ IDD_LV_PLL(2)
IDD_LV_TYP +
IDD_LV_PLL(2)
IDD_LV_TYP +
IDD_LV_PLL(2)
IDD_LV_STOP
IDD_LV_HALT
Conditions(1)
T Operating current
T
Operating current
DocID026934 Rev 1
mA
mA
97/137
136
Electrical characteristics
RPC56EL60L5
Table 19. Current consumption characteristics (continued)
Symbol
Conditions(1)
Min
Typ
Max
Unit
TJ = 150 C
120 MHz
ADC operating at
60 MHz
VDD_HV_ADC = 3.6 V
—
—
10
mA
TJ = 150 C
120 MHz
ADC operating at
60 MHz
VDD_HV_REF = 3.6 V
—
—
3
TJ = 150 C
120 MHz
ADC operating at
60 MHz
VDD_HV_REF = 5.5 V
—
—
5
TJ = 150 C
3.3 V supplies
120 MHz
—
—
900
A
D Operating current
TJ = 150 C
3.3 V supplies
120 MHz
—
—
3.5
mA
IDD_HV_FLASH
(5)
T Operating current
TJ = 150 C
3.3 V supplies
120 MHz
—
—
4
mA
IDD_HV_PMU
T Operating current
TJ = 150 C
3.3 V supplies
120 MHz
—
—
10
mA
IDD_HV_ADC(3),
(4)
Parameter
T Operating current
IDD_HV_AREF(4) T Operating current
IDD_HV_OSC
(oscillator
T Operating current
bypass mode)
IDD_HV_OSC
(crystal
oscillator
mode)
mA
1. Devices configured for DPM mode, single core only with Core 0 executing typical code at 120 MHz from SRAM and Core 1
in reset. If core execution mode not specified, the device is configured for LSM mode with both cores executing typical code
at 120 MHz from SRAM.
2. Enabled Modules in 'Typical mode': FlexPWM0, ETimer0/1/2, CTU, SWG, DMA, FlexCAN0/1, LINFlex, ADC1, DSPI0/1,
PIT, CRC, PLL0/1, I/O supply current excluded. If DPM mode is configured, Core_0 is active while Core_1 is in reset during
the measurements.
3. Internal structures hold the input voltage less than VDDA + 1.0 V on all pads powered by VDDA supplies, if the maximum
injection current specification is met and VDDA is within the operating voltage specifications.
4. This value is the total current for both ADCs.
5. VFLASH is only available in the calibration package.
98/137
DocID026934 Rev 1
RPC56EL60L5
3.11
Electrical characteristics
Temperature sensor electrical characteristics
Table 20. Temperature sensor electrical characteristics
Symbol
Parameter
TJ = –40 °C to 150 °C
—
P
Accuracy
TS
D
Minimum sampling period
3.12
Conditions
—
Min
Max
Unit
–10
10
°C
4
—
µs
Main oscillator electrical characteristics
The device provides an oscillator/resonator driver. Figure 5 describes a simple model of the
internal oscillator driver and provides an example of a connection for an oscillator or a
resonator.
EXTAL
Crystal
CL
EXTAL
RP
XTAL
CL
DEVICE
VDD
I
EXTAL
Resonator
R
XTAL
DEVICE
XTAL
DEVICE
Figure 5. Crystal oscillator and resonator connection scheme
Note:
XTAL/EXTAL must not be directly used to drive external circuits.
DocID026934 Rev 1
99/137
136
Electrical characteristics
RPC56EL60L5
MTRANS
1
0
VXTAL
1/fXOSCHS
VXOSCHS
90%
VXOSCHSOP
10%
TXOSCHSSU
valid internal clock
Figure 6. Main oscillator electrical characteristics
Table 21. Main oscillator electrical characteristics
Symbol
fXOSCHS
Parameter
S
Oscillator frequency
R
Oscillator
transconductance
gmXOSCHS
P
VXOSCHS
D Oscillation amplitude
Oscillation operating
point
Unit
Min
Typ
Max
4.0
—
40.0
MHz
VDD = 3.3 V ±10%
4.5
—
13.25
mA/V
fOSC = 4, 8, 10, 12, 16 MHz
1.3
—
—
fOSC = 40 MHz
1.1
—
—
—
—
0.82
—
—
—
6
—
—
2
0.65 × VD
—
VDD + 0.4
V
—
0.35 × VD
V
—
VXOSCHSOP
D
TXOSCHSSU
T Oscillator start-up time
VIH
S Input high level CMOS
R Schmitt Trigger
Oscillator bypass mode
VIL
S Input low level CMOS
R Schmitt Trigger
Oscillator bypass mode
fOSC = 4, 8, 10, 12 MHz(2)
fOSC = 16, 40
MHz(2)
V
–0.4
D
2. The recommended configuration for maximizing the oscillator margin are:
XOSC_MARGIN = 0 for 4 MHz quartz
XOSC_MARGIN = 1 for 8/16/40 MHz quartz
x×
DocID026934 Rev 1
V
ms
D
1. VDD = 3.3 V ±10%, TJ = –40 to +150 °C, unless otherwise specified.
100/137
Value
Conditions(1)
RPC56EL60L5
3.13
Electrical characteristics
FMPLL electrical characteristics
Table 22. FMPLL electrical characteristics
Symbol
Parameter
fREF_CRYSTA
L
D
fREF_EXT
fPLL_IN
fFMPLLOU
Min
Typ
Max
Unit
Crystal reference
4
—
40
MHz
—
4
—
16
MHz
—
4
—
120(2)
MHz
20
—
150
MHz
Phase detector input
D frequency range (after predivider)
D
T
fFREE
FMPLL reference
frequency range(1)
Conditions
Clock frequency range in
normal mode
P Free running frequency
Measured using clock division
(typically 16)
fsys
D
On-chip FMPLL
frequency2
—
16
—
120
MHz
tCYC
D System clock period
—
—
—
1 / fsys
ns
fLORL
fLORH
Lower limit
1.6
—
3.7
D
Upper limit
24
—
56
fSCM
D
—
20
—
150
MHz
tLOCK
P
Stable oscillator
(fPLLIN = 4 MHz),
stable VDD
—
—
200
µs
Loss of reference
frequency window(3)
MHz
Self-clocked mode
frequency(4),(5)
Lock time
tlpll
D FMPLL lock time (6), (7)
—
—
—
200
s
tdc
D Duty cycle of reference
—
40
—
60
%
Long-term jitter (avg. over 2 ms
interval), fFMPLLOUT maximum
–6
—
6
ns
PHI @ 120 MHz,
Input clock @ 4 MHz
—
—
175
ps
Single period jitter (peak to PHI @ 100 MHz,
peak)
Input clock @ 4 MHz
—
—
185
ps
PHI @ 80 MHz,
Input clock @ 4 MHz
—
—
200
ps
PHI @ 16 MHz,
Input clock @ 4 MHz
—
—
±6
ns
CJITTER
tPKJIT
tLTJIT
T
T
CLKOUT period
jitter(8),(9),(10),(11)
T Long term jitter
fLCK
D Frequency LOCK range
—
–6
—
6
%
fFMPLLOUT
fUL
D
Frequency un-LOCK
range
—
–18
—
18
%
fFMPLLOUT
fCS
fDS
Center spread
±0.25
—
±2.0
D Modulation depth
Down spread
–0.5
—
-8.0
%
fFMPLLOUT
—
—
100
kHz
fMOD
D Modulation frequency(12)
—
DocID026934 Rev 1
101/137
136
Electrical characteristics
RPC56EL60L5
1. Considering operation with FMPLL not bypassed.
2. With FM; the value does not include a possible +2% modulation
3. “Loss of Reference Frequency” window is the reference frequency range outside of which the FMPLL is in self clocked
mode.
4. Self clocked mode frequency is the frequency that the FMPLL operates at when the reference frequency falls outside the
fLOR window.
5. fVCO is the frequency at the output of the VCO; its range is 256–512 MHz.
fSCM is the self-clocked mode frequency (free running frequency); its range is 20–150 MHz.
fSYS = fVCOODF
6. This value is determined by the crystal manufacturer and board design. For 4 MHz to 20 MHz crystals specified for this
FMPLL, load capacitors should not exceed these limits.
7. This specification applies to the period required for the FMPLL to relock after changing the MFD frequency control bits in
the synthesizer control register (SYNCR).
8. This value is determined by the crystal manufacturer and board design.
9. Jitter is the average deviation from the programmed frequency measured over the specified interval at maximum fSYS.
Measurements are made with the device powered by filtered supplies and clocked by a stable external clock signal. Noise
injected into the FMPLL circuitry via VDDPLL and VSSPLL and variation in crystal oscillator frequency increase the CJITTER
percentage for a given interval.
10. Proper PC board layout procedures must be followed to achieve specifications.
11. Values are with frequency modulation disabled. If frequency modulation is enabled, jitter is the sum of CJITTER and either
fCS or fDS (depending on whether center spread or down spread modulation is enabled).
12. Modulation depth is attenuated from depth setting when operating at modulation frequencies above 50 kHz.
3.14
16 MHz RC oscillator electrical characteristics
Table 23. 16 MHz RC oscillator electrical characteristics
Value
Symbol
fRC
RCMVAR
3.15
C
Parameter
Conditions
P RC oscillator frequency
Fast internal RC oscillator variation over
P temperature and supply with respect to fRC at
TA = 25 °C in high-frequency configuration
Unit
Min
Typ
Max
TA = 25 °C
—
16
—
MH
z
—
6
—
6
%
ADC electrical characteristics
The device provides a 12-bit Successive Approximation Register (SAR) Analog-to-Digital
Converter.
102/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
Offset Error OSE Gain Error GE
4095
4094
4093
4092
4091
4090
( 2)
1 LSB ideal =(VrefH-VrefL)/ 4096 =
3.3V/ 4096 = 0.806 mV
Total Unadjusted Error
TUE = +/- 6 LSB = +/- 4.84mV
code out
7
( 1)
6
(1) Example of an actual transfer curve
(2) The ideal transfer curve
(3) Differential non-linearity error (DNL)
(4) Integral non-linearity error (INL)
(5) Center of a step of the actual transfer
curve
5
(5)
4
(4)
3
(3)
2
1
1 LSB (ideal)
0
1
2
3
4
5
6
7
4089 4090 4091 4092 4093 4094 4095
Vin(A) (LSBideal)
Offset Error OSE
Figure 7. ADC characteristics and error definitions
3.15.1
Input Impedance and ADC Accuracy
To preserve the accuracy of the A/D converter, it is necessary that analog input pins have
low AC impedance. Placing a capacitor with good high frequency characteristics at the input
pin of the device can be effective: the capacitor should be as large as possible, ideally
infinite. This capacitor contributes to attenuating the noise present on the input pin; further, it
sources charge during the sampling phase, when the analog signal source is a highimpedance source.
A real filter can typically be obtained by using a series resistance with a capacitor on the
input pin (simple RC filter). The RC filtering may be limited according to the value of source
impedance of the transducer or circuit supplying the analog signal to be measured. The filter
at the input pins must be designed taking into account the dynamic characteristics of the
input signal (bandwidth) and the equivalent input impedance of the ADC itself.
In fact a current sink contributor is represented by the charge sharing effects with the
sampling capacitance: CS and Cp2 being substantially a switched capacitance, with a
frequency equal to the conversion rate of the ADC, it can be seen as a resistive path to
ground. For instance, assuming a conversion rate of 1 MHz, with Cp2 + CS equal to 7.5 pF, a
resistance of 133 k is obtained (REQ = 1 / (fS*(Cp2+CS)), where fS represents the
conversion rate at the considered channel). To minimize the error induced by the voltage
partitioning between this resistance (sampled voltage on Cs) and the sum of RS + RF, the
external circuit must be designed to respect the Equation 4:
DocID026934 Rev 1
103/137
136
Electrical characteristics
RPC56EL60L5
Equation 4:
R +R
VA
S
F
 ---------------------  1--- LSB
R
2
EQ
Equation 4 generates a constraint for external network design, in particular on resistive path.
Internal switch resistances (RSW and RAD) can be neglected with respect to external
resistances.
EXTERNAL CIRCUIT
INTERNAL CIRCUIT SCHEME
VDD
Source
RS
VA
Filter
Current Limiter
RF
RL
CF
Channel
Selection
Sampling
RSW1
RAD
CP1
CP2
CS
RS Source Impedance
RF Filter Resistance
CF Filter Capacitance
RL Current Limiter Resistance
RSW1 Channel Selection Switch Impedance
RADSampling Switch Impedance
CP Pin Capacitance (two contributions, CP1 and CP2)
CS Sampling Capacitance
Figure 8. Input Equivalent Circuit
A second aspect involving the capacitance network shall be considered. Assuming the three
capacitances CF, CP1 and CP2 are initially charged at the source voltage VA (refer to the
equivalent circuit reported in Figure 8): A charge sharing phenomenon is installed when the
sampling phase is started (A/D switch close).
104/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
Voltage Transient on CS
VCS
VA
VA2
V <0.5 LSB
1
2
1 < (RSW + RAD) CS << TS
2 = RL (CS + CP1 + CP2)
VA1
TS
t
Figure 9. Transient Behavior during Sampling Phase
In particular two different transient periods can be distinguished:

A first and quick charge transfer from the internal capacitance CP1 and CP2 to the
sampling capacitance CS occurs (CS is supposed initially completely discharged):
considering a worst case (since the time constant in reality would be faster) in which
CP2 is reported in parallel to CP1 (call CP = CP1 + CP2), the two capacitances CP and
CS are in series, and the time constant is
Equation 5
 1 =  R SW + R AD 
CP  CS
 ---------------------CP + CS
Equation 5 can again be simplified considering only CS as an additional worst
condition. In reality, the transient is faster, but the A/D converter circuitry has been
designed to be robust also in the very worst case: the sampling time TS is always much
longer than the internal time constant:
Equation 6
 1   R SW + R AD   C S « T S
The charge of CP1 and CP2 is redistributed also on CS, determining a new value of the
voltage VA1 on the capacitance according to Equation 7:
Equation 7
V A1   C S + C P1 + C P2  = V A   C P1 + C P2 

A second charge transfer involves also CF (that is typically bigger than the on-chip
capacitance) through the resistance RL: again considering the worst case in which CP2
DocID026934 Rev 1
105/137
136
Electrical characteristics
RPC56EL60L5
and CS were in parallel to CP1 (since the time constant in reality would be faster), the
time constant is:
Equation 8
 2  R L   C S + C P1 + C P2 
In this case, the time constant depends on the external circuit: in particular imposing
that the transient is completed well before the end of sampling time TS, a constraints on
RL sizing is obtained:
Equation 9
10   2 = 10  R L   C S + C P1 + C P2   TS
Of course, RL shall be sized also according to the current limitation constraints, in
combination with RS (source impedance) and RF (filter resistance). Being CF
definitively bigger than CP1, CP2 and CS, then the final voltage VA2 (at the end of the
charge transfer transient) will be much higher than VA1. Equation 10 must be respected
(charge balance assuming now CS already charged at VA1):
Equation 10
VA2   C S + C P1 + C P2 + C F  = V A  C F + V A1   C P1 + C P2 + C S 
The two transients above are not influenced by the voltage source that, due to the presence
of the RFCF filter, is not able to provide the extra charge to compensate the voltage drop on
CS with respect to the ideal source VA; the time constant RFCF of the filter is very high with
respect to the sampling time (TS). The filter is typically designed to act as anti-aliasing.
Analog Source Bandwidth (VA)
TC 2 RFCF (Conversion Rate vs. Filter Pole)
fF  f0 (Anti-aliasing Filtering Condition)
Noise
2 f0 fC (Nyquist)
f0
f
Anti-Aliasing Filter (fF = RC Filter pole)
fF
f
Sampled Signal Spectrum (fC = conversion Rate)
f0
fC
Figure 10. Spectral representation of input signal
106/137
DocID026934 Rev 1
f
RPC56EL60L5
Electrical characteristics
Calling f0 the bandwidth of the source signal (and as a consequence the cut-off frequency of
the anti-aliasing filter, fF), according to the Nyquist theorem the conversion rate fC must be
at least 2f0; it means that the constant time of the filter is greater than or at least equal to
twice the conversion period (TC). Again the conversion period TC is longer than the
sampling time TS, which is just a portion of it, even when fixed channel continuous
conversion mode is selected (fastest conversion rate at a specific channel): in conclusion it
is evident that the time constant of the filter RFCF is definitively much higher than the
sampling time TS, so the charge level on CS cannot be modified by the analog signal source
during the time in which the sampling switch is closed.
The considerations above lead to impose new constraints on the external circuit, to reduce
the accuracy error due to the voltage drop on CS; from the two charge balance equations
above, it is simple to derive Equation 11 between the ideal and real sampled voltage on CS:
Equation 11
V A2
C P1 + C P2 + C F
------------ = -------------------------------------------------------VA
C P1 + C P2 + C F + C S
From this formula, in the worst case (when VA is maximum, that is for instance 5 V),
assuming to accept a maximum error of half a count, a constraint is evident on CF value:
Equation 12
C F  8192  C S
Table 24. ADC conversion characteristics
Conditions(1)
Min
ADC Clock frequency (depends on ADC
S configuration)
R (The duty cycle depends on AD_CK(2)
frequency)
—
3
—
60
MHz
S
Sampling frequency
R
—
—
—
983.
6(3)
KHz
Symbol
fCK
fs
Parameter
Typ Max
Unit
D Sample time(4)
60 MHz
383
—
—
ns
teval
D Evaluation time(5)
60 MHz
600
—
—
ns
CS(6)
D ADC input sampling capacitance
—
—
7.32
pF
pF
tsample
—
CP1(6)
D ADC input pin capacitance 1
—
—
—
5((7))
CP2(6)
D ADC input pin capacitance 2
—
—
—
0.8
pF
VREF range = 4.5 to 5.5 V
—
—
0.3
k
VREF range = 3.0 to 3.6 V
—
—
875
W
D Internal resistance of analog source
—
—
—
825
W
INL
P Integral non linearity
—
–3
—
3
LSB
DNL
P Differential non linearity(8)
—
–1
—
2
LSB
OFS
T Offset error
—
–6
—
6
LSB
RSW1(6)
RAD
(6)
D Internal resistance of analog source
DocID026934 Rev 1
107/137
136
Electrical characteristics
RPC56EL60L5
Table 24. ADC conversion characteristics (continued)
Symbol
GNE
Conditions(1)
Min
—
–6
—
6
LSB
–3
—
3
mA
|Vref_ad0 - Vref_ad1| <
150mV
–3.6
—
3.6
mA
Parameter
T Gain error
IS1WINJ
Typ Max
Unit
(single ADC channel)
C Max positive/negative injection
IS1WWINJ
(double ADC channel)
C Max positive/negative injection
SNR
T Signal-to-noise ratio
Vref = 3.3V
67
—
—
dB
SNR
T Signal-to-noise ratio
Vref = 5.0V
69
—
—
dB
THD
T Total harmonic distortion
—
-65
—
—
dB
SINAD
T Signal-to-noise and distortion
—
65
—
—
dB
ENOB
T Effective number of bits
—
10.5
—
—
bits
Without current injection
–6
—
6
LSB
With current injection
–8
—
8
LSB
Without current injection
–8
—
8
LSB
With current injection
–10
—
10
LSB
TUEIS1WINJ T
TUEIS1WWI
NJ
Total unadjusted error for IS1WINJ (single
ADC channels)
P Total unadjusted error for IS1WWINJ
T (double ADC channels)
1. TJ = –40 to +150 °C, unless otherwise specified and analog input voltage from VAGND to VAREF.
2. AD_CK clock is always half of the ADC module input clock defined via the auxiliary clock divider for the ADC.
3. This is the maximum frequency that the analog portion of the ADC can attain. A sustained conversion at this frequency is
not possible.
4. During the sample time the input capacitance CS can be charged/discharged by the external source. The internal
resistance of the analog source must allow the capacitance to reach its final voltage level within tsample. After the end of the
sample time tsample, changes of the analog input voltage have no effect on the conversion result. Values for the sample
clock tsample depend on programming.
5. This parameter does not include the sample time Tsample, but only the time for determining the digital result.
6. See Figure 8.
7. For the 144-pin package
8. No missing codes
3.16
Flash memory electrical characteristics
Table 25. Flash memory program and erase electrical specifications
No.
Symbol
Parameter
TDWPROGRAM
*(4)
TPPROGRAM
*(4)
Page(128 bits) program
3
T16KPPERASE
*(4)
4
T48KPPERASE
5
T64KPPERASE
1
2
108/137
Double word (64 bits) program time(4)
Typ
(1)
Initial
Lifetime
Max
Max(3)
(2)
Unit
30
—
500
µs
40
160
500
µs
16 KB block pre-program and erase time
250
1000
5000
ms
*(4)
48 KB block pre-program and erase time
400
1500
5000
ms
*(4)
64 KB block pre-program and erase time
450
1800
5000
ms
time(4)
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
Table 25. Flash memory program and erase electrical specifications
No.
6
7
Symbol
Typ
Parameter
(1)
Initial
Lifetime
Max
Max(3)
(2)
Unit
T128KPPERASE
*(4)
128 KB block pre-program and erase time
800
2600
7500
ms
T256KPPERASE
(4)
256 KB block pre-program and erase time
1400 5200
15000
ms
*
1. Typical program and erase times represent the median performance and assume nominal supply values and operation at
25C. These values are characterized, but not tested.I
2. Initial Max program and erase times provide guidance for time-out limits used in the factory and apply for <100
program/erase cycles, nominal supply values and operation at 25C. These values are verified at production test.
3. Lifetime Max program and erase times apply across the voltage, temperature, and cycling range of product life. These
values are characterized, but not tested.
4. Program times are actual hardware programming times and do not include software overhead.
Table 26. Flash memory timing
Value
Symbol
Parameter
Unit
Min
Typ
Max
TRES
D
Time from clearing the MCR-ESUS or PSUS bit with EHV = 1
until DONE goes low
—
—
100
ns
TDONE
D
Time from 0 to 1 transition on the MCR-EHV bit initiating a
program/erase until the MCR-DONE bit is cleared
—
—
5
ns
TPSRT
D
Time between program suspend resume and the next program
suspend request.(1)
100
—
—
s
TESRT
D
Time between erase suspend resume and the next erase
suspend request.(2)
10
ms
1. Repeated suspends at a high frequency may result in the operation timing out, and the flash module will respond by
completing the operation with a fail code (MCR[PEG] = 0), or the operation not able to finish (MCR[DONE] = 1 during
Program operation). The minimum time between suspends to ensure this does not occur is TPSRT.
2. If Erase suspend rate is less than TESRT, an increase of slope voltage ramp occurs during erase pulse. This improves erase
time but reduces cycling figure due to overstress
Table 27. Flash memory module life
Value
No
.
Symbol
Parameter
1
P/E
Number of program/erase cycles per block for 16 KB,
C 48 KB, and 64 KB blocks over the operating temperature
range(1)
2
P/E
Number of program/erase cycles per block for 128 KB
C and 256 KB blocks over the operating temperature
range(1)
DocID026934 Rev 1
Unit
Minimu
m
Typical
Maximu
m
100000
—
—
cycle
s
—
cycle
s
1000
100000
(2)
109/137
136
Electrical characteristics
RPC56EL60L5
Table 27. Flash memory module life (continued)
Value
No
.
3
Symbol
Parameter
Minimum data retention at 85 °C average ambient
temperature(3)
Retentio
C Blocks with 0–1,000 P/E cycles
n
Blocks with 1,001–10,000 P/E cycles
Blocks with 10,001–100,000 P/E cycles
Minimu
m
Typical
Maximu
m
20
10
5
—
—
—
—
—
—
Unit
year
s
1. Operating temperature range is TJ from –40 °C to 150 °C. Typical endurance is evaluated at 25 C.
2. Typical P/E cycles is 100,000 cycles for 128 KB and 256 KB blocks.
3. Ambient temperature averaged over duration of application, not to exceed product operating temperature range.
3.17
SWG electrical characteristics
Table 28. RPC56EL60L5 SWG Specifications
Value
Symbol
Parameter
T
Input clock
T
Frequency Range
T
Peak to
Peak(1)
(2)
Minimum
Typical
Maximum
12 MHz
16 MHz
20 MHz
1kHz
—
50 kHz
0.4 V
—
2.0V
-6%
—
6%
—
1.3 V
—
T
Peak to Peak variation
T
Common Mode(3)
T
Common Mode variation
-6%
—
6%
T
SiNAD(4)
45 dB
—
—
T
Load C
25 pF
—
100 pF
T
Load I
0 A
—
100  A
230 
—
360 
T
ESD Pad Resistance
(5)
1. Peak to Peak value is measured with no R or I load.
2. Peak to Peak excludes noise, SiNAD must be considered.
3. Common mode value is measured with no R or I load.
4. SiNAD is measured at Max Peak to Peak voltage.
5. Internal device routing resistance. ESD pad resistance is in series and must be considered for max Peak to Peak voltages,
depending on application I load and/or R load.
110/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
3.18
AC specifications
3.18.1
Pad AC specifications
Table 29. Pad AC specifications (3.3 V , IPP_HVE = 0 )(1)
Tswitchon(1)(ns)
No.
1
2
3
4
Pad
Slow
Medium
Fast
Symmetric
Rise/Fall(2)
(ns)
Current slew(3)
(mA/ns)
Frequency
(MHz)
Load
drive
(pF)
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
3
—
40
—
—
40
—
—
4
0.01
—
2
25
3
—
40
—
—
50
—
—
2
0.01
—
2
50
3
—
40
—
—
75
—
—
2
0.01
—
2
100
3
—
40
—
—
100
—
—
2
0.01
—
2
200
1
—
15
—
—
12
—
—
40
2.5
—
7
25
1
—
15
—
—
25
—
—
20
2.5
—
7
50
1
—
15
—
—
40
—
—
13
2.5
—
7
100
1
—
15
—
—
70
—
—
7
2.5
—
7
200
1
—
6
—
—
4
—
—
72
3
—
40
25
1
—
6
—
—
7
—
—
55
7
—
40
50
1
—
6
—
—
12
—
—
40
7
—
40
100
1
—
6
—
—
18
—
—
25
7
—
40
200
1
—
8
—
—
5
—
—
50
3
—
25
25
T
T
T
T
1. Propagation delay from VDD_HV_IOx/2 of internal signal to Pchannel/Nchannel switch-on condition.
2. Slope at rising/falling edge.
3. Data based on characterization results, not tested in production.
DocID026934 Rev 1
111/137
136
Electrical characteristics
RPC56EL60L5
VDDE/2
Pad
Data Input
Rising
Edge
Output
Delay
Falling
Edge
Output
Delay
VOH
Pad
Output
VOL
Figure 11. Pad output delay
3.19
Reset sequence
This section shows the duration for different reset sequences. It describes the different reset
sequences and it specifies the start conditions and the end indication for the reset
sequences.
3.19.1
Reset sequence duration
Table 30 specifies the minimum and the maximum reset sequence duration for the five
different reset sequences described in Section 3.19.2.
Table 30. RESET sequences
TReset
No.
Symbol
Parameter
Conditions
Unit
Min
Typ
Max(1)
28
34
39
ms
500
4200
5000
s
28
32
37
ms
1
TDRB
CC
Destructive Reset Sequence, BIST enabled
2
TDR
CC
Destructive Reset Sequence, BIST disabled
3
TERLB
CC
External Reset Sequence Long, BIST
enabled
4
TFRL
CC
Functional Reset Sequence Long
—
35
150
400
s
5
TFRS
CC
Functional Reset Sequence Short
—
1
4
10
s
—
1. The maximum value is applicable only if the reset sequence duration is not prolonged by an extended assertion of RESET
by an external reset generator.
112/137
DocID026934 Rev 1
RPC56EL60L5
3.19.2
Electrical characteristics
Reset sequence description
The figures in this section show the internal states of the chip during the five different reset
sequences. The doted lines in the figures indicate the starting point and the end point for
which the duration is specified in Table 30. The start point and end point conditions as well
as the reset trigger mapping to the different reset sequences is specified in Section 3.19.3.
With the beginning of DRUN mode the first instruction is fetched and executed. At this point
application execution starts and the internal reset sequence is finished.
The figures below show the internal states of the chip during the execution of the reset
sequence and the possible states of the signal pin RESET.
Note:
RESET is a bidirectional pin. The voltage level on this pin can either be driven low by an
external reset generator or by the chip internal reset circuitry. A high level on this pin can
only be generated by an external pull up resistor which is strong enough to overdrive the
weak internal pull down resistor. The rising edge on RESET in the following figures indicates
the time when the device stops driving it low. The reset sequence durations given in table
Table 30 are applicable only if the internal reset sequence is not prolonged by an external
reset generator keeping RESET asserted low beyond the last PHASE3.
Reset Sequence Trigger
Reset Sequence Start Condition
RESET
RESET_B
PHASE0
PHASE1,2
Establish IRC
and PWR
Flash init
PHASE3
BIST
Device
Self Test
Config
Setup
MBIST
PHASE1,2
LBIST
Flash init
PHASE3
DRUN
Device
Application
Config
Execution
TDRB, min < TReset < TDRB, max
Figure 12. Destructive Reset Sequence, BIST enabled
Reset Sequence Trigger
Reset Sequence Start Condition
RESET_B
RESET
PHASE0
PHASE1,2
Establish IRC
and PWR
Flash init
PHASE3
DRUN
Device
Application
Config
Execution
TDR, min < TReset < TDR, max
Figure 13. Destructive Reset Sequence, BIST disabled
DocID026934 Rev 1
113/137
136
Electrical characteristics
RPC56EL60L5
Reset Sequence Trigger
Reset Sequence Start Condition
RESET
RESET_B
PHASE1,2
Flash init
PHASE3
BIST
Device
Self Test
Config
Setup
MBIST
PHASE1,2
LBIST
PHASE3
Flash init
Device
Application
Config
Execution
TERLB, min < TReset < TERLB, max
Figure 14. External Reset Sequence Long, BIST enabled
Reset Sequence Trigger
Reset Sequence Start Condition
RESET_B
RESET
PHASE1,2
Flash init
PHASE3
DRUN
Device
Application
Config
Execution
TFRL, min < TReset < TFRL, max
Figure 15. Functional Reset Sequence Long
Reset Sequence Trigger
Reset Sequence Start Condition
RESET_B
RESET
PHASE3
DRUN
Application
Execution
TFRS, min < TReset < TFRS, max
Figure 16. Functional Reset Sequence Short
114/137
DocID026934 Rev 1
DRUN
RPC56EL60L5
Electrical characteristics
The reset sequences shown in Figure 15 and Figure 16 are triggered by functional reset
events. RESET is driven low during these two reset sequences only if the corresponding
functional reset source (which triggered the reset sequence) was enabled to drive RESET
low for the duration of the internal reset sequence(c).
3.19.3
Reset sequence trigger mapping
The following table shows the possible trigger events for the different reset sequences. It
specifies the reset sequence start conditions as well as the reset sequence end indications
that are the basis for the timing data provided in Table 30.
Table 31. Reset sequence trigger — reset sequence
Reset Sequence
Reset
Sequence
Trigger
All internal
destructive reset
sources
(LVDs or internal
HVD during
power-up and
during operation)
Reset
Sequence
Start
Condition
Reset
Sequence
End
Indication
Destructive
Reset
Sequence,
BIST
enabled(1)
Destructive
reset
All internal
functional reset
sources
configured for
long reset
All internal
functional reset
sources
configured for
short reset
External
Reset
Sequence
Long, BIST
enabled
Functional
Reset
Sequence
Long
Functional
Reset
Sequence
Short
triggers
cannot
trigger
cannot
trigger
cannot
trigger
cannot trigger
triggers(4)
triggers(5)
triggers(6)
cannot trigger
cannot
trigger
triggers
cannot
trigger
cannot trigger
cannot
trigger
cannot
trigger
triggers
Release of
RESET(2)
External
reset via
RESET
Assertion of
RESET(3)
Destructive
Reset
Sequence,
BIST
disabled(1)
Sequence
starts with
internal reset
trigger
Release of
RESET(7)
1. Whether BIST is executed or not depends on the chip configuration data stored in the shadow sector of the NVM.
2. End of the internal reset sequence (as specified in Table 30) can only be observed by release of RESET if it is not held low
externally beyond the end of the internal sequence which would prolong the internal reset PHASE3 till RESET is released
externally.
3. The assertion of RESET can only trigger a reset sequence if the device was running (RESET released) before. RESET
does not gate a Destructive Reset Sequence, BIST enabled or a Destructive Reset Sequence, BIST disabled. However, it
can prolong these sequences if RESET is held low externally beyond the end of the internal sequence (beyond PHASE3).
4. If RESET is configured for long reset (default) and if BIST is enabled via chip configuration data stored in the shadow sector
of the NVM.
5. If RESET is configured for long reset (default) and if BIST is disabled via chip configuration data stored in the shadow
sector of the NVM.
c.
See RGM_FBRE register for more details.
DocID026934 Rev 1
115/137
136
Electrical characteristics
RPC56EL60L5
6. If RESET is configured for short reset
7. Internal reset sequence can only be observed by state of RESET if bidirectional RESET functionality is enabled for the
functional reset source which triggered the reset sequence.
3.19.4
Reset sequence — start condition
The impact of the voltage thresholds on the starting point of the internal reset sequence are
becoming important if the voltage rails / signals ramp up with a very slow slew rate
compared to the overall reset sequence duration.
Destructive reset
Figure 17 shows the voltage threshold that determines the start of the Destructive Reset
Sequence, BIST enabled and the start for the Destructive Reset Sequence, BIST disabled.
V
Supply Rail
Vmax
Vmin
t
TReset, max starts here
TReset, min starts here
Figure 17. Reset sequence start for Destructive Resets
Table 32. Voltage Thresholds
Variable name
Value
Vmin
Refer to Table 17
Vmax
Refer to Table 17
Supply Rail
VDD_HV_PMU
External reset via RESET
Figure 18 shows the voltage thresholds that determine the start of the reset sequences
initiated by the assertion of RESET as specified in Table 31.
116/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
V
RESET_B
RESET
0.65 * VDD_HV_IO
0.35 * VDD_HV_IO
t
TReset, max starts here
TReset, min starts here
Figure 18. Reset sequence start via RESET assertion
3.19.5
External watchdog window
If the application design requires the use of an external watchdog the data provided in
Section 3.19 can be used to determine the correct positioning of the trigger window for the
external watchdog. Figure 19 shows the relationships between the minimum and the
maximum duration of a given reset sequence and the position of an external watchdog
trigger window.
Watchdog needs to be triggered within this window
TWDStart, min
External Watchdog Window Closed
External Watchdog Window Open
TWDStart, max
External Watchdog Window Closed
External Watchdog Window Open
Watchdog trigger
TReset, min
Basic Application Init
Application Running
TReset, max
Earliest
Application
Start
Basic Application Init
Application Running
Latest
Application
Start
Application time required to
prepare watchdog trigger
Internal Reset Sequence
Start condition (signal or voltage rail)
Figure 19. Reset sequence - External watchdog trigger window position
DocID026934 Rev 1
117/137
136
Electrical characteristics
3.20
RPC56EL60L5
AC timing characteristics
AC Test Timing Conditions: Unless otherwise noted, all test conditions are as follows:
3.20.1

TJ = –40 to 150 C

Supply voltages as specified in Table 9

Input conditions: All Inputs: tr, tf = 1 ns

Output Loading: All Outputs: 50 pF
RESET pin characteristics
The RPC56EL60L5 implements a dedicated bidirectional RESET pin.
VDD
VDDMIN
RESET
VIH
VIL
device reset forced by RESET
device start-up phase
Figure 20. Start-up reset requirements
VRESET
hw_rst
VDD
‘1’
VIH
VIL
‘0’
filtered by
hysteresis
filtered by
lowpass filter
WFRST
filtered by
lowpass filter
unknown reset
state
device under hardware reset
WFRST
WNFRST
Figure 21. Noise filtering on reset signal
118/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
x
No
.
1
Table 33. RESET electrical characteristics
Symbol
Parameter
Conditions(1)
Min
Typ
Max
CL = 25pF
—
—
12
CL = 50pF
—
—
25
CL = 100pF
—
—
40
Output transition time output
D
pin(2)
Ttr
Unit
ns
2
WFRST
P nRESET input filtered pulse
—
—
—
40
ns
3
WNFRS
P nRESET input not filtered pulse
—
500
—
—
ns
T
1. VDD = 3.3 V ± 10%, TJ = –40 to +150 °C, unless otherwise specified
2. CL includes device and package capacitance (CPKG < 5 pF).
3.20.2
WKUP/NMI timing
Table 34. WKUP/NMI glitch filter
No.
3.20.3
Symbol
Parameter
Min
Typ
Max
Unit
1
WFNMI
D
NMI pulse width that is rejected
—
—
45
ns
2
WNFNMI
D
NMI pulse width that is passed
205
—
—
ns
IEEE 1149.1 JTAG interface timing
Table 35. JTAG pin AC electrical characteristics
No
.
Symbol
Parameter
Conditions
Min
Max
Unit
1
tJCYC
D
TCK cycle time
—
62.5
—
ns
2
tJDC
D
TCK clock pulse width (measured at VDDE/2)
—
40
60
%
3
tTCKRISE
D
TCK rise and fall times (40%–70%)
—
—
3
ns
4
tTMSS,
tTDIS
D
TMS, TDI data setup time
—
5
—
ns
5
tTMSH,
tTDIH
D
TMS, TDI data hold time
—
25
—
ns
6
tTDOV
D
TCK low to TDO data valid
—
—
20
ns
7
tTDOI
D
TCK low to TDO data invalid
—
0
—
ns
8
tTDOHZ
D
TCK low to TDO high impedance
—
—
20
ns
11
tBSDV
D
TCK falling edge to output valid
—
—
50
ns
12
tBSDVZ
D
TCK falling edge to output valid out of high impedance
—
—
50
ns
13
tBSDHZ
D
TCK falling edge to output high impedance
—
—
50
ns
14
tBSDST
D
Boundary scan input valid to TCK rising edge
—
50
—
ns
15
tBSDHT
D
TCK rising edge to boundary scan input invalid
—
50
—
ns
DocID026934 Rev 1
119/137
136
Electrical characteristics
RPC56EL60L5
TCK
2
3
2
1
3
Figure 22. JTAG test clock input timing
TCK
4
5
TMS, TDI
6
8
7
TDO
Figure 23. JTAG test access port timing
120/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
TCK
11
13
Output
Signals
12
Output
Signals
14
15
Input
Signals
Figure 24. JTAG boundary scan timing
3.20.4
Nexus timing
Table 36. Nexus debug port timing(1)
No.
Symbol
Parameter
Conditions
Min
Max
Unit
1
tMCYC
D MCKO Cycle Time
—
15.6
—
ns
2
tMDC
D MCKO Duty Cycle
—
40
60
%
3
tMDOV
D MCKO Low to MDO, MSEO, EVTO Data Valid(2)
—
–0.1
0.25
tMCY
4
5
C
tEVTIPW
D EVTI Pulse Width
tEVTOPW
D EVTO Pulse Width
—
4.0
—
tTCY
C
—
tMCY
1
C
6
tTCYC
D TCK Cycle
7
tTDC
8
tNTDIS,
tNTMSS
Time(3)
—
62.5
—
ns
D TCK Duty Cycle
—
40
60
%
D TDI, TMS Data Setup Time
—
8
—
ns
DocID026934 Rev 1
121/137
136
Electrical characteristics
RPC56EL60L5
Table 36. Nexus debug port timing(1) (continued)
No.
Symbol
9
tNTDIH,
tNTMSH
10
tJOV
Parameter
Conditions
Min
Max
Unit
D TDI, TMS Data Hold Time
5
—
ns
D TCK Low to TDO/RDY Data Valid
0
25
ns
1. JTAG specifications in this table apply when used for debug functionality. All Nexus timing relative to MCKO is measured
from 50% of MCKO and 50% of the respective signal.
2. For all Nexus modes except DDR mode, MDO, MSEO, and EVTO data is held valid until next MCKO low cycle.
3. The system clock frequency needs to be four times faster than the TCK frequency.
1
2
MCKO
3
MDO
MSEO
EVTO
Output Data Valid
5
Figure 25. Nexus output timing
4
EVTI
Figure 26. Nexus EVTI Input Pulse Width
122/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
MCKO
MDO, MSEO
MDO/MSEO data are valid during MCKO rising and falling edge
Figure 27. Nexus Double Data Rate (DDR) Mode output timing
DocID026934 Rev 1
123/137
136
Electrical characteristics
RPC56EL60L5
6
7
TCK
8
9
TMS, TDI
10
TDO/RDY
Figure 28. Nexus TDI, TMS, TDO timing
3.20.5
External interrupt timing (IRQ pin)
Table 37. External interrupt timing
No.
Symbol
Parameter
Conditions
Min
Max
Unit
1
tIPWL
D
IRQ pulse width low
—
3
—
tCYC
2
tIPWH
D
IRQ pulse width high
—
3
—
tCYC
3
tICYC
D
IRQ edge to edge time(1)
—
6
—
tCYC
1. Applies when IRQ pins are configured for rising edge or falling edge events, but not both.
124/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
IRQ
1
2
3
Figure 29. External interrupt timing
3.20.6
DSPI timing
Table 38. DSPI timing
No.
Symbol
Parameter
D
1
tSCK
D
Conditions
Master (MTFE = 0)
DSPI cycle time
D
Slave (MTFE = 0)
Slave Receive Only
Mode(1)
Min
Max
62
—
62
—
16
—
Unit
ns
2
tCSC
D
PCS to SCK delay
—
16
—
ns
3
tASC
D
After SCK delay
—
16
—
ns
4
tSDC
D
SCK duty cycle
—
tSCK/2 - 10
tSCK/2 + 10
ns
5
tA
D
Slave access time
SS active to SOUT valid
—
40
ns
6
tDIS
D
Slave SOUT disable time
SS inactive to SOUT High-Z or
invalid
—
10
ns
7
tPCS
D
PCSx to PCSS time
—
13
—
ns
D
PCSS to PCSx time
—
13
—
ns
Master (MTFE = 0)
20
—
Slave
2
—
Master (MTFE = 1, CPHA = 0)
5
—
Master (MTFE = 1, CPHA = 1)
20
—
Master (MTFE = 0)
–5
—
Slave
4
—
Master (MTFE = 1, CPHA = 0)
11
—
Master (MTFE = 1, CPHA = 1)
–5
—
C
8
tPAS
C
9
10
tSUI
tHI
D
D
Data setup time for inputs
ns
Data hold time for inputs
ns
DocID026934 Rev 1
125/137
136
Electrical characteristics
RPC56EL60L5
Table 38. DSPI timing (continued)
No.
11
12
Symbol
tSUO
tHO
D
D
Parameter
Conditions
Min
Max
Master (MTFE = 0)
—
4
Slave
—
23
Master (MTFE = 1, CPHA = 0)
—
12
Master (MTFE = 1, CPHA = 1)
—
4
Master (MTFE = 0)
–2
—
Slave
6
—
Master (MTFE = 1, CPHA = 0)
6
—
Master (MTFE = 1, CPHA = 1)
–2
—
Data valid (after SCK edge)
ns
Data hold time for outputs
ns
1. Slave Receive Only Mode can operate at a maximum frequency of 60 MHz. In this mode, the DSPI can receive data on
SIN, but no valid data is transmitted on SOUT.
2
3
PCSx
1
4
SCK Output
(CPOL=0)
4
SCK Output
(CPOL=1)
10
9
SIN
First Data
Data
12
SOUT
First Data
Last Data
11
Data
Last Data
Note: The numbers shown are referenced in Table 38.
Figure 30. DSPI classic SPI timing — master, CPHA = 0
126/137
Unit
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
PCSx
SCK Output
(CPOL=0)
10
SCK Output
(CPOL=1)
9
Data
First Data
SIN
Last Data
12
SOUT
First Data
11
Data
Last Data
Note: The numbers shown are referenced in Table 38.
Figure 31. DSPI classic SPI timing — master, CPHA = 1
3
2
SS
1
4
SCK Input
(CPOL=0)
4
SCK Input
(CPOL=1)
5
SOUT
First Data
9
SIN
12
11
Data
Last Data
Data
Last Data
6
10
First Data
Note: The numbers shown are referenced in Table 38.
Figure 32. DSPI classic SPI timing — slave, CPHA = 0
DocID026934 Rev 1
127/137
136
Electrical characteristics
RPC56EL60L5
SS
SCK Input
(CPOL=0)
SCK Input
(CPOL=1)
11
5
6
12
SOUT
First Data
9
SIN
Data
Last Data
Data
Last Data
10
First Data
Note: The numbers shown are referenced in Table 38.
Figure 33. DSPI classic SPI timing — slave, CPHA = 1
3
PCSx
4
1
2
SCK Output
(CPOL=0)
4
SCK Output
(CPOL=1)
9
SIN
First Data
10
12
SOUT
First Data
Last Data
Data
11
Data
Last Data
Note: The numbers shown are referenced in Table 38.
Figure 34. DSPI modified transfer format timing — master, CPHA = 0
128/137
DocID026934 Rev 1
RPC56EL60L5
Electrical characteristics
PCSx
SCK Output
(CPOL=0)
SCK Output
(CPOL=1)
10
9
SIN
First Data
Last Data
Data
12
First Data
SOUT
11
Last Data
Data
Note: The numbers shown are referenced in Table 38.
Figure 35. DSPI modified transfer format timing — master, CPHA = 1
3
2
SS
1
SCK Input
(CPOL=0)
4
4
SCK Input
(CPOL=1)
SOUT
First Data
Data
First Data
6
Last Data
10
9
SIN
12
11
5
Data
Last Data
Note: The numbers shown are referenced in Table 38.
Figure 36. DSPI modified transfer format timing – slave, CPHA = 0
DocID026934 Rev 1
129/137
136
Electrical characteristics
RPC56EL60L5
SS
SCK Input
(CPOL=0)
SCK Input
(CPOL=1)
11
5
6
12
First Data
SOUT
9
Last Data
Data
Last Data
10
First Data
SIN
Data
Note: The numbers shown are referenced in Table 38.
Figure 37. DSPI modified transfer format timing — slave, CPHA = 1
8
7
PCSS
PCSx
Note: The numbers shown are referenced in Table 38.
Figure 38. DSPI PCS strobe (PCSS) timing
130/137
DocID026934 Rev 1
RPC56EL60L5
Package characteristics
4
Package characteristics
4.1
ECOPACK®
In order to meet environmental requirements, ST offers these devices in different grades of
ECOPACK® packages, depending on their level of environmental compliance. ECOPACK®
specifications, grade definitions and product status are available at: www.st.com.
ECOPACK® is an ST trademark.
4.2
Package mechanical data
Figure 39. LQFP144 package mechanical drawing
DocID026934 Rev 1
131/137
136
Package characteristics
RPC56EL60L5
Table 39. LQFP144 mechanical data
inches(1)
mm
Symbol
Typ
Min
A
Max
Typ
1.6
A1
0.05
0.15
Max
0.0630
0.0020
0.0059
A2
1.4
1.35
1.45
0.0551
0.0531
0.0571
b
0.22
0.17
0.27
0.0087
0.0067
0.0106
0.09
0.2
0.0035
0.0079
c
D
22
21.8
22.2
0.8661
0.8583
0.8740
D1
20
19.8
20.2
0.7874
0.7795
0.7953
D3
17.5
E
22
21.8
22.2
0.8661
0.8583
0.8740
E1
20
19.8
20.2
0.7874
0.7795
0.7953
E3
17.5
0.6890
e
0.5
0.0197
L
0.6
0.0177
0.0295
L1
1
k
3.5°
0.0°
7.0°
0.6890
0.45
0.75
0.0236
0.0394
0.0°
7.0°
3.5°
Tolerance
mm
inches
ccc
0.08
0.0031
1. Values in inches are converted from mm and rounded to four decimal digits.
132/137
Min
DocID026934 Rev 1
RPC56EL60L5
Package characteristics
Figure 40. LFBGA257 package mechanical drawing
Table 40. LFBGA257 mechanical data
DocID026934 Rev 1
133/137
136
Package characteristics
RPC56EL60L5
TITLE: LFBGA 14x14x1.7 257 F17x17 PITCH 0.8 BALL 0.4
PACKAGE CODE:
JEDEC/EIAJ REFERENCE NUMBER: JEDEC STANDARD NO.95 SECTION 4.5
(Fine pitch, Square Ball Grid Array Package Design Guide)
DIMENSIONS
DATABOOK
(mm)
REF.
MIN.
TYP.
A
A1
DRAWING
(mm)
MAX.
MIN.
TYP.
0.25
0.30
0.35
1.14
1.70
0.21
MAX.
NOTES
1.45
(1)
A2
1.085
1.03
1.085
A3
0.30
0.26
0.30
0.34
0.77
0.785
0.80
A4
0.80
b
0.35
0.40
0.45
0.35
0.40
0.45
D
13.85
14.00
14.15
13.85
14.00
14.15
13.85
14.00
14.15
13.85
14.00
D1
E
12.80
(2)
12.80
E1
12.80
12.80
e
0.80
0.80
F
0.6
14.15
0.6
ddd
0.12
0.12
eee
0.15
0.15
(3)
fff
0.08
0.08
(4)
NOTES:
(1) - LFBGA stands for Low profile Fine Pitch Ball Grid Array.
- Low Profile: The total profile height (Dim A) is measured from the seating plane to the top of the component
- The maximum total package height is calculated by the following methodology:
A2 Typ+A1 Typ +¥ (A1²+A3²+A4² tolerance values)
- Low profile: 1.20mm < A ” 1.70mm / Fine pitch: e < 1.00mm pitch.
(2) – The typical ball diameter before mounting is 0.40mm.
(3) - The tolerance of position that controls the location of the pattern of balls with respect to datums A and B.
For each ball there is a cylindrical tolerance zone eee perpendicular to datum C and located on true position
with respect to datums A and B as defined by e. The axis perpendicular to datum C of each ball must lie
within this tolerance zone.
(4) - The tolerance of position that controls the location of the balls within the matrix with respect to each other.
For each ball there is a cylindrical tolerance zone fff perpendicular to datum C and located on true position
as defined by e. The axis perpendicular to datum C of each ball must lie within this tolerance zone.
Each tolerance zone fff in the array is contained entirely in the respective zone eee above
The axis of each ball must lie simultaneously in both tolerance zones.
(5) - The terminal A1 corner must be identified on the top surface by using a corner chamfer,
ink or metallized markings, or other feature of package body or integral heatslug.
- A distinguishing feature is allowable on the bottom surface of the package to identify the terminal A1
corner. Exact shape of each corner is optional.
134/137
DocID026934 Rev 1
RPC56EL60L5
5
Ordering information
Ordering information
Figure 41. Commercial product code structure
Example code:
Product identifier Core Family Memory Package Temperature Device options Conditioning
RPC56
E
L
60
L5
C
B F
Q
Y
Y = Tray
R = Tape and Reel

Q = Quality management safety level
S = ASILD/SIL3 safety level

O = No FlexRay
F = FlexRay

C = 80 MHz
B = 120 MHz

B = –40 °C to 105 °C
C = –40 °C to 125 °C


L5 = LQFP144


60 = 1 MB flash memory



L = RPC56XL family


E = e200z4d dual core
4 = Single core


RPC56 = Power Architecture in 90 nm
DocID026934 Rev 1
135/137
136
Revision history
6
RPC56EL60L5
Revision history
Table 41 summarizes revisions to this document.
Table 41. Document revision history
136/137
Date
Revision
23-Sep-2014
1
Changes
Initial release.
DocID026934 Rev 1
RPC56EL60L5
IMPORTANT NOTICE – PLEASE READ CAREFULLY
STMicroelectronics NV and its subsidiaries (“ST”) reserve the right to make changes, corrections, enhancements, modifications, and
improvements to ST products and/or to this document at any time without notice. Purchasers should obtain the latest relevant information on
ST products before placing orders. ST products are sold pursuant to ST’s terms and conditions of sale in place at the time of order
acknowledgement.
Purchasers are solely responsible for the choice, selection, and use of ST products and ST assumes no liability for application assistance or
the design of Purchasers’ products.
No license, express or implied, to any intellectual property right is granted by ST herein.
Resale of ST products with provisions different from the information set forth herein shall void any warranty granted by ST for such product.
ST and the ST logo are trademarks of ST. All other product or service names are the property of their respective owners.
Information in this document supersedes and replaces information previously supplied in any prior versions of this document.
© 2014 STMicroelectronics – All rights reserved
DocID026934 Rev 1
137/137
137