Quartus II Software Version 12.0 Device Support Release

Quartus II Software Version 12.0 Device
Support Release Notes
RN-01074
This document provides late-breaking information about device support in the
Altera® Quartus® II software version 12.0. For information about disk space and
system requirements, refer to the readme.txt file in your
altera/<version number>/quartus directory.
f For information about new features, EDA tool version support, and existing and
resolved software issues, refer to the Quartus II Software Release Notes.
This document contains the following sections:
■
“Device Support and Pin-Out Status”
■
“Memory Recommendations” on page 3
■
“Timing and Power Models” on page 6
■
“Changes in Device Support” on page 8
Device Support and Pin-Out Status
This section contains information about the device support status in the Quartus II
software version 12.0.
Full Device Support
Full compilation, simulation, timing analysis, and programming support is now
available for the new devices listed in Table 1.
Table 1. Device with Full Support
Device Family
Device
5SGSMD4KF40
5SGSMD5
5SGXEA3KF35
5SGXEA3KF40
5SGXEA4
5SGXEA5
5SGXEA7
5SGXMA3KF35
5SGXMA3KF40
5SGXMA4
5SGXMA5
5SGXMA7
5AGXFB3ES
5AGXMB3ES
Stratix® V
Arria® V
© 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos
are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its
semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and
services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service
described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying
on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
Quartus II Software Version 12.0 Device Support Release Notes
June 2012
Feedback Subscribe
1–2
Device Support and Pin-Out Status
Advance Device Support
Compilation, simulation, and timing analysis support is provided for the devices
listed in Table 2 that will be released in the near future. The Compiler generates
pin-out information for these devices in this release, but does not generate
programming files.
Table 2. Devices with Advance Support
Device Family
Arria V
®
Devices
5AGXBB1
5AGXBB3
5AGXBB5
5AGXBB7
5AGXFB1
5AGXFB3
5AGXFB5
5AGXFB7
5AGXMB1
5AGXMB3
5AGXMB5
5AGXMB7
5AGTFD7
Cyclone® V
Stratix® V
5CGXBC7
5CGXFC7ES
5CGXFC7
5CGTFD7
5SGSED6
5SGSED8
5SGSMD3
5SGSMD4EH29
5SGSMD4HF35
5SGSMD6
5SGSMD8
5SGXEA3EH29
5SGXEA3HF35
5SGXEA9
5SGXEAB
5SGXEB5
5SGXEB6
5SGXEB9
5SGXEBB
5SGXMA3EH29
5SGXMA3HF35
5SGXMA9
5SGXMAB
5SGXMB5
5SGXMB6
5SGXMB9
5SGXMBB
5SGTMC5
5SGTMC7
Initial Information Device Support
Compilation, simulation, and timing analysis support is provided for the devices
listed in Table 3 that will be released in upcoming versions of the Quartus II software.
Programming files and pin-out information are not generated for these devices in this
release.
Table 3. Devices with Initial Information Support
Device Family
Cyclone ® V
Quartus II Software Version 12.0 Device Support Release Notes
Devices
5CEBA7
5CEFA7
5CEBA9
5CEFA9
5CGXBC9
5CGXFC9
5CGTFD9
5CSXFC6
June 2012 Altera Corporation
1–3
Memory Recommendations
Table 3. Devices with Initial Information Support (Continued)
Arria V
5AGXBA1
5AGXBA3
5AGXBA5
5AGXBA7
5AGXFA5
5AGXFA7
5AGXMA1
5AGXMA3
5AGXMA5
5AGXMA7
Memory Recommendations
A full installation of the Quartus II software requires up to 10 GB of available disk
space on the drive or partition where you are installing the Altera software.
The Quartus II Stand-Alone Programmer requires a minimum of 1 GB of RAM plus
additional memory, based on the size and number of .sof files and the size and
number of devices being configured.
Altera recommends that your system be configured to provide virtual memory equal
to the recommended physical RAM that is required to process your design.
Table 4 lists the memory required to process designs targeted for Altera devices.
Table 4. Memory Recommendations
Recommended Physical RAM
Family
Device
32-bit
EP1AGX20
Arria GX
Arria II GX
Arria II GZ
Arria V
Cyclone
June 2012
Altera Corporation
512 MB
64-bit
512 MB
EP1AGX35,
1.0 GB
EP1AGX50, EP1AGX60
1.5 GB
EP1AGX90
1.5 GB
2.0 GB
EP2AGX45
1.0 GB
1.5 GB
EP2AGX65
1.5 GB
2.0 GB
EP2AGX95,
EP2AGX125,
EP2AGX190
3.0 GB
4.0 GB
EP2AGX260
4.0 GB
6.0 GB
EP2AGZ225
3.0 GB
4.0 GB
EP2AGZ300
4.0 GB
6.0 GB
EP2AGZ350
N/A
8.0 GB
5AGXA1, 5AGXA3
N/A
4.0 GB
5AGXA5, 5AGXA7
N/A
6.0 GB
5AGXB1, 5AGXB3
N/A
8.0 GB
5AGXB5, 5AGXB7,
5AGTD7
N/A
12.0 GB
All
512 MB
512 MB
Quartus II Software Version 12.0 Device Support Release Notes
1–4
Memory Recommendations
Table 4. Memory Recommendations (Continued)
Recommended Physical RAM
Family
Device
32-bit
Cyclone II
Cyclone III
Cyclone III LS
Cyclone IV E
Cyclone IV GX
Cyclone V
HardCopy® II
HardCopy III
EP2C5, EP2C8,
EP2C15, EP2C20
512 MB
512 MB
EP2C35, EP2C50
1.0 GB
1.5 GB
EP2C70
1.5 GB
2.0 GB
EP3C5, EP3C10,
EP3C16, EP3C25,
EP3C40
512 MB
512 MB
EP3C55, EP3C80
768 MB
1.0 GB
EP3C120
1.5 GB
2.0 GB
EP3CLS70,
EP3CLS100
1.5 GB
2.0 GB
EP3CLS150,
EP3CLS200
3.0 GB
4.0 GB
EP4CE6, EP4CE10,
EP4CE15, EP4CE22,
EP4CE30, EP4CE40
512 MB
512 MB
EP4CE55, EP4CE75
768 MB
1.0 GB
EP4CE115
1.0 GB
1.5 GB
EP4CGX15,
512 MB
EP4CGX22, EP4CGX30
512 MB
EP4CGX50, EP4CGX75 1.0 GB
1.5 GB
EP4CGX110,
EP4CGX150
1.5 GB
2.0 GB
5CEA7, 5CGXC7,
5CGTD7
3.0 GB
4.0 GB
5CEA9, 5CGXC9,
5CGTD9, 5CSXC6
N/A
8.0 GB
HC210, HC210W
1.5 GB
2.0 GB
HC220, HC230, HC240 3.0 GB
4.0 GB
HC325
8.0 GB
HC335
N/A
HC4E25
HardCopy IV
64-bit
HC4GX15
HC4E35, HC4GX25
12.0 GB
8.0 GB
N/A
HC4GX35
12.0 GB
16.0 GB
20.0 GB
MAX®
All
512 MB
512 MB
MAX II
All
512 MB
512 MB
MAX V
All
512 MB
512 MB
Quartus II Software Version 12.0 Device Support Release Notes
June 2012 Altera Corporation
1–5
Memory Recommendations
Table 4. Memory Recommendations (Continued)
Recommended Physical RAM
Family
Device
32-bit
Stratix
Stratix GX
Stratix II
Stratix II GX
Stratix III
Stratix IV
June 2012
Altera Corporation
64-bit
EP1S10, EP1S20
512 MB
512 MB
EP1S25, EP1S30,
EP1S40, EP1S60
1.0 GB
1.5 GB
EP1S80
1.5 GB
2.0 GB
EP1SGX10
512 MB
512 MB
EP1SGX25, EP1SGX40 1.0 GB
1.5 GB
EP2S15
512 MB
512 MB
EP2S30
1.0 GB
1.5 GB
EP2S60, EP2S90
1.5 GB
2.0 GB
EP2S130, EP2S180
3.0 GB
4.0 GB
EP2SGX30, EP2SGX60 1.0 GB
1.5 GB
EP2SGX90
1.5 GB
2.0 GB
EP2SGX130
3.0 GB
4.0 GB
EP3SL50, EP3SE50,
EP3SL70
1.0 GB
1.5 GB
EP3SE80
1.5 GB
2.0 GB
EP3SL110, EP3SE110,
EP3SL150, EP3SL200
3.0 GB
4.0 GB
EP3SE260, EP3SL340
4.0 GB
6.0 GB
EP4SGX70
1.5 GB
2.0 GB
EP4SE230
EP4SGX110,
EP4SGX230,
EP4S40G2,
EP4S100G2
3.0 GB
4.0 GB
EP4SGX290
4.0 GB
6.0 GB
EP4SE360
EP4SGX360,
EP4S100G3,
EP4S100G4
N/A
8.0 GB
EP4SGX530,
EP4SE530, EP4SE820,
EP4S40G5,
EP4S100G5
N/A
12.0 GB
Quartus II Software Version 12.0 Device Support Release Notes
1–6
Timing and Power Models
Table 4. Memory Recommendations (Continued)
Recommended Physical RAM
Family
Device
32-bit
Stratix V
64-bit
5SGXA3, 5SGXA4,
5SGSD3, 5SGSD4,
5SGTC5
N/A
12.0 GB
5SGXA5, 5SGXB5,
5SGSD5
N/A
16.0 GB
5SGXA7, 5SGXB6,
5SGSD6, 5SGSD8,
5SGTC7
N/A
20.0 GB
5SGXA9, 5SGXAB
N/A
24.0 GB
5SEE9, 5SEEB
N/A
28.0 GB
5SGXB9, 5SGXBB
N/A
32.0 GB
Timing and Power Models
Table 5 lists a summary of timing and power model status in the current version of the
Quartus II software.
Table 5. Devices with Timing and Power Models
Device Family
Device
Timing Model Status
Power Model Status
EP2AGX45
EP2AGX65
Arria II GX
EP2AGX95
Final – 10.0
Final – 10.0
EP2AGX125
EP2AGX190
EP2AGX260
Final – 10.0 SP1
Arria II GZ
All
Final – 10.1
Final – 10.1
Arria V
All
Preliminary
Preliminary
Final – 10.0
Final – 10.0 SP1
EPC3LS70
Cyclone III LS
EPC3LS100
EPC3LS150
EPC3LS200
Cyclone IV E
All 1.0V
Final – 10.0 SP1
All 1.2V
Final – 10.0
EP4CGX15
Final – 10.1
EP4CGX22
Final – 11.0
EP4CGX30
Cyclone IV GX
EP4CGX50
EP4CGX75
EP4CGX110
EP4CGX150
Quartus II Software Version 12.0 Device Support Release Notes
Final – 10.0 SP1
Final – 11.0
Final – (1)
Final – 11.0
Final –11.1
Final – 10.1
Final – 11.0
June 2012 Altera Corporation
1–7
Timing and Power Models
Table 5. Devices with Timing and Power Models (Continued)
Device Family
Device
Timing Model Status
Power Model Status
Cyclone V
All
Preliminary
Preliminary
HardCopy III
All
Correlated – 11.1
Preliminary
HardCopy IV E
All
Correlated – 11.1
Preliminary
HardCopy IV GX
All
Correlated – 11.1
Preliminary
MAX V
All
Final – 11.0
Final – 11.0
EP4SE230
EP4SGX180
EP4SGX230
Final – 9.1 SP1
EP4S40G2
EP4S100G2
EP4SE360
EP4SE530
Final – 10.0
EP4SGX290
Stratix IV
EP4SGX360
Final – 9.1 SP2 (2)
EP4SGX530
EP4S40G5
EP4S100G3
EP4S100G4
EP4S100G5
EP4SGX70
Final – 10.0
EP4SGX110
Stratix V
EP4SE820
Final – 10.0 SP1
All
Preliminary
(1)
EP4CGX30BF14 and EP4CGX30CF19 are final in 11.0, EP4CGX30CF23 final in 11.1.
(2)
The timing is updated for PMA Direct transceiver timing in Quartus II software release 12.0.
Final – 10.1
Preliminary
The current version of the Quartus II software also includes final timing and power
models for the Arria GX, Cyclone, Cyclone II, Cyclone III, HardCopy II, MAX,
MAX II, MAX IIZ, Stratix, Stratix GX, Stratix II, Stratix II GX, and Stratix III device
families. Timing models for these device families became final in the Quartus II
software versions 9.0 and earlier.
June 2012
Altera Corporation
Quartus II Software Version 12.0 Device Support Release Notes
1–8
Changes in Device Support
Changes in Device Support
The following section is divided into device support changes according to whether
the change is a notification, or whether the change has been fixed or not fixed.
Change Notifications
This section provides notifications for changes to devices.
Device Support Not Fixed
f For the latest known issues related to the Quartus II software, refer to the Knowledge
Base: http://www.altera.com/support/kdb/kdb-search.jsp
Negative pin location assignments not supported for MAX V LVDS pair
Description
The Quartus II software does not support pin location assignments for the
negative pin of an LVDS pair for MAX V devices.
Workaround
Assign positive pins.
Applies to MAX V devices
SPR 384073 12.0
Incorrect merging of TX PLLs for Arria V GT channels
Description
10G PMA direct channels can only be driven by TX PLL within the same triplet
but the fitter automatically merges TX PLL within the same six pack. This creates
the situation where a TX PLL drives data channels from beyond the same triplet.
Workaround
To prevent TX PLL from merging incorrectly, use location assignment to constrain
the locations of the TX PLLs so that they always stay within the same triplet as the
data channel that they are driving.
The location of the PLLs can be found in the Chip Planner. The TX PLL should be
assigned to the central channel of a triplet.
Applies to Arria V GT devices
FB 55652 12.0
Quartus II Software Version 12.0 Device Support Release Notes
June 2012 Altera Corporation
1–9
Changes in Device Support
Stratix V clock pin migration issue
Description
When migrating between 5SGXA5 or 5SGXA7 and 5SGXA9 or 5SGSAB devices,
Quartus II software is unable to determine whether the clock connectivity on the
migration device is legal. Quartus II cannot verify that the guidelines on page 4-24
of the “Clock Networks and PLLs in Stratix V Devices” document (Stratix V
Handbook volume 1 chapter 4) are being correctly followed.
Workaround
To verify migration compatibility, compile the design for each migration device
using the same fixed pin assignments.
Applies to Stratix V devices
FB 54204 12.0
OE delay chain offset issue
Description
Quartus II fails to set the delay chain offset if:
■
You have not defined a timing constraint on the output pin, or
■
The data on the pin is connected to VCC/GND, and OE path is not
I/O registered.
In power-up mode, you may observe glitches on the I/O pins.
Workaround
There are three possible workarounds:
■
If the data on the pin is not connected to VCC/GND, add a timing
constraint to the output pin.
■
Use the I/O-register for the OE.
■
Add QSF settings to force the maximum offset between the D5 output delay
chain and D5 output enable delay chain.
Applies to Arria V, Cyclone V, and Stratix V devices
FB 53243 12.0
Quartus II restricting ATX PLL range issue
Description
The Quartus II software does not restrict the ATX PLL range to the current
performance specifications that is documented in the current errata sheet for
Stratix V devices. Quartus II assumes that all the ATX PLL has exactly same data
rate performance. Therefore it is possible for Quartus to place an ATX PLL at a
location that is outside of the performance specifications of your device.
June 2012
Altera Corporation
Quartus II Software Version 12.0 Device Support Release Notes
1–10
Changes in Device Support
Workaround
Ensure that your design compiles with the specifications in the errata sheet. You
may need to manually assign ATX PLLs in your design to specific locations to
ensure this.
Applies to all Stratix V devices
FB 57765 12.0
Device Support Fixed
This section provides details for device support that has been fixed.
Stratix V ES timing model update
Description
Stratix V ES timing models have been improved for accuracy, based on volume ES
silicon correlation. You should recompile, retime closing, and regenerate
programming files for your Stratix V designs with the Quartus II software version
11.1 SP2.
Applies to Stratix V devices
No SPR
Stratix V M20K read data incorrect
Description
Stratix V M20K read data was incorrect when the ECC is turned ON, the ECC
feature was used, and the logical RAM used required multiple M20K blocks to
implement. The issue is resolved in Quartus II software version 11.1 SP2.
Applies to Stratix V devices
SPR 393534 11.1 SP2
HardCopy IV GX timing model data corrected
Description
If you use PCI express IP (Gen1 or Gen2) with a coreclk operating frequency
greater than or equal to 250 MHz, you may see set-up failures for transfer from
PCIE_HIP to core with an excessively large μTco delay. Incorrect data was used in
the Quartus II software version 11.1 and the data has been corrected in 11.1 SP1.
Applies to HardCopy IV GX devices
SPR 392452 11.1 SP1
Quartus II Software Version 12.0 Device Support Release Notes
June 2012 Altera Corporation
1–11
Changes in Device Support
Stratix V resource counts corrected
Description
Resource counts for Stratix V device 5SGXEA5 were incorrect in the Quartus
software version 11.1. This issue is fixed in the Quartus II software version 11.1
SP1.
Applies to Stratix V devices
SPR 392039 11.1 SP1
Stratix IV PMA Direct transceiver timing
Description
The Stratix IV timing model has changed in Quartus II software version 12.0 to
update the delay model for PMA Direct transceiver interfaces.
The issue affects designs that use the ALTGX Megafunction transceiver mode
“Basic (PMA Direct)” in the transmitter. This mode uses a direct core-to-PMA
register transfer on the transmit side, instead of using the hard PCS logic and
phase-compensation FIFO.
The incorrect timing models in the Quartus II software version 11.1 SP2 and
earlier may result in hardware errors (increased bit error rates, BER) for designs
that have low timing margin on the affected timing path, especially at high
temperature and low core voltage.
Workaround
Refer to the following Knowledge Base solution:
www.altera.com/support/kdb/solutions/rd04172012_965.html
Applies to Stratix IV devices
FB 56202 12.0
Stratix V VCCHIP power optimization
Description
For lower power of VCCHIP pins, target a Stratix V production (non-ES) part and
do not reference an ES part in the migration list. The VCCHIP pins must be
powered up for ES parts, but not for production parts. To support pinout
migration, Quartus II indicates that the VCCHIP pins must be powered when an
ES device is used or when an ES device is included in the migration list. For more
information please refer to the Stratix® V E, GS, and GX Device Family Pin
Connection Guidelines document.
Applies to Stratix V devices
FB 56800 12.0
June 2012
Altera Corporation
Quartus II Software Version 12.0 Device Support Release Notes
1–12
Changes in Device Support
Quartus II Software Version 12.0 Device Support Release Notes
June 2012 Altera Corporation