INFINEON HYS72D16500GR-7-A

Data Sheet, Rev. 1.2, Jan. 2004
HYS72D16500GR-[7/8]-A
HYS72D32501GR-[7/8]-A
Low Profile DDR SDRAM-Modules
DDR SDRAM
Memory Products
N e v e r
s t o p
t h i n k i n g .
The information in this document is subject to change without notice.
Edition 2004-06
Published by Infineon Technologies AG,
St.-Martin-Strasse 53,
81669 München, Germany
© Infineon Technologies AG 2004.
All Rights Reserved.
Attention please!
The information herein is given to describe certain components and shall not be considered as a guarantee of
characteristics.
Terms of delivery and rights to technical change reserved.
We hereby disclaim any and all warranties, including but not limited to warranties of non-infringement, regarding
circuits, descriptions and charts stated herein.
Information
For further information on technology, delivery terms and conditions and prices please contact your nearest
Infineon Technologies Office (www.infineon.com).
Warnings
Due to technical requirements components may contain dangerous substances. For information on the types in
question please contact your nearest Infineon Technologies Office.
Infineon Technologies Components may only be used in life-support devices or systems with the express written
approval of Infineon Technologies, if a failure of such components can reasonably be expected to cause the failure
of that life-support device or system, or to affect the safety or effectiveness of that device or system. Life support
devices or systems are intended to be implanted in the human body, or to support and/or maintain and sustain
and/or protect human life. If they fail, it is reasonable to assume that the health of the user or other persons may
be endangered.
Data Sheet, Rev. 1.2, Jan. 2004
HYS72D16500GR-[7/8]-A
HYS72D32501GR-[7/8]-A
Low Profile DDR SDRAM-Modules
DDR SDRAM
Memory Products
N e v e r
s t o p
t h i n k i n g .
HYS72D16500GR-[7/8]-A HYS72D32501GR-[7/8]-A
Revision History:
Rev. 1.2
2004-06
Previous Version:
Rev. 1.01
2004-01
Page
Subjects (major changes since last revision)
23,24
changed Package outline drawing
8,19
Editorial change
We Listen to Your Comments
Any information within this document that you feel is wrong, unclear or missing at all?
Your feedback will help us to continuously improve the quality of this document.
Please send your proposal (including a reference to this document) to:
[email protected]
Template: mp_a4_v2.3_2004-01-14.fm
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Table of Contents
1
1.1
1.2
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2
Pin Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
3
3.1
3.2
3.3
Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Current Specification and Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
AC Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4
SPD Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
5
Package Outlines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
6
Application Note . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Data Sheet
5
15
15
17
19
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Overview
1
Overview
1.1
Features
•
•
•
•
•
•
•
•
•
•
•
•
184-pin Registered 8 Byte Dual-In-Line DDR SDRAM Module for PC and Server main memory applications
One rank 16M × 72 and 32M × 72 organization
JEDEC standard Double Data Rate Synchronous DRAMs (DDR SDRAM) with a single +2.5 V (± 0.2 V) power
supply
Built with 128 Mbit DDR SDRAMs in 66-Lead TSOPII package
Programmable CAS Latency, Burst Length, and Wrap Sequence (Sequential & Interleave)
Auto Refresh (CBR) and Self Refresh
All inputs and outputs SSTL_2 compatible
Re-drive for all input signals using register and PLL devices.
Serial Presence Detect with E2PROM
JEDEC standard MO-206 form factor:
133.35 mm x 30,48 mm (1.2”) x 4.00 mm
(6,80 mm with stacked components)
JEDEC standard reference layout:
Raw Cards L and M
Gold plated contacts
Table 1
Performance -8/-7
Part Number Speed Code
Speed Grade
max. Clock Frequency
–7
–8
Unit
Component
DDR266A
DDR200
—
Module
PC2100-2033
PC1600-2022
—
143
125
MHz
133
100
MHz
@CL2.5
@CL2
1.2
fCK2.5
fCK2
Description
The HYS 72D××0×0GR are industry standard 184-pin 8 byte Dual in-line Memory Modules (DIMMs) organized as
16M × 72 (128 MB)and 32M × 72 (256 MB). The memory array is designed with Double Data Rate Synchronous
DRAMs for ECC applications. All control and address signals are re-driven on the DIMM using register devices
and a PLL for the clock distribution. This reduces capacitive loading to the system bus, but adds one cycle to the
SDRAM timing. A variety of decoupling capacitors are mounted on the PC board. The DIMMs feature serial
presence detect based on a serial E2PROM device using the 2-pin I2C protocol. The first 128 bytes are
programmed with configuration data and the second 128 bytes are available to the customer.
Data Sheet
6
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Overview
Table 2
Ordering Information
Type
Compliance Code
Description
SDRAM Technology
HYS72D16500GR-7-A
PC2100R-20330-L
one rank 128 MB Reg. DIMM 128 Mbit (×8)
HYS72D32501GR-7-A
PC2100R-20330-M
one rank 256 MB Reg. DIMM 128 Mbit (×4)
PC2100 (CL=2)
PC1600 (CL=2)
HYS72D16500GR-8-A
PC1600R-20220-L
one rank 128 MB Reg. DIMM 128 Mbit (×8)
HYS72D32101GR-8-A
PC1600R-20220-M
one rank 256 MB Reg. DIMM 128 Mbit (×4)
Note: All part numbers end with a place code (not shown), designating the silicon-die revision. Reference
information available on request. Example: HYS72D16500GR-8-A, indicating Rev. A die are used for
SDRAM components The Compliance Code is printed on the module labels and describes the speed sort
for example “PC2100R”, the latencies (for example “20330” means CAS latency = 2, tRCD latency = 3 and
tRP latency = 3 ) and the Raw Card used for this module.
Data Sheet
7
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
2
Pin Configuration
Table 3
The pin configuration of the Registered DDR SDRAM
DIMM is listed by function in Table 3 (184 pins). The
abbreviations used in columns Pin and Buffer Type are
explained in Table 4 and Table 5 respectively. The pin
numbering is depicted in Figure 1.
Table 3
Pin Configuration of RDIMM (cont’d)
Pin# Name
Pin
Buffer Function
Type Type
125
A6
I
SSTL
29
A7
I
SSTL
Pin Configuration of RDIMM
122
A8
I
SSTL
Pin
Buffer Function
Type Type
27
A9
I
SSTL
141
A10
I
SSTL
AP
I
SSTL
Pin# Name
Clock Signals
137
CK0
I
SSTL
Clock Signal
118
A11
I
SSTL
138
CK0
I
SSTL
Complement Clock
115
A12
I
SSTL
21
CKE0
I
SSTL
Clock Enable Rank 0
111
CKE1
I
SSTL
Clock Enable Rank 1
NC
NC
SSTL
Note: 1-rank module
Control Signals
S0
I
SSTL
Chip Select of Rank 0
158
S1
I
SSTL
Chip Select of Rank 1
167
NC
NC
–
Note: 128 Mbit
module
A13
I
SSTL
Address Signal 13
Note: 1 Gbit
module
Note: 2-ranks module
NC
Note: Module based on
512 Mbit
or
smaller dies
DQ0
I/O
SSTL
Data Bus 63:0
DQ1
I/O
SSTL
6
DQ2
I/O
SSTL
8
DQ3
I/O
SSTL
94
DQ4
I/O
SSTL
95
DQ5
I/O
SSTL
98
DQ6
I/O
SSTL
99
DQ7
I/O
SSTL
12
DQ8
I/O
SSTL
13
DQ9
I/O
SSTL
19
DQ10
I/O
SSTL
20
DQ11
I/O
SSTL
105
DQ12
I/O
SSTL
106
DQ13
I/O
SSTL
–
Note: 1-rank module
154
RAS
I
SSTL
Row Address Strobe
65
CAS
I
SSTL
Column Address
Strobe
Data Signals
2
63
WE
I
SSTL
Write Enable
4
10
RESET I
LVCMO
S
Register Reset
Forces registered
inputs low
Address Signals
59
BA0
52
BA1
I
SSTL
Bank Address Bus
1:0
48
A0
I
SSTL
Address Bus 11:0
109
DQ14
I/O
SSTL
43
A1
I
SSTL
110
DQ15
I/O
SSTL
41
A2
I
SSTL
23
DQ16
I/O
SSTL
130
A3
I
SSTL
24
DQ17
I/O
SSTL
37
A4
I
SSTL
28
DQ18
I/O
SSTL
32
A5
I
SSTL
31
DQ19
I/O
SSTL
Data Sheet
SSTL
8
based
–
NC
Note: For
detailed
description of the
Power Up and
Power
Management see
the Application
Note at the end of
data sheet
based
NC
NC
I
Address Signal 12
Note: Module based on
256 Mbit or larger
dies
Note: 2-rank module
157
Address Bus 11:0
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
Table 3
Pin Configuration of RDIMM (cont’d)
Table 3
Pin Configuration of RDIMM (cont’d)
Pin# Name
Pin
Buffer Function
Type Type
Pin# Name
Pin
Buffer Function
Type Type
114
DQ20
I/O
SSTL
174
DQ60
I/O
SSTL
117
DQ21
I/O
SSTL
175
DQ61
I/O
SSTL
121
DQ22
I/O
SSTL
178
DQ62
I/O
SSTL
123
DQ23
I/O
SSTL
179
DQ63
I/O
SSTL
33
DQ24
I/O
SSTL
44
CB0
I/O
SSTL
35
DQ25
I/O
SSTL
45
CB1
I/O
SSTL
39
DQ26
I/O
SSTL
49
CB2
I/O
SSTL
40
DQ27
I/O
SSTL
51
CB3
I/O
SSTL
126
DQ28
I/O
SSTL
134
CB4
I/O
SSTL
127
DQ29
I/O
SSTL
135
CB5
I/O
SSTL
131
DQ30
I/O
SSTL
142
CB6
I/O
SSTL
133
DQ31
I/O
SSTL
144
CB7
I/O
SSTL
53
DQ32
I/O
SSTL
5
DQS0
I/O
SSTL
Data Strobes 8:0
55
DQ33
I/O
SSTL
14
DQS1
I/O
SSTL
57
DQ34
I/O
SSTL
25
DQS2
I/O
SSTL
60
DQ35
I/O
SSTL
36
DQS3
I/O
SSTL
Note: See
block
diagram
for
corresponding
DQ signals
146
DQ36
I/O
SSTL
56
DQS4
I/O
SSTL
147
DQ37
I/O
SSTL
67
DQS5
I/O
SSTL
150
DQ38
I/O
SSTL
78
DQS6
I/O
SSTL
151
DQ39
I/O
SSTL
86
DQS7
I/O
SSTL
61
DQ40
I/O
SSTL
47
DQS8
I/O
SSTL
64
DQ41
I/O
SSTL
97
DM0
I
SSTL
68
DQ42
I/O
SSTL
69
DQ43
I/O
SSTL
153
DQ44
I/O
SSTL
155
DQ45
I/O
SSTL
161
DQ46
I/O
SSTL
162
DQ47
I/O
SSTL
72
DQ48
I/O
SSTL
73
DQ49
I/O
SSTL
79
DQ50
I/O
SSTL
80
DQ51
I/O
SSTL
165
DQ52
I/O
SSTL
166
DQ53
I/O
SSTL
170
DQ54
I/O
SSTL
171
DQ55
I/O
SSTL
83
DQ56
I/O
SSTL
84
DQ57
I/O
SSTL
87
DQ58
I/O
SSTL
88
DQ59
I/O
SSTL
Data Sheet
Data Bus 63:0
Data Bus 63:0
Check Bits 7:0
Data Strobes 8:0
Data Mask 0
Note: ×8 based module
DQS9
I/O
SSTL
Data Strobe 9
Note: ×4 based module
107
DM1
I
SSTL
Data Mask 1
Note: ×8 based module
DQS10 I/O
SSTL
Data Strobe 10
Note: ×4 based module
119
DM2
I
SSTL
Data Mask 2
Note: ×8 based module
DQS11 I/O
SSTL
Data Strobe 11
Note: ×4 based module
129
DM3
I
SSTL
Data Mask 3
Note: ×8 based module
DQS12 I/O
SSTL
Data Strobe 12
Note: ×4 based module
9
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
Table 3
Pin Configuration of RDIMM (cont’d)
Table 3
Pin Configuration of RDIMM (cont’d)
Pin# Name
Pin
Buffer Function
Type Type
Pin# Name
Pin
Buffer Function
Type Type
149
I
15, VDDQ
22,
30,
54,
62,
77,
96,
104,
112,
128,
136,
143,
156,
164,
172,
180
PWR –
I/O Driver Power
Supply
7,
VDD
38,
46,
70,
85,
108,
120,
148,
168
PWR –
Power Supply
VSS
3,
11,
18,
26,
34,
42,
50,
58,
66,
74,
81,
89,
93,
100,
116,
124,
132,
139,
145,
152,
160,
176
GND
Ground Plane
DM4
SSTL
Data Mask 4
Note: ×8 based module
DQS13 I/O
SSTL
Data Strobe 13
Note: ×4 based module
159
DM5
I
SSTL
Data Mask 5
Note: ×8 based module
DQS14 I/O
SSTL
Data Strobe 14
Note: ×4 based module
169
DM6
I
SSTL
Data Mask 6
Note: ×8 based module
DQS15 I/O
SSTL
Data Strobe 15
Note: ×4 based module
177
DM7
I
SSTL
Data Mask 7
Note: ×8 based module
DQS16 I/O
SSTL
Data Strobe 16
Note: ×4 based module
140
DM8
I
SSTL
Data Mask 8
Note: ×8 based module
DQS17 I/O
SSTL
Data Strobe 17
Note: ×4 based module
EEPROM
92
SCL
I
CMO
S
Serial Bus Clock
91
SDA
I/O
OD
Serial Bus Data
181
SA0
I
CMO
S
Slave Address Select
Bus 2:0
182
SA1
I
CMO
S
183
SA2
I
CMO
S
Power Supplies
1
184
VREF
AI
–
VDDSPD PWR –
Data Sheet
I/O Reference Voltage
EEPROM Power
Supply
10
–
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
Table 3
Pin# Name
Pin Configuration of RDIMM (cont’d)
Table 4
Pin
Buffer Function
Type Type
Abbreviation Description
Other Pins
82
VDDID
O
OD
VDD Identification
Note: Pin in tristate,
indicating
VDD
and VDDQ nets
connected
on
PCB
NC
9,
16,
17,
71,
75,
76,
90,
101,
102,
103,
113,
163,
173
Data Sheet
NC
–
Abbreviations for Pin Type
Not connected
Pins not connected on
Infineon RDIMM’s
I
Standard input-only pin. Digital levels.
O
Output. Digital levels.
I/O
I/O is a bidirectional input/output signal.
AI
Input. Analog levels.
PWR
Power
GND
Ground
NU
Not Usable
NC
Not Connected
Table 5
Abbreviations for Buffer Type
Abbreviation Description
11
SSTL
Serial Stub Terminated Logic (SSTL2)
LV-CMOS
Low Voltage CMOS
CMOS
CMOS Levels
OD
Open Drain. The corresponding pin has 2
operational states, active low and tristate,
and allows multiple devices to share as a
wire-OR.
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
A2 CB01 CB02 DQ32 DQ34 DQ40 CAS DQ43 DQ49 VDDQ VSS VDD VSS VSS DM00/DQS9 NC DQ12 DQ14 NC DQ21 DQ22 A6 DM3/DQS12 DQ31 CK0 A10/AP VSS DM4/DQS13 DQ44 S0 DQ46 DQ52 DM6/DQS15 NC DM7/DQS16 SA0 -
Pin 041
Pin 045
Pin 049
Pin 053
Pin 057
Pin 061
Pin 065
Pin 069
Pin 073
Pin 077
Pin 081
Pin 085
Pin 089
Pin 093
Pin 097
Pin 101
Pin 105
Pin 109
Pin 113
Pin 117
Pin 121
Pin 125
Pin 129
Pin 133
Pin 137
Pin 141
Pin 145
Pin 149
Pin 153
Pin 157
Pin 161
Pin 165
Pin 169
Pin 173
Pin 177
Pin 181
VSS VDD VSS VDDQ -
- DQ01
- DQ03
- DQ08
- NC
- DQ11
- DQ17
- DQ18
- A5
- DQS3
- DQ27
DQ10 DQ16 A9 DQ19 DQ25 DQ26 -
Pin 003
Pin 007
Pin 011
Pin 015
Pin 019
Pin 023
Pin 027
Pin 031
Pin 035
Pin 039
Pin 004
Pin 008
Pin 012
Pin 016
Pin 020
Pin 024
Pin 028
Pin 032
Pin 036
Pin 040
A1 DQS8 CB03 DQ33 BA0 WE DQS5 NC NC DQ50 DQ56 DQ58 SDA DQ05 DQ07 NC DM1/DQS10 CKE1/NC A12/NC DM2/DQS11 DQ23 DQ29 DQ30 CB5 VSS VDDQ DQ37 DQ39 DQ45 DM5/DQS14 NC A13/NC DQ55 DQ61 DQ63 SA2 -
Pin 043
Pin 047
Pin 051
Pin 055
Pin 059
Pin 063
Pin 067
Pin 071
Pin 075
Pin 079
Pin 083
Pin 087
Pin 091
Pin 095
Pin 099
Pin 103
Pin 107
Pin 111
Pin 115
Pin 119
Pin 123
Pin 127
Pin 131
Pin 135
Pin 139
Pin 143
Pin 147
Pin 151
Pin 155
Pin 159
Pin 163
Pin 167
Pin 171
Pin 175
Pin 179
Pin 183
Pin 044 Pin 048 Pin 052 Pin 056 Pin 060 Pin 064 Pin 068 Pin 072 Pin 076 Pin 080 Pin 084 Pin 088 Pin 092 Pin 096 Pin 100 Pin 104 Pin 108 Pin 112 Pin 116 Pin 120 Pin 124 Pin 128 Pin 132 Pin 136 Pin 140 Pin 144 Pin 148 Pin 152 Pin 156 Pin 160 Pin 164 Pin 168 Pin 172 Pin 176 Pin 180 Pin 184 -
BACKSIDE
Pin 001
Pin 005
Pin 009
Pin 013
Pin 017
Pin 021
Pin 025
Pin 029
Pin 033
Pin 037
FRONTSIDE
VREF DQS0 NC DQ09 NC CKE0 DQS2 A7 DQ24 A4 -
CB00
A0
BA1
DQS4
DQ35
DQ41
DQ42
DQ48
NC
DQ51
DQ57
DQ59
SCL
VDDQ
VSS
VDDQ
VDD
VDDQ
VSS
VDD
VSS
VDDQ
VSS
VDDQ
DM8/DQS17
CB07
VDD
VSS
VDDQ
VSS
VDDQ
VDD
VDDQ
VSS
VDDQ
VDDSPD
Pin 002 Pin 006 Pin 010 Pin 014 Pin 018 Pin 022 Pin 026 Pin 030 Pin 034 Pin 038 -
DQ00
DQ02
RESET
DQS1
VSS
VDDQ
VSS
VDDQ
VSS
VDD
Pin 042 Pin 046 Pin 050 Pin 054 Pin 058 Pin 062 Pin 066 Pin 070 Pin 074 Pin 078 Pin 082 Pin 086 Pin 090 Pin 094 Pin 098 Pin 102 Pin 106 Pin 110 Pin 114 Pin 118 Pin 122 Pin 126 Pin 130 Pin 134 Pin 138 Pin 142 Pin 146 Pin 150 Pin 154 Pin 158 Pin 162 Pin 166 Pin 170 Pin 174 Pin 178 Pin 182 -
VSS
VDD
VSS
VDDQ
VSS
VDDQ
VSS
VDD
VSS
DQS6
VDDID
DQS7
NC
DQ04
DQ06
NC
DQ13
DQ15
DQ20
A11
A8
DQ28
A3
DQ04
CK0
CB06
DQ36
DQ38
RAS
S1 /NC
DQ47
DQ53
DQ54
DQ60
DQ62
SA1
MPPD0020
Figure 1
Pin Configuration 184 Pins, Reg
Table 6
Address Format
Organization
Memory
Ranks
SDRAMs
# of
SDRAMs
# of
row/rank/
columns
bits
Refresh Period Interval
128 MB
16M × 72
1
16M × 8
9
12/2/10
4K
64 ms
15.6 µs
256 MB
32M × 72
1
32M × 4
18
12/2/11
4K
64 ms
15.6 µs
Density
Data Sheet
12
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
CK0
CK0
S0
CKE0
BA0 - BA1
A0 - An
RAS
CAS
WE
PCK
PCK
RESET
PCK
PCK
RS0
RCKE0
RBA0 - RBA1
RA0-RAn
RRAS
RCAS
RWE
PLL
R
E
G
I
S
T
E
R
CS: SDRAMs D0- D8
CKE: SDRAMs D0 - D8
BA0 - BA1: SDRAMs D0 - D8
A0 - An: SDRAMs D0 - D8
RAS: SDRAMs D0 - D8
CAS: SDRAMs D0 - D8
WE: SDRAMs D0 - D8
S0
DM0/DQS9
DQS0
DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM1/DQS10
DQS1
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM2/DQS11
DQS2
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
SCL
SAD
SA0
SA1
SA2
VSS
Figure 2
SCL
SAD
A0
A1
A2
WP
D0
D1
D2
DM3/DQS12
DQS3
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM4/DQS13
DQS4
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM5/DQS14
DQS5
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
E0
VDD,SPD
VDD/VDDQ
VREF
VSS
VDDID
D4
D5
DM6/DQS15
DQS6
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM7/DQS16
DQS7
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
DM8/DQS17
DQS8
CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7
DM CS
DQS
I/O 0
I/O 1
I/O 2
I/O 3
I/O 4
I/O 5
I/O 6
I/O 7
D6
D7
D8
VDD: SPD EEPROM E0
VDD/VDDQ: SDRAMs D0 - D8
VREF: SDRAMs D0 - D8
VSS: SDRAMs D0 - D8
Strap: see Note 1
MPBD1101
Block Diagram Raw Card L ×72, 1Rank, ×8, ECC
3. BAn, An, RAS, CAS, WE resistors are 22 ohms
± 5%
Notes
1. VDD = VDDQ, therefore VDDID strap open
2. DQ, DQS, DM resistors are 22 ohms ± 5%
Data Sheet
D3
13
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Pin Configuration
CK0
CK0
S0
CKE0
BA0 - BA1
A0 - An
RAS
CAS
WE
PCK
PCK
RESET
RS0
DQS0
DQ0
DQ1
DQ2
DQ3
PLL
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS2
DQ16
DQ17
DQ18
DQ19
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS3
DQ24
DQ25
DQ26
DQ27
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS5
DQ40
DQ41
DQ42
DQ43
VDD,SPD
VDD/VDDQ
VREF
VSS
VDDID
RS0
RCKE0
RBA0 - RBA1
RA0-RAn
RRAS
RCAS
RWE
R
E
G
I
S
T
E
R
DQS1
DQ8
DQ9
DQ10
DQ11
DQS4
DQ32
DQ33
DQ34
DQ35
PCK
PCK
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS
I/O 0
I/O 1
I/O 2
I/O 3
CS
CS
CS
CS
CS
CS
CS: SDRAMs D0- D17
CKE: SDRAMs D0 - D17
BA0 - BA1: SDRAMs D0 - D17
A0 - An: SDRAMs D0 - D17
RAS: SDRAMs D0 - D17
CAS: SDRAMs D0 - D17
WE: SDRAMs D0 - D17
D0
DQS6
DQ48
DQ49
DQ50
DQ51
DQS
I/O 0
I/O 1
I/O 2
I/O 3
D1
DQS7
DQ56
DQ57
DQ58
DQ59
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS8
CB0
CB1
CB2
CB3
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS9
DQ4
DQ5
DQ6
DQ7
DQS
I/O 0
I/O 1
I/O 2
I/O 3
D2
D3
D4
DQS10
DQ12
DQ13
DQ14
DQ15
DQS
I/O 0
I/O 1
I/O 2
I/O 3
D5
DQS11
DQ20
DQ21
DQ22
DQ23
DQS
I/O 0
I/O 1
I/O 2
I/O 3
VDD: SPD EEPROM E0
VDD/VDDQ: SDRAMs D0 - D17
VREF: SDRAMs D0 - D17
VSS: SDRAMs D0 - D17
Strap: see Note 1
Figure 3
CS
CS
CS
CS
CS
D6
DQS12
DQ28
DQ29
DQ30
DQ31
DQS
I/O 0
I/O 1
I/O 2
I/O 3
D7
DQS13
DQ36
DQ37
DQ38
DQ39
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS14
DQ44
DQ45
DQ46
DQ47
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS15
DQ52
DQ53
DQ54
DQ55
DQS
I/O 0
I/O 1
I/O 2
I/O 3
DQS16
DQ60
DQ61
DQ62
DQ63
DQS
I/O 0
I/O 1
I/O 2
I/O 3
D8
D9
D10
D11
DQS17
CB4
CB5
CB6
CB7
DQS
I/O 0
I/O 1
I/O 2
I/O 3
SCL
SAD
SA0
SA1
SA2
VSS
SCL
SAD
A0
A1
A2
WP
CS
CS
CS
CS
CS
CS
D12
D13
D14
D15
D16
D17
E0
MPBD1901
Block Diagram Raw Card M ×72, 1Rank, ×4, ECC
3. BAn, An, RAS, CAS, WE resistors are 22 ohms
± 5%
4. Each Chip Select and CKE pair alternate between
decks for thermal enhancement.
Notes
1. VDD = VDDQ, therefore VDDID strap open
2. DQ, DQS, DM resistors are 22 ohms ± 5%
Data Sheet
CS
14
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
3
Electrical Characteristics
3.1
Operating Conditions
Table 7
Absolute Maximum Ratings
Parameter
Symbol
Voltage on I/O pins relative to VSS
VIN, VOUT
Values
min.
typ.
max.
Unit Note/ Test
Condition
–0.5
–
VDDQ +
V
–
0.5
Voltage on inputs relative to VSS
Voltage on VDD supply relative to VSS
Voltage on VDDQ supply relative to VSS
Operating temperature (ambient)
Storage temperature (plastic)
Power dissipation (per SDRAM component)
Short circuit output current
VIN
VDD
VDDQ
TA
TSTG
PD
IOUT
–0.5
–
+3.6
V
–
–0.5
–
+3.6
V
–
–0.5
–
+3.6
V
–
0
–
+70
°C
–
-55
–
+150
°C
–
–
2.0
–
W
–
–
50
–
mA
–
Attention: Permanent damage to the device may occur if “Absolute Maximum Ratings” are exceeded. This
is a stress rating only, and functional operation should be restricted to recommended operation
conditions. Exposure to absolute maximum rating conditions for extended periods of time may
affect device reliability and exceeding only one of the values may cause irreversible damage to
the integrated circuit.
Table 8
Electrical Characteristics and DC Operating Conditions
Parameter
Symbol
VDD
Output Supply Voltage
VDDQ
EEPROM supply voltage
VDDSPD
Supply Voltage, I/O Supply VSS,
Voltage
VSSQ
VREF
Input Reference Voltage
I/O Termination Voltage
VTT
Device Supply Voltage
Unit Note/Test Condition 1)
Values
Min.
Typ.
Max.
2.3
2.5
2.7
V
2.3
2.5
2.7
V
2)
2.3
2.5
3.6
V
—
0
V
—
0
0.49 × VDDQ 0.5 × VDDQ 0.51 × VDDQ V
3)
VREF – 0.04
VREF + 0.04 V
4)
Input High (Logic1) Voltage VIH(DC)
VREF + 0.15
7)
Input Low (Logic0) Voltage VIL(DC)
–0.3
Input Voltage Level,
CK and CK Inputs
VIN(DC)
–0.3
VDDQ + 0.3 V
VREF – 0.15 V
VDDQ + 0.3 V
Input Differential Voltage,
CK and CK Inputs
VID(DC)
0.36
VDDQ + 0.6
V
7)5)
VI-Matching Pull-up
Current to Pull-down
Current
VIRatio
0.71
1.4
—
6)
Input Leakage Current
II
–2
2
µA
Any input 0 V ≤ VIN ≤ VDD;
All other pins not under test
= 0 V 7)8)
(System)
Data Sheet
15
7)
7)
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
Table 8
Electrical Characteristics and DC Operating Conditions (cont’d)
Parameter
Symbol
Unit Note/Test Condition 1)
Values
Min.
Typ.
Max.
Output Leakage Current
IOZ
–5
5
µA
DQs are disabled;
0 V ≤ VOUT ≤ VDDQ 7)
Output High Current,
Normal Strength Driver
IOH
—
–16.2
mA
VOUT = 1.95 V 7)
Output Low
Current, Normal Strength
Driver
IOL
16.2
—
mA
VOUT = 0.35 V 7)
1) 0 °C ≤ TA ≤ 70 °C
2) Under all conditions, VDDQ must be less than or equal to VDD.
3) Peak to peak AC noise on VREF may not exceed ± 2% VREF (DC). VREF is also expected to track noise variations in VDDQ.
4) VTT is not applied directly to the device. VTT is a system supply for signal termination resistors, is expected to be set equal
to VREF, and must track variations in the DC level of VREF.
5) VID is the magnitude of the difference between the input level on CK and the input level on CK.
6) The ratio of the pull-up current to the pull-down current is specified for the same temperature and voltage, over the entire
temperature and voltage range, for device drain to source voltage from 0.25 to 1.0 V. For a given output, it represents the
maximum difference between pull-up and pull-down drivers due to process variation.
7) Inputs are not recognized as valid until VREF stabilizes.
8) Values are shown per component
Data Sheet
16
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
3.2
Current Specification and Conditions
Table 9
IDD Conditions
Parameter
Symbol
Operating Current 0
one bank; active/ precharge; DQ, DM, and DQS inputs changing once per clock cycle;
address and control inputs changing once every two clock cycles.
IDD0
Operating Current 1
one bank; active/read/precharge; Burst Length = 4; see component data sheet.
IDD1
Precharge Power-Down Standby Current
all banks idle; power-down mode; CKE ≤ VIL,MAX
IDD2P
Precharge Floating Standby Current
CS ≥ VIH,,MIN, all banks idle; CKE ≥ VIH,MIN;
address and other control inputs changing once per clock cycle; VIN = VREF for DQ, DQS and DM.
IDD2F
Precharge Quiet Standby Current
CS ≥ VIHMIN, all banks idle; CKE ≥ VIH,MIN; VIN = VREF for DQ, DQS and DM;
address and other control inputs stable at ≥ VIH,MIN or ≤ VIL,MAX.
IDD2Q
Active Power-Down Standby Current
one bank active; power-down mode; CKE ≤ VILMAX; VIN = VREF for DQ, DQS and DM.
IDD3P
Active Standby Current
one bank active; CS ≥ VIH,MIN; CKE ≥ VIH,MIN; tRC = tRAS,MAX;
DQ, DM and DQS inputs changing twice per clock cycle;
address and control inputs changing once per clock cycle.
IDD3N
Operating Current Read
one bank active; Burst Length = 2; reads; continuous burst;
address and control inputs changing once per clock cycle;
50% of data outputs changing on every clock edge;
CL = 2 for DDR266(A), CL = 3 for DDR333 and DDR400B; IOUT = 0 mA
IDD4R
Operating Current Write
one bank active; Burst Length = 2; writes; continuous burst;
address and control inputs changing once per clock cycle;
50% of data outputs changing on every clock edge;
CL = 2 for DDR266(A), CL = 3 for DDR333 and DDR400B
IDD4W
Auto-Refresh Current
tRC = tRFCMIN, burst refresh
IDD5
Self-Refresh Current
CKE ≤ 0.2 V; external clock on
IDD6
Operating Current 7
four bank interleaving with Burst Length = 4; see component data sheet.
IDD7
Data Sheet
17
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
HYS72D32501GR-8-A
Note 1)2)
HYS72D32501GR-7-A
IDD0
IDD1
IDD2P
IDD2F
IDD2Q
IDD3P
IDD3N
IDD4R
IDD4W
IDD5
IDD6
IDD7
Unit
HYS72D16500GR-8-A
Symbol
IDD Specifications and Conditions
HYS72D16500GR-7-A
Part Number & Organization
Table 10
128MB
128MB
256MB
256MB
x72
x72
x72
x72
1 rank
1 rank
1 rank
1 rank
–7
–8
–7
–8
max.
max.
max.
max.
810
765
1620
1530
mA
3)
990
900
1980
1800
mA
3)4)
45,0
40,5
90,0
81,0
mA
5)
405
315
810
630
mA
5)
405
315
810
630
mA
5)
135
135
270
270
mA
5)
405
315
810
630
mA
5)
990
810
1980
1620
mA
3)4)
990
855
1980
1710
mA
3)
1710
1620
3420
3240
mA
3)
22,5
22,5
45
45
mA
5)
2520
2430
5040
4860
mA
3)4)
1) Module IDD values are calculated on the basis of component IDD and can be measured differently according to DQ loading
capacity.
2) Test condition for maximum values: VDD = 2.7 V, TA = 10 °C
3) The module IDDx values are calculated from the IDDx values of the component data sheet as follows:
m × IDDx[component] + n × IDD3N[component] with m and n number of components of rank 1 and 2; n=0 for 1 rank modules
4) DQ I/O (IDDQ) currents are not included in the calculations (see note 1)
5) The module IDDx values are calculated from the corrponent IDDx data sheet values as: (m + n) × IDDx[component]
Data Sheet
18
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
3.3
AC Characteristics
Table 11
AC Timing - Absolute Specifications PC266A and PC2100
Parameter
DQ output access time from CK/CK
DQS output access time from CK/CK
CK high-level width
CK low-level width
Clock Half Period
Clock cycle time
DQ and DM input hold time
DQ and DM input setup time
Control and Addr. input pulse width (each
input)
Symbol –8
tAC
tDQSCK
tCH
tCL
tHP
tCK2.5
tCK2
tDH
tDS
tIPW
tDIPW
Data-out high-impedance time from CK/CK
tHZ
Data-out low-impedance time from CK/CK
tLZ
Write command to 1st DQS latching transition tDQSS
DQS-DQ skew (DQS and associated DQ
tDQSQ
DQ and DM input pulse width (each input)
–7
Unit Note/
Test Condition
DDR200
DDR266A
Min. Max.
Min.
–0.8 +0.8
–0.75 +0.75
ns
2)3)4)5)
–0.8 +0.8
–0.75 +0.75
ns
2)3)4)5)
0.45 0.55
0.45
0.55
2)3)4)5)
0.45 0.55
0.45
0.55
tCK
tCK
ns
2)3)4)5)
1)
Max.
min. (tCL, tCH)
2)3)4)5)
10
12
7.5
12
ns
CL = 2.5 2)3)4)5)
10
12
7.5
12
ns
CL = 2.0 2)3)4)5)
0.6
—
0.5
—
ns
2)3)4)5)
0.6
—
0.5
—
ns
2)3)4)5)
2.5
—
2.2
—
ns
2)3)4)5)6)
2.0
—
1.75
—
ns
2)3)4)5)6)
2)3)4)5)7)
–0.8 +0.8
–0.75 +0.75
ns
–0.8 +0.8
–0.75 +0.75
ns
2)3)4)5)7)
0.75 1.25
0.75
1.25
tCK
2)3)4)5)
—
+0.6
—
+0.5
ns
TSOPII2)3)4)5)
—
1.0
—
0.75
ns
TSOPII2)3)4)5)
signals)
tQHS
DQ/DQS output hold time
tQH
DQS input low (high) pulse width (write cycle) tDQSL,H
DQS falling edge to CK setup time (write cycle) tDSS
DQS falling edge hold time from CK (write
tDSH
Data hold skew factor
tHP – tQHS
tHP – tQHS
ns
2)3)4)5)
0.35 —
0.35
—
2)3)4)5)
0.2
—
0.2
—
0.2
—
0.2
—
tCK
tCK
tCK
2
—
2
—
tCK
2)3)4)5)
0
—
0
—
ns
2)3)4)5)8)
0.40 0.60
0.40
0.60
2)3)4)5)9)
0.25 —
0.25
—
tCK
tCK
1.1
0.9
—
ns
2)3)4)5)
2)3)4)5)
cycle)
Mode register set command cycle time
Write preamble setup time
Write postamble
Write preamble
Address and control input setup time
tMRD
tWPRES
tWPST
tWPRE
tIS
—
2)3)4)5)
fast slew rate
3)4)5)6)10)
1.1
—
1.0
—
ns
slow slew rate
3)4)5)6)10)
Address and control input hold time
tIH
1.1
—
0.9
—
ns
fast slew rate
3)4)5)6)10)
1.1
—
1.0
—
ns
slow slew rate
3)4)5)6)10)
Read preamble
Read preamble setup time
Read postamble
Active to Precharge command
Data Sheet
tRPRE
tRPRES
tRPST
tRAS
0.9
1.1
0.9
1.5
—
NA
0.40 0.60
50
19
0.40
120E+3 45
tCK
CL > 1.5 2)3)4)5)
ns
2)3)4)5)11)
tCK
2)3)4)5)
120E+3 ns
2)3)4)5)
1.1
0.60
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Electrical Characteristics
Table 11
AC Timing - Absolute Specifications PC266A and PC2100
Parameter
Symbol –8
–7
Unit Note/
Test Condition
DDR200
DDR266A
Min. Max.
Min.
Max.
Active to Active/Auto-refresh command period tRC
70
—
65
—
ns
2)3)4)5)
Auto-refresh to Active/Auto-refresh command tRFC
period
80
—
75
—
ns
2)3)4)5)
tRCD
tRP
tRAP
tRRD
tWR
tDAL
20
—
20
—
ns
2)3)4)5)
20
—
20
—
ns
2)3)4)5)
ns
2)3)4)5)
tWTR
tXSNR
tXSRD
tREFI
1
—
1
80
—
200
—
Active to Read or Write delay
Precharge command period
Active to Autoprecharge delay
Active bank A to Active bank B command
Write recovery time
Auto precharge write recovery + precharge
time
Internal write to read command delay
Exit self-refresh to non-read command
Exit self-refresh to read command
Average Periodic Refresh Interval
1)
tRCD or tRAS
15
—
15
—
ns
2)3)4)5)
15
—
15
—
ns
2)3)4)5)
tCK
2)3)4)5)12)
—
tCK
CL > 1.5 2)3)4)5)
75
—
ns
2)3)4)5)
—
200
—
tCK
2)3)4)5)
15.6
—
15.6
µs
2)3)4)5)13)
(twr/tCK) + (trp/tCK)
1) 0 °C ≤ TA ≤ 70 °C; VDDQ = 2.5 V ± 0.2 V, VDD = +2.5 V ± 0.2 V
2) Input slew rate ≥ 1 V/ns for DDR266, and = 1 V/ns for DDR200
3) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross: the input reference
level for signals other than CK/CK, is VREF. CK/CK slew rate are ≥ 1.0 V/ns.
4) Inputs are not recognized as valid until VREF stabilizes.
5) The Output timing reference level, as measured at the timing reference point indicated in AC Characteristics (note 3) is VTT.
6) These parameters guarantee device timing, but they are not necessarily tested on each device.
7) tHZ and tLZ transitions occur in the same access time windows as valid data transitions. These parameters are not referred
to a specific voltage level, but specify when the device is no longer driving (HZ), or begins driving (LZ).
8) The specific requirement is that DQS be valid (HIGH, LOW, or some point on a valid transition) on or before this CK edge.
A valid transition is defined as monotonic and meeting the input slew rate specifications of the device. When no writes were
previously in progress on the bus, DQS will be transitioning from Hi-Z to logic LOW. If a previous write was in progress,
DQS could be HIGH, LOW, or transitioning from HIGH to LOW at this time, depending on tDQSS.
9) The maximum limit for this parameter is not a device limit. The device operates with a greater value for this parameter, but
system performance (bus turnaround) degrades accordingly.
10) Fast slew rate ≥ 1.0 V/ns , slow slew rate ≥ 0.5 V/ns and < 1 V/ns for command/address and CK & CK slew rate > 1.0 V/ns,
measured between VOH(ac) and VOL(ac).
11) tRPRES is defined for CL = 1.5 operation only
12) For each of the terms, if not already an integer, round to the next highest integer. tCK is equal to the actual system clock
cycle time.
13) A maximum of eight Autorefresh commands can be posted to any given DDR SDRAM device.
Data Sheet
20
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
SPD Contents
4
SPD Contents
Table 12
SPD Codes
Byte#
Description
128MB
x72
1rank
-7
128MB
x72
1rank
-8
256MB
x72
1rank
-7
256MB
x72
1rank
-8
HEX.
HEX.
HEX.
HEX.
0
Number of SPD Bytes
128
80
80
80
80
1
Total Bytes in Serial PD
256
08
08
08
08
2
Memory Type
DDR-SDRAM
07
07
07
07
3
Number of Row Addresses
12
0C
0C
0C
0C
4
Number of Column Addresses
10/11
0A
0A
0B
0B
5
Number of DIMM Ranks
1
01
01
01
01
6
Module Data Width
×72
48
48
48
48
7
Module Data Width (cont’d)
0
00
00
00
00
8
Module Interface Levels
SSTL_2.5
04
04
04
04
9
SDRAM Cycle Time at CL = 2.5 7 ns/8 ns
70
80
70
80
10
Access Time from Clock at
CL = 2.5
0.75 ns/0.8 ns
75
80
75
80
11
DIMM config
ECC
02
02
02
02
12
Refresh Rate/Type
Self-Refresh 15.6 ms
80
80
80
80
13
SDRAM Width, Primary
×8/×4
08
08
04
04
14
Error Checking SDRAM Data
Witdh
na
08
08
04
04
15
Minimum Clock Delay for Back- tCCD = 1 CLK
to-Back Random Column
Address
01
01
01
01
16
Burst Length Supported
0E
0E
0E
0E
2, 4 & 8
17
Number of SDRAM Ranks
4
04
04
04
04
18
Supported CAS Latencies
CAS latency = 2 & 2.5
0C
0C
0C
0C
19
CS Latencies
CS latency = 0
01
01
01
01
20
WE Latencies
Write latency = 1
02
02
02
02
21
SDRAM DIMM Module
Attributes
registered
26
26
26
26
22
SDRAM Device Attributes:
General
Concurrent Auto
Precharge
C0
C0
C0
C0
23
Min. Clock Cycle Time at CAS
Latency = 2
7.5 ns/10 ns
75
A0
75
A0
24
Access Time from Clock for
CL = 2
0.75 ns/0.8 ns
75
80
75
80
25
Minimum Clock Cycle Time for
CL = 1.5
not supported
00
00
00
00
26
Access Time from Clock at
CL = 1.5
not supported
00
00
00
00
Data Sheet
21
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
SPD Contents
Table 12
Byte#
SPD Codes (cont’d)
Description
128MB
x72
1rank
-7
128MB
x72
1rank
-8
256MB
x72
1rank
-7
256MB
x72
1rank
-8
HEX.
HEX.
HEX.
HEX.
27
Minimum Row Precharge Time
20 ns
50
50
50
50
28
Minimum Row Act. to Row Act.
Delay tRRD
15 ns
3C
3C
3C
3C
29
Minimum RAS to CAS Delay tRCD 20 ns
50
50
50
50
30
Minimum RAS Pulse Width tRAS 45 ns/50 ns
2D
32
2D
32
31
Module Rank Density (per Rank) 128 MByte/256 Mbyte
20
20
40
40
32
Addr. and Command Setup Time 0.9 ns/1.1 ns
90
B0
90
B0
33
Addr. and Command Hold Time 0.9 ns/1.1 ns
90
B0
90
B0
34
Data Input Setup Time
0.5 ns/0.6 ns
50
60
50
60
35
Data Input Hold Time
0.5 ns/0.6 ns
50
60
50
60
36 to 40
Superset Information
–
00
00
00
00
41
Minimum Core Cycle Time tRC
65 ns/70 ns
41
46
41
46
42
Min. Auto Refresh Cmd Cycle
Time tFRC
75 ns/80 ns
4B
50
4B
50
43
Maximum Clock Cycle Time tCK 12 ns
0C
0C
0C
0C
44
Max. DQS-DQ Skew tDQSQ
0.5 ns/0.6 ns
32
3C
32
3C
45
X-Factor tQHS
0.75 ns/1.0 ns
75
A0
75
A0
46 to 61
Superset Information
–
00
00
00
00
62
SPD Revision
Revision 0.0
00
00
00
00
63
Checksum for Bytes 0 - 62
–
A7
9C
C0
B5
64
Manufactures JEDEC ID Codes –
C1
C1
C1
C1
65 to 71
Manufactures
–
Infineon Infineon Infineon Infineon
72
Module Assembly Location
–
–
–
–
–
73 to 90
Module Part Number
–
–
–
–
–
91 to 92
Module Revision Code
–
–
–
–
–
93 to 94
Module Manufacturing Date
–
–
–
–
–
95 to 98
Module Serial Number
–
–
–
–
–
99 to 127
–
–
–
–
–
–
–
–
–
–
–
128 to 255 open for Customer use
Data Sheet
22
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Package Outlines
5
Package Outlines
0.1 A B C
133.35
0.15 A B C
128.95
4 MAX.
A
30.48 ±0.13
4 ±0.1
1)
1
2.5 ±0.1
ø0.1 A B C
92
6.62
B C
2.175
0.4
6.35
64.77
1.27 ±0.1
49.53
0.1 A B C
93
184
17.8
1.8 ±0.1
10
3.8 ±0.13
95 x 1.27 = 120.65
1)
3 MIN.
0.2
2.5 ±0.2
Detail of contacts
1.27
1 ±0.05
0.1 A B C
1) On ECC modules only
Burr max. 0.4 allowed
Figure 4
Data Sheet
Package Outline RDIMM Raw Card (L-DIM-184-12-3)
23
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Package Outlines
0.1 A B C
133.35
0.15 A B C
128.95
4 MAX.
A
30.48 ±0.13
4 ±0.1
1)
1
2.5 ±0.1
ø0.1 A B C
92
6.62
B C
2.175
0.4
6.35
64.77
1.27 ±0.1
49.53
0.1 A B C
93
184
17.8
1.8 ±0.1
10
3.8 ±0.13
95 x 1.27 = 120.65
3 MIN.
0.2
2.5 ±0.2
Detail of contacts
1.27
1 ±0.05
0.1 A B C
1) On ECC modules only
Burr max. 0.4 allowed
Figure 5
Data Sheet
Package Outline RDIMM Raw Card (L-DIM-184-L13-2)
24
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Application Note
6
Application Note
Power Up and Power Management on DDR Registered DIMMs (according to JEDEC ballot JC-42.5 Item
1173)
184-pin Double Data Rate (DDR) Registered DIMMs include two new features to facilitate controlled power-up and
to minimize power consumption during low power mode. One feature is externally controlled via a systemgenerated RESET signal; the second is based on module detection of the input clocks. These enhancements
permit the modules to power up with SDRAM outputs in a High-Z state (eliminating risk of high current dissipations
and/or dotted I/Os), and result in the powering-down of module support devices (registers and Phase-Locked
Loop) when the memory is in Self-Refresh mode.
The new RESET pin controls power dissipation on the module’s registers and ensures that CKE and other SDRAM
inputs are maintained at a valid ‘low’ level during power-up and self refresh. When RESET is at a low level, all the
register outputs are forced to a low level, and all differential register input receivers are powered down, resulting
in very low register power consumption. The RESET pin, located on DIMM tab #10, is driven from the system as
an asynchronous signal according to the attached details. Using this function also permits the system and DIMM
clocks to be stopped during memory Self Refresh operation, while ensuring that the SDRAMs stay in Self Refresh
mode.
Table 13
RESET Truth Table
Register Inputs
Register
Outputs
RESET
CK
CK
Data in (D)
Data out (Q)
H
Rising
Falling
H
H
H
Rising
Falling
L
L
H
L or H
L or H
X
Qo
H
High Z
High Z
X
Illegal input
conditions
L
X or Hi-Z
X or Hi-Z
X or Hi-Z
L
X: Don’t care, Hi-Z: High Impedance, Qo: Data latched at the previous of CK rising and CK falling
As described in the table above, a low on the RESET input ensures that the Clock Enable (CKE) signal(s) are
maintained low at the SDRAM pins (CKE being one of the 'Q' signals at the register output). Holding CKE low
maintains a high impedance state on the SDRAM DQ, DQS and DM outputs — where they will remain until
activated by a valid ‘read’ cycle. CKE low also maintains SDRAMs in Self Refresh mode when applicable.
The DDR PLL devices automatically detect clock activity above 20 MHz. When an input clock frequency of 20 MHz
or greater is detected, the PLL begins operation and initiates clock frequency lock (the minimum operating
frequency at which all specifications will be met is 95 MHz). If the clock input frequency drops below 20 MHz
(actual detect frequency will vary by vendor), the PLL VCO (Voltage Controlled Oscillator) is stopped, outputs are
made High-Z, and the differential inputs are powered down — resulting in a total PLL current consumption of less
than 1 mA. Use of this low power PLL function makes the use of the PLL RESET (or G pin) unnecessary, and it
is tied inactive on the DIMM. This application note describes the required and optional system sequences
associated with the DDR Registered DIMM 'RESET' function. It is important to note that all references to CKE refer
to both CKE0 and CKE1 for a 2-rank DIMM. Because RESET applies to all DIMM register devices, it is therefore
not possible to uniquely control CKE to one physical DIMM rank through the use of the RESET pin.
Power-Up Sequence with RESET — Required
1. The system sets RESET at a valid low level.
This is the preferred default state during power-up. This input condition forces all register outputs to a low state
independent of the condition on the register inputs (data and clock), ensuring that CKE is at a stable low-level
at the DDR SDRAMs.
Data Sheet
25
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Application Note
2. The power supplies should be initialized according to the JEDEC-approved initialization sequence for DDR
SDRAMs.
3. Stabilization of Clocks to the SDRAM
The system must drive clocks to the application frequency (PLL operation is not assured until the input clock
reaches 20 MHz). Stability of clocks at the SDRAMs will be affected by all applicable system clock devices,
and time must be allotted to permit all clock devices to settle. Once a stable clock is received at the DIMM PLL,
the required PLL stabilization time (assuming power to the DIMM is stable) is 100 microseconds. When a
stable clock is present at the SDRAM input (driven from the PLL), the DDR SDRAM requires 200 µsec prior to
SDRAM operation.
4. The system applies valid logic levels to the data inputs of the register (address and controls at the DIMM
connector).
CKE must be maintained low and all other inputs should be driven to a known state. In general these
commands can be determined by the system designer. One option is to apply an SDRAM ‘NOP’ command
(with CKE low), as this is the first command defined by the JEDEC initialization sequence (ideally this would
be a ‘NOP Deselect’ command). A second option is to apply low levels on all of the register inputs to be
consistent with the state of the register outputs.
5. The system switches RESET to a logic ‘high’ level.
The SDRAM is now functional and prepared to receive commands. Since the RESET signal is asynchronous,
setting the RESET timing in relation to a specific clock edge is not required (during this period, register inputs
must remain stable).
6. The system must maintain stable register inputs until normal register operation is attained.
The registers have an activation time that allows their clock receivers, data input receivers, and output drivers
sufficient time to be turned on and become stable. During this time the system must maintain the valid logic
levels described in step 5. It is also a functional requirement that the registers maintain a low state at the CKE
outputs to guarantee that the DDR SDRAMs continue to receive a low level on CKE. Register activation time
(t (ACT) ), from asynchronous switching of RESET from low to high until the registers are stable and ready to
accept an input signal, is specified in the register and DIMM do-umentation.
7. The system can begin the JEDEC-defined DDR SDRAM power-up sequence (according to the JEDECpproved initialization sequence).
Self Refresh Entry (RESET low, clocks powered off) — Optional
Self Refresh can be used to retain data in DDR SDRAM DIMMs even if the rest of the system is powered down
and the clocks are off. This mode allows the DDR SDRAMs on the DIMM to retain data without external clocking.
Self Refresh mode is an ideal time to utilize the RESET pin, as this can reduce register power consumption
(RESET low deactivates register CK and CK, data input receivers, and data output drivers).
1. The system applies Self Refresh entry command.
(CKE→Low, CS→Low, RAS → Low, CAS→ Low, WE→ High)
Note: The commands reach the DDR SDRAM one clock later due to the additional register pipelining on a
Registered DIMM. After this command is issued to the SDRAM, all of the address and control and clock input
conditions to the SDRAM are Don’t Cares— with the exception of CKE.The system sets RESET at a valid
low level.
This input condition forces all register outputs to a low state, independent of the condition on the registerm
inputs (data and clock), and ensures that CKE, and all other control and address signals, are a stable lowlevel at the DDR SDRAMs. Since the RESET signal is asynchronous, setting the RESET timing in relation
to a specific clock edge is not required.
2. The system turns off clock inputs to the DIMM. (Optional)
a. In order to reduce DIMM PLL current, the clock inputs to the DIMM are turned off, resulting in High-Z clock
inputs to both the SDRAMs and the registers. This must be done after the RESET deactivate time of the
register (t (INACT) ). The deactivate time defines the time in which the clocks and the control and address
signals must maintain valid levels after RESET low has been applied and is specified in the register and DIMM
documentation.
b. The system may release DIMM address and control inputs to High-Z.
This can be done after the RESET deactivate time of the register. The deactivate time defines the time in which
Data Sheet
26
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Application Note
the clocks and the control and the address signals must maintain valid levels after RESET low has been
applied. It is highly recommended that CKE continue to remain low during this operation.
3. The DIMM is in lowest power Self Refresh mode.
Self Refresh Exit (RESET low, clocks powered off) — Optional
1. Stabilization of Clocks to the SDRAM.
The system must drive clocks to the application frequency (PLL operation is not assured until the input clock
reaches ~ 20 MHz). Stability of clocks at the SDRAMs will be affected by all applicable system clock devices,
and time must be allotted to permit all clock devices to settle. Once a stable clock is received at the DIMM PLL,
the required PLL stabilization time (assuming power to the DIMM is stable) is 100 microseconds.
2. The system applies valid logic levels to the data inputs of the register (address and controls at the DIMM
connector).
CKE must be maintained low and all other inputs should be driven to a known state. In general these
commands can be determined by the system designer. One option is to apply an SDRAM ‘NOP’ command
(with CKE low), as this is the first command defined by the JEDEC Self Refresh Exit sequence (ideally this
would be a ‘NOP Deselect’ command). A second option is to apply low levels on all of the register inputs, to
be consistent with the state of the register outputs.
3. The system switches RESET to a logic ‘high’ level.
The SDRAM is now functional and prepared to receive commands. Since the RESET signal is asynchronous,
RESET timing relationship to a specific clock edge is not required (during this period, register inputs must
remain stable).
4. The system must maintain stable register inputs until normal register operation is attained.
The registers have an activation time that allows the clock receivers, input receivers, and output drivers
sufficient time to be turned on and become stable. During this time the system must maintain the valid logic
levels described in Step 2. It is also a functional requirement that the registers maintain a low state at the CKE
outputs to guarantee that the DDR SDRAMs continue to receive a low level on CKE. Register activation time
(t (ACT) ), from asynchronous switching of RESET from low to high until the registers are stable and ready to
accept an input signal, is specified in the register and DIMM do-umentation.
5. System can begin the JEDEC-defined DDR SDRAM Self Refresh Exit Procedure.
Self Refresh Entry (RESET low, clocks running) — Optional
Although keeping the clocks running increases power consumption from the on-DIMM PLL during self refresh, this
is an alternate operating mode for these DIMMs.
1. System enters Self Refresh entry command.
(CKE→ Low, CS→ Low, RAS→ Low, CAS→ Low, WE→ High)
Note: The commands reach the DDR SDRAM one clock later due to the additional register pipelining on a
Registered DIMM. After this command is issued to the SDRAM, all of the address and control and clock input
conditions to the SDRAM are Don’t Cares — with the exception of CKE.
2. The system sets RESET at a valid low level.
This input condition forces all register outputs to a low state, independent of the condition on the data and clock
register inputs, and ensures that CKE is a stable low-level at the DDR SDRAMs.
3. The system may release DIMM address and control inputs to High-Z.
This can be done after the RESET deactivate time of the register (t (INACT) ). The deactivate time describes
the time in which the clocks and the control and the address signals must maintain valid levels after RESET
low has been applied. It is highly recommended that CKE continue to remain low during the operation.
4. The DIMM is in a low power, Self Refresh mode.
Self Refresh Exit (RESET low, clocks running) — Optional
1. The system applies valid logic levels to the data inputs of the register (address and controls at the DIMM
connector).
CKE must be maintained low and all other inputs should be driven to a known state. In general these
commands can be determined by the system designer. One option is to apply an SDRAM ‘NOP’ command
(with CKE low), as this is the first command defined by the Self Refresh Exit sequence (ideally this would be
Data Sheet
27
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
HYS72D[16500/32501]GR-[7/8]-A
Low Profile Registered DDR SDRAM-Modules
Application Note
a ‘NOP Deselect’ command). A second option is to apply low levels on all of the register inputs to be consistent
with the state of the register outputs.
2. The system switches RESET to a logic 'high' level.
The SDRAM is now functional and prepared to receive commands. Since the RESET signal is asynchronous,
it does not need to be tied to a particular clock edge (during this period, register inputs must continue to remain
stable).
3. The system must maintain stable register inputs until normal register operation is attained.
The registers have an activation time that allows the clock receivers, input receivers, and output drivers
sufficient time to be turned on and become stable. During this time the system must maintain the valid logic
levels described in Step 1. It is also a functional requirement that the registers maintain a low state at the CKE
outputs in order to guarantee that the DDR SDRAMs continue to receive a low level on CKE. This activation
time, from asynchronous switching of RESET from low to high, until the registers are stable and ready to accept
an input signal, is t (ACT ) as specified in the register and DIMM documentation.
4. The system can begin JEDEC defined DDR SDRAM Self Refresh Exit Procedure.
Self Refresh Entry/Exit (RESET high, clocks running) — Optional
As this sequence does not involve the use of the RESET function, the JEDEC standard SDRAM specification
explains in detail the method for entering and exiting Self Refresh for this case.
Self Refresh Entry (RESET high, clocks powered off) — Not Permissible
In order to maintain a valid low level on the register output, it is required that either the clocks be running and the
system drive a low level on CKE, or the clocks are powered off and RESET is asserted low according to the
sequence defined in this application note. In the case where RESET remains high and the clocks are powered off,
the PLL drives a High-Z clock input into the register clock input. Without the low level on RESET an unknown DIMM
state will result.
Data Sheet
28
Rev. 1.2, 2004-06
10292003-DNYO-BD9L
http://www.infineon.com
Published by Infineon Technologies AG