S6E1B3 Series 32-bit ARM Cortex-M0+ FM0+ Microcontroller Datasheet (Japanese).pdf

S6E1B3 Series
32-bit ARM® Cortex®-M0+
FM0+ Microcontroller
S6E1B3 シリーズは、低消費電力と低コストを求める組込み制御用途向けに設計された、高集積 32 ビットマイクロコントローラで
す。本シリーズは、CPU に ARM Cortex-M0+プロセッサを搭載し、フラッシュメモリおよび SRAM のオンチップメモリとともに、
周辺機能として、
各種タイマ、
A/D コンバータ、
各種通信インタフェース(UART, CSIO(SPI), I2C, I2S, Smart Card インタフェース, USB)
などにより構成されます。
『FM0+ファミリ ペリフェラルマニュアル』において、このデータシートに記載されている製品は、
TYPE2-M0+製品に分類されます。
特長
• エンドポイント 1 : 256 バイト
32 ビット ARM Cortex-M0+コア
 USB ホスト
 USB
 プロセッサ版数 : r0p1
2.0 Full/Low-Speed supported 対応
インタラプト転送, アイソクロナス転送をサ
ポート
 USB デバイスの接続/切断の自動検出
 IN/OUT トークン時のハンドシェークパケットの自動処理
 最大パケット長 256 バイトをサポート
 ウェイクアップ機能をサポート
 バルク転送,
 最大動作周波数 : 40.8MHz
 ネスト型ベクタ割込みコントローラ(NVIC) : 1 チャネルの
NMI (ノンマスカブル割込み)と 24 チャネルの周辺割込みに
対応。4 の割込み優先度レベルを設定できます。
 24 ビットシステムタイマ(Sys Tick) : OS タスク管理用のシス
テムタイマです。
ビットバンド操作
マルチファンクションシリアルインタフェース(最大 8
チャネル)
Cortex-M3 と同等のビットバンド操作が可能です。
 すべてのチャネルに 128 バイト FIFO を搭載 (FIFO 段数は
通信モード・ビット長の設定により可変)
オンチップメモリ
 チャネルごとに動作モードを次の中から選択できます。
 フラッシュメモリ
 UART
512+48K バイト
 Dual bank:
 upper bank : 512 K バイト(64 K バイト x 8)
 lower bank : 48 K バイト(8 K バイト x 6)
 リードサイクル:0 ウェイトサイクル
 コード保護用セキュリティ機能
 CSIO
 最大
I
 パリティあり/なし選択可能
 専用ボーレートジェネレータ内蔵
SRAM: 60 K+4 K バイト
can retain value in Deep Standby Mode
 4Kbytes:
 外部クロックをシリアルクロックとして使用可能
 豊富なエラー検出機能(パリティエラー、フレーミングエ
ラー、オーバランエラー)
 CSIO (also known as SPI)
 全二重ダブルバッファ
 専用ボーレートジェネレータ内蔵
USB インタフェース
 オーバランエラー検出機能
USB インタフェースはデバイスとホストで構成されます。
PLL for USB is built-in, USB clock can be generated by
multiplication of Main clock.
 USB デバイス
 USB2.0
Full-Speed 対応
 最大 6 本のエンドポイントをサポートします。
• エンドポイント 0 はコントロール転送
• エンドポイント 1, 2 はバルク転送, インタラプト転送,
アイソクロナス転送を選択可能
• エンドポイント 3~5 はバルク転送, インタラプト転送
を選択可能
• エンドポイント 1~5 はダブルバッファ構成
• 各エンドポイントのサイズは下記の通り
• エンドポイント 0, 2~5 : 64 バイト
Document Number: 002-00206 Rev.*A
(CSIO is known to many customers as SPI)
 全二重ダブルバッファ
本シリーズのオンチップ SRAM は、1 つの独立した SRAM に
より構成されます。
Cypress Semiconductor Corporation
C
 UART
 SRAM
 最大
2
•
 シリアルチップセレクト機能(ch.6
 データ長:
5~16 ビット
と ch.7 のみ)
 I2C
 Standard-mode(最大 100kbps)/Fast-mode(最大 400kbps)に対応
 I2S
198 Champion Court
モードに I2S のクロック発生機能を追加(ch.5, ch.6)
 ビット位置ついて 2 モード選択あり
• I2S
• MSB-justified
2
 I S マスタのみサポート
 CSIO
•
San Jose, CA 95134-1709
•
408-943-2600
Revised April 7, 2016
S6E1B3 Series
Descriptor System Data Transfer Controller (DSTC)
(64 チャネル)
多機能タイマ
 DSTC は、CPU を介さずにデータを高速に転送できます。
 16 ビットフリーランタイマ×3 チャネル
Descriptor システム方式を採用しており、あらかじめメモリ
上に構築された Descriptor の指定内容に従って、メモリ
/Peripheral デバイスに直接アクセスを行い、データ転送動作
を実行できます。
 ソフトウェア起動, ハードウェア起動, Chain 起動機能サ
ポート
A/D コンバータ (最大 24 チャネル)
 12 ビット A/D コンバータ
多機能タイマは、次のブロックで構成されます。
 インプットキャプチャ×4 チャネル
 アウトプットコンペア×6 チャネル
 A/D 起動コンペア×6 チャネル
 波形ジェネレータ×3 チャネル
 16 ビット PPG タイマ×3 チャネル
IGBT モード搭載
 逐次比較型
モータ制御を実現するために次の機能を用意しています。
1.0 μs @ 2.7V to 3.6V
 優先変換可能(2 レベルの優先度)
 スキャン変換モード
 変換データ格納用 FIFO 搭載(スキャン変換用:16 段, 優先
変換用:4 段)
 PWM 信号出力機能
 変換時間:
ベースタイマ (最大 8 チャネル)
チャネルごとに動作モードを次の中から選択できます。
 16 ビット PWM タイマ
 16 ビット PPG タイマ
 DC チョッパ波形出力機能
 デッドタイマ機能
 インプットキャプチャ機能
 ADC 起動機能
 DTIF(モータ緊急停止)割込み機能
リアルタイムクロック(RTC : Real Time Clock)
 16/32 ビットリロードタイマ
01 年~99 年までの年/月/日/時/分/秒/曜日のカウントを行いま
す。
 16/32 ビット PWC タイマ
 日時指定(年/月/日/時/分/秒/曜日)での割込み機能, 年/月/日/
汎用 I/O ポート
本シリーズは、端子が外部バスまたは周辺機能に使用されてい
ない場合、汎用 I/O ポートとして使用できます。また、どの I/O
ポートに周辺機能を割り当てるかを設定できるポートリロ
ケート機能を搭載しています。
時/分だけの個別設定も可能
 設定時間後/設定時間ごとのタイマ割込み機能
 カウントを継続して時刻書換え可能
 うるう年の自動カウント
 1 サイクルでアクセス可能な Fast GPIO に全ポート対応
時計カウンタ
 端子ごとにプルアップ制御可能
 ポートリロケート機能
マイクロコントローラを低消費電力モードからウェイクアッ
プします。クロックソースをメインクロック、サブクロック、
内蔵高速 CR クロックまたは内蔵低速 CR クロックから選択で
きます。
 最大 102 本の高速汎用 I/O ポート @120pin package
インターバルタイマ: 最長 64s (サブクロック: 32.768kHz)
 一部のポートは、5V トレラントに対応
外部割込み制御ユニット
 端子レベルを直接読出し可能
該当する端子については「4. 端子機能一覧」と「5. 入出力回
路形式」を参照してください。
デュアルタイマ(32/16 ビットダウンカウンタ)
デュアルタイマは、2 つのプログラム可能な 32/16 ビットダウ
ンカウンタで構成されます。各タイマチャネルの動作モードを
次の中から選択できます。
 フリーランモード
 周期モード(=リロードモード)
 ワンショットモード
Document Number: 002-00206 Rev.*A
 外部割込み入力端子: 最大 8 本
 ノンマスカブル割込み(NMI)入力端子: 1 本
ウォッチドッグタイマ(2 チャネル)
ウォッチドッグタイマは、タイムアウト値に達すると割込みま
たはリセットを発生します。
本シリーズには、"ハードウェア"ウォッチドッグと"ソフトウェ
ア"ウォッチドッグの 2 つの異なるウォッチドッグがあります。
"ハードウェア"ウォッチドッグタイマは内蔵低速 CR 発振で動
作するため、RTC モード、ストップモード以外のすべての低消
費電力モードで動作します。
Page 2 of 113
S6E1B3 Series
CRC (Cyclic Redundancy Check) アクセラレータ
CRC アクセラレータは、ソフト処理負荷の高い CRC 計算を行
い、受信データおよびストレージの整合性確認処理負荷の軽減
を実現します。
 CCITT CRC16 と IEEE-802.3 CRC32 をサポートします。
 CCITT CRC16
 IEEE-802.3
Generator Polynomial: 0x1021
CRC32 Generator Polynomial: 0x04C11DB7
HDMI-CEC/リモコン受信 (最大 2 チャネル)
 HDMI-CEC 送信
 シグナルフリーを判定してヘッダーブロックの自動送信
 アービトレーションロストを検出してステータス割込みを
発生
バイトデータの設定により START,EOM,ACK を自動生
成して CEC 送信出力
 1 ブロック(1 バイトのデータと EOM,ACK)を送信した時
に送信ステータス割込みを発生
1
 HDMI-CEC 受信
 自動
ACK 応答機能
クロック監視機能(CSV : Clock Supervisor)
内蔵 CR 発振による生成クロックを用いて外部クロックの異
常を監視します。
 外部クロック異常(クロック停止)が検出されると、リセット
がアサートされます。
 外部周波数異常が検出されると、割込みまたはリセットがア
サートされます。
低電圧検出機能(LVD : Low-Voltage Detector)
本シリーズは、2 段階で VCC 端子の電圧を監視します。設定
した電圧より VCC 端子の電圧が下がった場合、低電圧検出機
能により割込みまたはリセットが発生します。
 LVDR: VCC 端子の電圧監視とオートリセット動作
 LVD1: VCC 端子の電圧監視と割込みによりエラーを報告
 LVD2: VCC 端子もしくは LVDI 端子のいずれかを選択により
監視し、割込みによりエラーを報告
低消費電力モード
 ラインエラー検出機能
6 種類の低消費電力モードに対応します。
 リモコン受信
 スリープ
4
バイトの受信バッファ
 リピートコード検出機能
 タイマ
Smart Card Interface (Max 2 Channels)
 ISO7816-3 準拠
 RTC
 ストップ
 ディープスタンバイ RTC(RAM 保持あり・なし選択可能)
 B class (3.3v)
 ディープスタンバイストップ(RAM 保持あり・なし選択可
 対応プロトコル
8E2, 8O2, 8N2
 受信: 8E1, 8O1, 8N2, 8N1, 9N1
 データ極性反転機能あり
能)
 送信:
 送信/受信それぞれに FIFO あり (受信: 16-bytes, 送
周辺クロック停止機能
システム動作で使用しない周辺機能はその動作クロックを停
止させることで、システム全体の消費電流を低減します。
信:16-bytes)
VBAT
クロック/リセット
 クロック
5 種類のクロックソース(2 種類の外部発振、2 種類の内蔵 CR
発振、メイン PLL)から選択できます。
 メインクロック
 サブクロック
CR クロック
CR クロック
 メイン PLL クロック
 内蔵高速
 内蔵低速
: 4MHz~48MHz
: 32.768kHz
: 4MHz
: 100kHz
 リセット
 INITX
端子からのリセット要求
 電源投入リセット
RTC(カレンダ回路)/32 kHz 発振回路に独立した電源を供給す
ることで、RTC 動作時の消費電力を低減できます。VBAT に
は以下の回路が含まれます。
 RTC
 32kHz 発振回路
 パワーオン回路
 バックアップレジスタ:32 バイト
 ポート回路
デバッグ
 ソフトウェアリセット
 シリアル・ワイヤデバッグ・ポート (SW-DP)
 ウォッチドッグタイマリセット
 マイクロトレースバッファ (MTB)
 低電圧検出リセット
 クロックスーパバイザリセット
ユニーク ID
41 ビットのデバイス固有の値を設定済み
Document Number: 002-00206 Rev.*A
Page 3 of 113
S6E1B3 Series
電源
 ワイドレンジ電圧対応:
VCC = 1.65V ~ 3.6 V
VCC = 3.0V ~ 3.6V (USB 使用時)
VCC = 2.2V ~ 3.6V (LCDC 使用時)
 VBAT 用電源 : VBAT = 1.65 V ~ 3.6 V
Document Number: 002-00206 Rev.*A
Page 4 of 113
S6E1B3 Series
Table of Contents
特長 .......................................................................................................................................................................................... 1
1. 品種構成.............................................................................................................................................................................. 7
2. パッケージと品種対応 ........................................................................................................................................................ 8
3. 端子配列図 .......................................................................................................................................................................... 9
4. 端子機能一覧 .................................................................................................................................................................... 12
5. 入出力回路形式 ................................................................................................................................................................. 34
6. 取扱上のご注意 ................................................................................................................................................................. 39
6.1
設計上の注意事項 ........................................................................................................................................................ 39
6.2
パッケージ実装上の注意事項 ...................................................................................................................................... 40
6.3
使用環境に関する注意事項 ......................................................................................................................................... 42
7. デバイス使用上の注意 ...................................................................................................................................................... 43
8. ブロックダイヤグラム ...................................................................................................................................................... 46
9. メモリマップ .................................................................................................................................................................... 47
10. 各 CPU ステートにおける端子状態 ................................................................................................................................. 50
11. 電気的特性 ........................................................................................................................................................................ 56
11.1 絶対最大定格 ............................................................................................................................................................... 56
11.2 推奨動作条件 ............................................................................................................................................................... 57
11.3 直流規格 ...................................................................................................................................................................... 58
11.3.1 電流規格 ....................................................................................................................................................................... 58
11.3.2 端子特性 ....................................................................................................................................................................... 63
11.4 交流規格 ...................................................................................................................................................................... 64
11.4.1 メインクロック入力規格 .............................................................................................................................................. 64
11.4.2 サブクロック入力規格 ................................................................................................................................................. 65
11.4.3 内蔵 CR 発振規格......................................................................................................................................................... 66
11.4.4 メイン PLL の使用条件 (PLL の入力クロックにメインクロックを使用) ................................................................. 67
11.4.5 メイン PLL の使用条件 (メイン PLL の入力クロックに内蔵高速 CR クロックを使用) ............................................ 67
11.4.6 リセット入力規格 ........................................................................................................................................................ 68
11.4.7 パワーオンリセットタイミング ................................................................................................................................... 68
11.4.8 ベースタイマ入力タイミング....................................................................................................................................... 69
11.4.9 CSIO/SPI/UART タイミング ....................................................................................................................................... 70
11.4.10 外部入力タイミング .............................................................................................................................................. 87
2
11.4.11 I C タイミング ....................................................................................................................................................... 88
2
11.4.12 I S Timing .............................................................................................................................................................. 89
11.4.13 Smart Card インタフェースタイミング ................................................................................................................ 90
11.4.14 SW-DP タイミング ............................................................................................................................................... 91
11.5 12 ビット A/D コンバータ ......................................................................................................................................... 92
11.6 USB 特性 ..................................................................................................................................................................... 95
11.7 低電圧検出特性 ......................................................................................................................................................... 100
11.7.1 低電圧検出リセット ................................................................................................................................................... 100
11.7.2 低電圧検出割込み ...................................................................................................................................................... 101
11.7.3 低電圧検出割込み 2................................................................................................................................................... 102
11.8 フラッシュメモリ書込み/消去特性 ............................................................................................................................ 103
11.9 スタンバイ復帰時間 .................................................................................................................................................. 104
11.9.1 復帰要因: 割込み/WKUP ........................................................................................................................................... 104
11.9.2 復帰要因:リセット ................................................................................................................................................... 106
12. オーダ型格 ...................................................................................................................................................................... 108
13. パッケージ・外形寸法図 ................................................................................................................................................ 109
改訂履歴 ............................................................................................................................................................................... 112
Document Number: 002-00206 Rev.*A
Page 5 of 113
S6E1B3 Series
セールス, ソリューションおよび法律情報 .......................................................................................................................... 113
Document Number: 002-00206 Rev.*A
Page 6 of 113
S6E1B3 Series
1. 品種構成
メモリサイズ
品種名
オンチップフラッシュ
メ
モリ
オンチップ SRAM
Upper Bank
S6E1B34E/F/G
256 Kbytes
S6E1B36E/F/G
512 Kbytes
Lower Bank
48 Kbytes
48 Kbytes
32 Kbytes
64 Kbytes
Function
S6E1B34E0A
S6E1B36E0A
80
品種名
端子数
CPU
周波数
電源電圧範囲
USB 2.0 (Device/Host)
DSTC
マルチファンクションシリアル
2
(UART/CSIO (SPI)/I2C/I S)
ベースタイマ
(PWC/Reload timer/PWM/PPG)
6ch
インプットキャプチャ
フリーランタイマ
アウトプットコンペア
波形ジェネレータ
PPG
デュアルタイマ
4ch
3ch
6ch
3ch
3ch
多機能タイマ
S6E1B34G0A
S6E1B36G0A
120
8ch (Max)
A/D 起動コンペア
1 unit
1 unit
2ch (max)
HDMI-CEC/ リモコン受信
Smart Card Interface
リアルタイムクロック
時計カウンタ
CRC アクセラレータ
ウォッチドックタイマ
外部割込み
I/O ポート
12 ビット A/D コンバータ
クロック監視機能 (CSV)
低電圧検出機能 (LVD)
高速
内臓 CR
低速
デバッグ機能
ユニーク ID
S6E1B34F0A
S6E1B36F0A
100
Cortex-M0+
40.8 MHz
1.65 V to 3.6 V
1 unit
64ch
8ch (Max) with 128 bytes FIFO
2
I S: ch.5, ch.6
65 pins (Max)
16ch (1 unit)
2ch (max)
1 unit (with battery power)
1 unit
Yes
1ch (SW) + 1ch (HW)
24 pins (Max), NMI × 1
82 pins (Max)
23ch (1 unit)
Yes
2ch
4 MHz
100 kHz
SW-DP
Yes
102 pins (Max)
24ch (1 unit)
Note:
−
各製品に搭載される周辺機能の信号は、パッケージに端子数制限により、すべて割り当てることはできません。ご使用される
機能に応じて、I/O ポートのポートリロケート機能を用いて、端子割当てを行う必要があります。
内蔵 CR のクロック周波数精度については、『11.電気的特性 11.4 交流規格』を参照してください。
Document Number: 002-00206 Rev.*A
Page 7 of 113
S6E1B3 Series
2. パッケージと品種対応
品種名
パッケージ
LQFP: LQH080-02
(0.50 mm pitch)
LQFP: LQI100
(0.50 mm pitch)
LQFP: LQM120
(0.50 mm pitch)
: 使用可能
S6E1B34E/S6E1B36E
S6E1B34F/S6E1B36F
S6E1B34G/S6E1B36G

-
-
-

-
-
-

(注意事項)
−
各パッケージの詳細は「13. パッケージ・外形寸法図」を参照してください。
Document Number: 002-00206 Rev.*A
Page 8 of 113
S6E1B3 Series
3. 端子配列図
LQH080-02
VSS
P82/SCK7_2
P81/SOT7_2/INT11_0
P80/SIN7_2/INT20_1
P60/SIN5_0/MI2SDI5_0/TIOA2_2/INT15_1/WKUP3/CEC1_0/IGTRG0_1
P61/SOT5_0/MI2SDO5_0/TIOB2_2/DTTI0X_2
P62/SCK5_0/MI2SCK5_0/ADTG_3/INT07_1/TIOA6_1/IC0_RST_0
P63/MI2SWS5_0/INT03_0/TIOB6_1/IC0_DATA_0
P0F/NMIX/CROUT_1/RTCCO_0/SUBOUT_0/MI2SMCK5_0/WKUP0/IC0_CLK_0/SCK4_0
P0E/CTS4_0/TIOB3_2/INT21_0/IC0_VCC_0
P0D/RTS4_0/TIOA3_2/INT20_0/IC0_VPEN_0
P0C/INT19_0/UDP0
P0B/INT18_0/UDM0
P0A/SIN4_0/INT00_2/WKUP5/IC0_CIN_0/UHCONX0
P07/AN22/ADTG_0/SCK4_2/INT23_1/SOT4_0
P04/SCK3_2/INT06_2
P03/SWDIO
P02/SIN3_2/TIOB5_0
P01/SWCLK
P00/SOT3_2/INT14_1
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
(TOP VIEW)
VCC
1
60 P21/AN18/SIN0_0/INT06_1/WKUP2
P50/INT00_0/SIN3_1
2
59 P22/AN17/SOT0_0/TIOB7_1
P51/INT01_0/SOT3_1
3
58 P23/AN16/SCK0_0/TIOA7_1/RTO00_1
P52/INT02_0/SCK3_1
4
57 P1B/AN11/SOT4_1/IC02_1/INT20_2
P53/SIN6_0/TIOA1_2/INT07_2
5
56 P1A/AN10/SIN4_1/IC01_1/INT05_1
P54/SOT6_0/TIOB1_2/INT18_1
6
P55/SCK6_0/ADTG_1/INT19_1
7
P56/INT08_2/MI2SMCK6_1/WKUP9/CEC1_1
8
P30/TIOB0_1/SCS60_1/INT03_2/MI2SWS6_1/WKUP4
9
55 P19/AN09/SCK2_2/IC00_1
54 P18/AN08/SOT2_2
`
53 AVRH
LQFP - 80
P31/TIOB1_1/SCK6_1/MI2SCK6_1/INT04_2 10
52 AVRL
51 AVSS
P32/TIOB2_1/SOT6_1/MI2SDO6_1/INT05_2 11
50 AVCC
P33/INT04_0/TIOB3_1/SIN6_1/MI2SDI6_1/ADTG_6 12
49 P17/AN07/SIN2_2/INT04_1
P39/DTTI0X_0/ADTG_2/TIOB4_0/INT06_0 13
48 P16/AN06/SCK0_1/INT15_0
P3A/RTO00_0/TIOA0_1/INT07_0/RTCCO_2/SUBOUT_2/IC1_CIN_0 14
47 P15/AN05/IC1_CIN_1/SOT0_1/IC03_2/INT14_0
P3B/RTO01_0/TIOA1_1/IC1_DATA_0 15
46 P14/AN04/IC1_DATA_1/RTS1_1/SIN0_1/INT03_1/IC02_2
P3C/RTO02_0/TIOA2_1/INT18_2/IC1_RST_0 16
45 P13/AN03/IC1_RST_1/SCK1_1/RTCCO_1/IC01_2/SUBOUT_1
P3D/RTO03_0/TIOA3_1/IC1_VPEN_0 17
44 P12/AN02/IC1_VPEN_1/SOT1_1/IC00_2
P3E/RTO04_0/TIOA4_1/INT19_2/IC1_VCC_0/WKUP8 18
43 P11/AN01/IC1_VCC_1/SIN1_1/INT02_1/FRCK0_2/WKUP1
P3F/RTO05_0/TIOA5_1/IC1_CLK_0 19
42 P10/AN00/IC1_CLK_1/CTS1_1
40
VSS
PE3/X1 39
PE2/X0 38
MD0 37
PE0/MD1 36
31
VBAT
P4D/TIOB3_0/INT13_0/SCK7_1/WKUP6 35
30
P49/VWAKEUP
P4C/TIOB2_0/SOT7_1/INT12_0/CEC0_0 34
29
P48/VREGCTL
P4B/TIOB1_0/SIN7_1/INT22_1/WKUP7/IGTRG0_0 33
28
P47/X1A
P4A/TIOB0_0/SCS70_1/INT21_1 32
27
P46/X0A
25
VCC
INITX 26
24
VSS
C 23
P45/LVDI/TIOA5_0/IC0_CIN_1
22
41 VCC
P44/TIOA4_0/INT10_0/RTS1_2/IC0_DATA_1 21
VSS 20
<注意事項>
−
XXX_1, XXX_2 のように「_ (アンダバー)」がついている端子の、
「_」以降の数字はリロケー
ションポート番号を示しています。
これらの端子は 1 つのチャネルに複数の機能があり、それぞれの機能ごとに端子名があります。
拡張ポート機能レジスタ(EPFR)によって利用する端子を選択してください。
Document Number: 002-00206 Rev.*A
Page 9 of 113
S6E1B3 Series
LQI100
P82/SCK7_2
P81/SOT7_2/INT11_0
P80/SIN7_2/INT20_1
P60/SIN5_0/MI2SDI5_0/TIOA2_2/INT15_1/WKUP3/CEC1_0/IGTRG0_1
P61/SOT5_0/MI2SDO5_0/TIOB2_2/DTTI0X_2
P62/SCK5_0/MI2SCK5_0/ADTG_3/INT07_1/TIOA6_1/IC0_RST_0
P63/MI2SWS5_0/INT03_0/TIOB6_1/IC0_DATA_0
P0F/NMIX/CROUT_1/RTCCO_0/SUBOUT_0/MI2SMCK5_0/WKUP0/IC0_CLK_0/SCK4_0
P0E/CTS4_0/TIOB3_2/INT21_0/IC0_VCC_0
P0D/RTS4_0/TIOA3_2/INT20_0/IC0_VPEN_0
P0C/INT19_0/UDP0
P0B/INT18_0/UDM0
P0A/SIN4_0/INT00_2/WKUP5/IC0_CIN_0/UHCONX0
P09/TIOB0_2/RTS4_2/INT17_0
P08/AN23/TIOA0_2/CTS4_2/INT16_0
P07/AN22/ADTG_0/SCK4_2/INT23_1/SOT4_0
P06/AN21/TIOB5_2/SOT4_2/INT01_1
P05/AN20/TIOA5_2/SIN4_2/INT00_1/WKUP10
P04/SCK3_2/INT06_2
P03/SWDIO
P02/SIN3_2/TIOB5_0
P01/SWCLK
P00/SOT3_2/INT14_1
VCC
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
100 VSS
(TOP VIEW)
VCC
1
75 VSS
P50/INT00_0/SIN3_1
2
74 P20/AN19/INT05_0/CROUT_0
P51/INT01_0/SOT3_1
3
73 P21/AN18/SIN0_0/INT06_1/WKUP2
P52/INT02_0/SCK3_1
4
72 P22/AN17/SOT0_0/TIOB7_1
P53/SIN6_0/TIOA1_2/INT07_2
5
71 P23/AN16/SCK0_0/TIOA7_1/RTO00_1
P54/SOT6_0/TIOB1_2/INT18_1
6
70 P1E/AN14/RTS4_1/ADTG_5/FRCK0_1/INT23_2
69 P1D/AN13/CTS4_1/DTTI0X_1/INT22_2
P55/SCK6_0/ADTG_1/INT19_1
7
P56/INT08_2/MI2SMCK6_1/WKUP9/CEC1_1
8
68 P1C/AN12/SCK4_1/IC03_1/INT21_2
P30/TIOB0_1/SCS60_1/INT03_2/MI2SWS6_1/WKUP4
9
67 P1B/AN11/SOT4_1/IC02_1/INT20_2
P31/TIOB1_1/SCK6_1/MI2SCK6_1/INT04_2 10
66 P1A/AN10/SIN4_1/IC01_1/INT05_1
P32/TIOB2_1/SOT6_1/MI2SDO6_1/INT05_2 11
65 P19/AN09/SCK2_2/IC00_1
LQFP - 100
P33/INT04_0/TIOB3_1/SIN6_1/MI2SDI6_1/ADTG_6 12
P34/SCS61_1/FRCK0_0/TIOB4_1 13
64 P18/AN08/SOT2_2
63 AVRH
P35/SCS62_1/IC03_0/TIOB5_1/INT08_1 14
62 AVRL
P36/IC02_0/SIN5_2/INT09_1/WKUP11 15
61 AVSS
P37/IC01_0/SOT5_2/INT10_1 16
60 AVCC
P38/IC00_0/SCK5_2/INT11_1 17
59 P17/AN07/SIN2_2/INT04_1
P39/DTTI0X_0/ADTG_2/TIOB4_0/INT06_0 18
58 P16/AN06/SCK0_1/INT15_0
P3A/RTO00_0/TIOA0_1/INT07_0/RTCCO_2/SUBOUT_2/IC1_CIN_0 19
57 P15/AN05/IC1_CIN_1/SOT0_1/IC03_2/INT14_0
P3B/RTO01_0/TIOA1_1/IC1_DATA_0 20
56 P14/AN04/IC1_DATA_1/RTS1_1/SIN0_1/INT03_1/IC02_2
P3C/RTO02_0/TIOA2_1/INT18_2/IC1_RST_0 21
55 P13/AN03/IC1_RST_1/SCK1_1/RTCCO_1/IC01_2/SUBOUT_1
54 P12/AN02/IC1_VPEN_1/SOT1_1/IC00_2
P3D/RTO03_0/TIOA3_1/IC1_VPEN_0 22
P3E/RTO04_0/TIOA4_1/INT19_2/IC1_VCC_0/WKUP8 23
53 P11/AN01/IC1_VCC_1/SIN1_1/INT02_1/FRCK0_2/WKUP1
52 P10/AN00/IC1_CLK_1/CTS1_1
P3F/RTO05_0/TIOA5_1/IC1_CLK_0 24
50
VSS
PE3/X1 49
PE2/X0 48
47
MD0
PE0/MD1 46
41
VBAT
P4D/TIOB3_0/INT13_0/SCK7_1/WKUP6 45
40
P49/VWAKEUP
P4C/TIOB2_0/SOT7_1/INT12_0/CEC0_0 44
39
P48/VREGCTL
P4B/TIOB1_0/SIN7_1/INT22_1/WKUP7/IGTRG0_0 43
38
P4A/TIOB0_0/SCS70_1/INT21_1 42
37
35
VCC
P47/X1A
34
VSS
P46/X0A
33
C
INITX 36
32
P45/LVDI/TIOA5_0/IC0_CIN_1
P44/TIOA4_0/INT10_0/RTS1_2/IC0_DATA_1 31
29
P42/TIOA2_0/INT08_0/SCK1_2/IC0_VPEN_1
P43/TIOA3_0/INT09_0/ADTG_7/CTS1_2/IC0_RST_1 30
28
P41/TIOA1_0/INT13_1/SOT1_2/IC0_VCC_1
VCC
26
51 VCC
P40/TIOA0_0/INT12_1/SIN1_2/IC0_CLK_1 27
VSS 25
<注意事項>
−
XXX_1, XXX_2 のように「_ (アンダバー)」がついている端子の、
「_」以降の数字はリロケー
ションポート番号を示しています。
これらの端子は 1 つのチャネルに複数の機能があり、それぞれの機能ごとに端子名があります。
拡張ポート機能レジスタ(EPFR)によって利用する端子を選択してください。
Document Number: 002-00206 Rev.*A
Page 10 of 113
S6E1B3 Series
LQM120
VSS
P82/SCK7_2
P81/SOT7_2/INT11_0
P80/SIN7_2/INT20_1
P60/SIN5_0/MI2SDI5_0/TIOA2_2/INT15_1/WKUP3/CEC1_0/IGTRG0_1
P61/SOT5_0/MI2SDO5_0/TIOB2_2/DTTI0X_2
P62/SCK5_0/MI2SCK5_0/ADTG_3/INT07_1/TIOA6_1/IC0_RST_0
P63/SIN5_1/MI2SWS5_0/INT03_0/TIOB6_1/IC0_DATA_0
P64/SOT5_1/TIOA7_0/INT10_2
P65/SCK5_1/TIOB7_0/INT23_0
P66/SIN3_0/INT11_2
P67/SOT3_0/TIOA7_2/INT22_0
P68/SCK3_0/TIOB7_2/INT12_2
P0F/NMIX/CROUT_1/RTCCO_0/SUBOUT_0/MI2SMCK5_0/WKUP0/IC0_CLK_0/SCK4_0
P0E/CTS4_0/TIOB3_2/INT21_0/IC0_VCC_0
P0D/RTS4_0/TIOA3_2/INT20_0/IC0_VPEN_0
P0C/INT19_0/UDP0
P0B/INT18_0/UDM0
P0A/SIN4_0/INT00_2/WKUP5/IC0_CIN_0/UHCONX0
P09/TIOB0_2/RTS4_2/INT17_0
P08/AN23/TIOA0_2/CTS4_2/INT16_0
P07/AN22/ADTG_0/SCK4_2/INT23_1/SOT4_0
P06/AN21/TIOB5_2/SOT4_2/INT01_1
P05/AN20/TIOA5_2/SIN4_2/INT00_1/WKUP10
P04/SCK3_2/INT06_2
P03/SWDIO
P02/SIN3_2/TIOB5_0
P01/SWCLK
P00/SOT3_2/INT14_1
VCC
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
104
103
102
101
100
99
98
97
96
95
94
93
92
91
(TOP VIEW)
VCC
1
P50/INT00_0/SIN3_1
2
89 P20/AN19/INT05_0/CROUT_0
P51/INT01_0/SOT3_1
3
88 P21/AN18/SIN0_0/INT06_1/WKUP2
P52/INT02_0/SCK3_1
90 VSS
4
87 P22/AN17/SOT0_0/TIOB7_1
P53/SIN6_0/TIOA1_2/INT07_2
5
86 P23/AN16/SCK0_0/TIOA7_1/RTO00_1
P54/SOT6_0/TIOB1_2/INT18_1
6
85 P24/SIN2_1/RTO01_1/INT17_1
P55/SCK6_0/ADTG_1/INT19_1
7
84 P25/SOT2_1/RTO02_1
P56/SIN1_0/INT08_2/MI2SMCK6_1/WKUP9/CEC1_1
8
83 P26/SCK2_1/RTO03_1
P57/SOT1_0
9
82 P27/AN15/RTO04_1/TIOA6_2/INT02_2
P58/SCK1_0 10
81 P28/ADTG_4/RTO05_1/TIOB6_2
P59/SIN7_0/INT16_1 11
80 P1E/AN14/RTS4_1/ADTG_5/FRCK0_1/INT23_2
P5A/SOT7_0/INT16_2 12
79 P1D/AN13/CTS4_1/DTTI0X_1/INT22_2
P5B/SCK7_0/INT17_2 13
78 P1C/AN12/SCK4_1/IC03_1/INT21_2
LQFP - 120
P30/TIOB0_1/SCS60_1/INT03_2/MI2SWS6_1/WKUP4 14
P31/TIOB1_1/SCK6_1/MI2SCK6_1/INT04_2 15
77 P1B/AN11/SOT4_1/IC02_1/INT20_2
76 P1A/AN10/SIN4_1/IC01_1/INT05_1
P32/TIOB2_1/SOT6_1/MI2SDO6_1/INT05_2 16
75 P19/AN09/SCK2_2/IC00_1
P33/INT04_0/TIOB3_1/SIN6_1/MI2SDI6_1/ADTG_6 17
74 P18/AN08/SOT2_2
P34/SCS61_1/FRCK0_0/TIOB4_1 18
73 AVRH
P35/SCS62_1/IC03_0/TIOB5_1/INT08_1 19
72 AVRL
P36/IC02_0/SIN5_2/INT09_1/WKUP11 20
71 AVSS
P37/IC01_0/SOT5_2/INT10_1 21
70 AVCC
P38/IC00_0/SCK5_2/INT11_1 22
69 P17/AN07/SIN2_2/INT04_1
P39/DTTI0X_0/ADTG_2/TIOB4_0/INT06_0 23
68 P16/AN06/SCK0_1/INT15_0
P3A/RTO00_0/TIOA0_1/INT07_0/RTCCO_2/SUBOUT_2/IC1_CIN_0 24
67 P15/AN05/IC1_CIN_1/SOT0_1/IC03_2/INT14_0
P3B/RTO01_0/TIOA1_1/IC1_DATA_0 25
66 P14/AN04/IC1_DATA_1/RTS1_1/SIN0_1/INT03_1/IC02_2
P3C/RTO02_0/TIOA2_1/INT18_2/IC1_RST_0 26
65 P13/AN03/IC1_RST_1/SCK1_1/RTCCO_1/IC01_2/SUBOUT_1
P3D/RTO03_0/TIOA3_1/IC1_VPEN_0 27
64 P12/AN02/IC1_VPEN_1/SOT1_1/IC00_2
P3E/RTO04_0/TIOA4_1/INT19_2/IC1_VCC_0/WKUP8 28
63 P11/AN01/IC1_VCC_1/SIN1_1/INT02_1/FRCK0_2/WKUP1
P3F/RTO05_0/TIOA5_1/IC1_CLK_0 29
62 P10/AN00/IC1_CLK_1/CTS1_1
60
VSS
PE3/X1 59
PE2/X0 58
57
MD0
PE0/MD1 56
P74/SCK2_0 55
P73/SOT2_0/TIOB6_0/INT15_2 54
P72/SIN2_0/TIOA6_0/INT14_2 53
P70/SCS71_1/TIOA4_2 51
P71/SCS72_1/TIOB4_2/INT13_2 52
46
VBAT
P4D/TIOB3_0/INT13_0/SCK7_1/WKUP6 50
45
P49/VWAKEUP
P4C/TIOB2_0/SOT7_1/INT12_0/CEC0_0 49
44
P4A/TIOB0_0/SCS70_1/INT21_1 47
43
P48/VREGCTL
P4B/TIOB1_0/SIN7_1/INT22_1/WKUP7/IGTRG0_0 48
42
40
VCC
P47/X1A
39
P46/X0A
38
C
VSS
INITX 41
37
P45/LVDI/TIOA5_0/IC0_CIN_1
P44/TIOA4_0/INT10_0/RTS1_2/IC0_DATA_1 36
34
P43/TIOA3_0/INT09_0/ADTG_7/CTS1_2/IC0_RST_1 35
33
P41/TIOA1_0/INT13_1/SOT1_2/IC0_VCC_1
P42/TIOA2_0/INT08_0/SCK1_2/IC0_VPEN_1
VCC
31
61 VCC
P40/TIOA0_0/INT12_1/SIN1_2/IC0_CLK_1 32
VSS 30
<注意事項>
−
XXX_1, XXX_2 のように「_ (アンダバー)」がついている端子の、
「_」以降の数字はリロケー
ションポート番号を示しています。
これらの端子は 1 つのチャネルに複数の機能があり、それぞれの機能ごとに端子名があります。
拡張ポート機能レジスタ(EPFR)によって利用する端子を選択してください。
Document Number: 002-00206 Rev.*A
Page 11 of 113
S6E1B3 Series
4. 端子機能一覧
端子番号別
XXX_1, XXX_2 のように、
「_(アンダバー)」がついている端子の、
「_」以降の数字はリロケーションポート番号を示しています。
これらの端子は 1 つのチャネルに複数の機能があり、それぞれの機能ごとに端子名があります。
拡張ポート機能レジスタ(EPFR)によって利用する端子を選択してください。
端子番号
LQFP-120
LQFP-100
LQFP-80
1
1
1
2
2
2
3
3
3
4
4
4
5
5
5
6
6
6
7
7
7
8
8
-
-
9
-
-
10
-
-
11
-
-
12
-
-
13
-
-
14
9
9
8
Document Number: 002-00206 Rev.*A
端子名
VCC
P50
SIN3_1
INT00_0
P51
SOT3_1
INT01_0
P52
SCK3_1
INT02_0
P53
SIN6_0
TIOA1_2
INT07_2
P54
SOT6_0
TIOB1_2
INT18_1
P55
SCK6_0
ADTG_1
INT19_1
P56
MI2SMCK6_1
CEC1_1
INT08_2
WKUP9
SIN1_0
P57
SOT1_0
P58
SCK1_0
P59
SIN7_0
INT16_1
P5A
SOT7_0
INT16_2
P5B
SCK7_0
INT17_2
P30
TIOB0_1
SCS60_1
MI2SWS6_1
INT03_2
WKUP4
入出力回路
形式
端子状態
形式
-
I
J
I
J
I
J
I
J
I
J
I
J
I
O
F
I
F
I
F
J
F
J
F
J
I
N
Page 12 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
15
10
10
16
11
11
17
12
12
18
13
-
19
14
-
20
15
-
21
16
-
22
17
-
23
18
13
24
19
14
25
20
15
Document Number: 002-00206 Rev.*A
端子名
P31
TIOB1_1
SCK6_1
MI2SCK6_1
INT04_2
P32
TIOB2_1
SOT6_1
MI2SDO6_1
INT05_2
P33
TIOB3_1
SIN6_1
MI2SDI6_1
INT04_0
ADTG_6
P34
SCS61_1
FRCK0_0
TIOB4_1
P35
SCS62_1
IC03_0
TIOB5_1
INT08_1
P36
IC02_0
SIN5_2
INT09_1
WKUP11
P37
IC01_0
SOT5_2
INT10_1
P38
IC00_0
SCK5_2
INT11_1
P39
DTTI0X_0
TIOB4_0
ADTG_2
INT06_0
P3A
RTO00_0
TIOA0_1
RTCCO_2
SUBOUT_2
IC1_CIN_0
INT07_0
P3B
RTO01_0
TIOA1_1
IC1_DATA_0
入出力回路
形式
端子状態
形式
I
J
I
J
I
J
I
I
I
J
I
N
I
J
F
J
I
J
I
J
I
I
Page 13 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
26
21
16
27
22
17
28
23
18
29
24
19
30
31
25
26
20
-
32
27
-
33
28
-
34
29
-
35
30
-
36
31
21
37
32
22
38
39
40
41
33
34
35
36
23
24
25
26
Document Number: 002-00206 Rev.*A
端子名
P3C
RTO02_0
TIOA2_1
INT18_2
IC1_RST_0
P3D
RTO03_0
TIOA3_1
IC1_VPEN_0
P3E
RTO04_0
TIOA4_1
IC1_VCC_0
INT19_2
WKUP8
P3F
RTO05_0
TIOA5_1
IC1_CLK_0
VSS
VCC
P40
TIOA0_0
IC0_CLK_1
INT12_1
SIN1_2
P41
TIOA1_0
SOT1_2
IC0_VCC_1
INT13_1
P42
TIOA2_0
SCK1_2
IC0_VPEN_1
INT08_0
P43
TIOA3_0
CTS1_2
ADTG_7
IC0_RST_1
INT09_0
P44
TIOA4_0
IC0_DATA_1
INT10_0
RTS1_2
P45
TIOA5_0
IC0_CIN_1
LVDI
C
VSS
VCC
INITX
入出力回路
形式
端子状態
形式
I
J
I
I
I
N
I
I
-
-
F
J
F
J
F
J
F
J
I
J
I
I
B
C
Page 14 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
42
37
27
43
38
28
44
39
29
45
40
30
46
41
31
47
42
32
48
43
33
49
44
34
50
45
35
51
-
-
52
-
-
53
-
-
54
-
-
55
-
-
56
46
36
57
47
37
58
48
38
59
49
39
60
50
40
Document Number: 002-00206 Rev.*A
端子名
P46
X0A
P47
X1A
P48
VREGCTL
P49
VWAKEUP
VBAT
P4A
TIOB0_0
SCS70_1
INT21_1
P4B
TIOB1_0
SIN7_1
INT22_1
WKUP7
IGTRG0_0
P4C
TIOB2_0
SOT7_1
CEC0_0
INT12_0
P4D
TIOB3_0
SCK7_1
INT13_0
WKUP6
P70
TIOA4_2
SCS71_1
P71
TIOB4_2
SCS72_1
INT13_2
P72
SIN2_0
TIOA6_0
INT14_2
P73
SOT2_0
TIOB6_0
INT15_2
P74
SCK2_0
PE0
MD1
MD0
PE2
X0
PE3
X1
VSS
入出力回路
形式
端子状態
形式
D
E
E
F
I
I
I
I
-
-
I
J
I
N
I
R
I
T
F
I
F
J
F
J
F
J
F
I
C
D
J
M
A
A
A
B
-
Page 15 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
61
51
41
62
52
42
63
53
43
64
54
44
65
55
45
66
56
46
67
57
47
68
58
48
69
59
49
70
71
72
73
60
61
62
63
50
51
52
53
74
64
54
Document Number: 002-00206 Rev.*A
端子名
入出力回路
形式
端子状態
形式
VCC
-
-
H
K
H
P
H
K
H
K
H
L
H
L
H
L
H
L
-
-
H
K
P10
IC1_CLK_1
CTS1_1
AN00
P11
IC1_VCC_1
SIN1_1
FRCK0_2
INT02_1
WKUP1
AN01
P12
IC1_VPEN_1
SOT1_1
IC00_2
AN02
P13
IC1_RST_1
SCK1_1
RTCCO_1
IC01_2
SUBOUT_1
AN03
P14
IC1_DATA_1
RTS1_1
SIN0_1
IC02_2
INT03_1
AN04
P15
IC1_CIN_1
SOT0_1
IC03_2
INT14_0
AN05
P16
SCK0_1
INT15_0
AN06
P17
SIN2_2
INT04_1
AN07
AVCC
AVSS
AVRL
AVRH
P18
SOT2_2
AN08
Page 16 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
75
65
55
76
66
56
77
67
57
78
68
-
79
69
-
80
70
-
81
-
-
82
-
-
83
-
-
84
-
-
85
-
-
86
71
58
Document Number: 002-00206 Rev.*A
端子名
P19
SCK2_2
IC00_1
AN09
P1A
SIN4_1
IC01_1
INT05_1
AN10
P1B
SOT4_1
IC02_1
INT20_2
AN11
P1C
SCK4_1
IC03_1
INT21_2
AN12
P1D
CTS4_1
DTTI0X_1
INT22_2
AN13
P1E
RTS4_1
FRCK0_1
ADTG_5
INT23_2
AN14
P28
RTO05_1
TIOB6_2
ADTG_4
P27
RTO04_1
TIOA6_2
INT02_2
AN15
P26
SCK2_1
RTO03_1
P25
SOT2_1
RTO02_1
P24
SIN2_1
RTO01_1
INT17_1
P23
SCK0_0
TIOA7_1
RTO00_1
AN16
入出力回路
形式
端子状態
形式
H
K
H
L
H
L
H
L
H
L
H
L
F
I
G
L
F
I
F
I
F
J
H
K
Page 17 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
87
72
59
88
73
60
89
74
-
90
91
75
76
-
92
77
61
93
78
62
94
79
63
95
80
64
96
81
65
97
82
-
98
83
-
99
84
66
100
85
-
101
86
-
Document Number: 002-00206 Rev.*A
端子名
P22
SOT0_0
TIOB7_1
AN17
P21
SIN0_0
INT06_1
WKUP2
AN18
P20
INT05_0
CROUT_0
AN19
VSS
VCC
P00
SOT3_2
INT14_1
P01
SWCLK
P02
SIN3_2
TIOB5_0
P03
SWDIO
P04
SCK3_2
INT06_2
P05
TIOA5_2
SIN4_2
INT00_1
WKUP10
AN20
P06
TIOB5_2
SOT4_2
INT01_1
AN21
P07
SCK4_2
ADTG_0
INT23_1
AN22
SOT4_0
P08
TIOA0_2
CTS4_2
INT16_0
AN23
P09
TIOB0_2
RTS4_2
INT17_0
入出力回路
形式
端子状態
形式
H
K
H
P
H
L
-
-
I
J
I
H
I
I
I
H
I
J
H
P
H
L
H
L
H
L
I
J
Page 18 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
102
87
67
103
88
68
104
89
69
105
90
70
106
91
71
107
92
72
108
-
-
109
-
-
110
-
-
111
-
-
112
-
-
Document Number: 002-00206 Rev.*A
端子名
P0A
SIN4_0
INT00_2
WKUP5
IC0_CIN_0
UHCONX0
P0B
INT18_0
UDM0
P0C
INT19_0
UDP0
P0D
RTS4_0
TIOA3_2
INT20_0
IC0_VPEN_0
P0E
CTS4_0
TIOB3_2
INT21_0
IC0_VCC_0
P0F
CROUT_1
RTCCO_0
SUBOUT_0
MI2SMCK5_0
NMIX
WKUP0
IC0_CLK_0
SCK4_0
P68
SCK3_0
TIOB7_2
INT12_2
P67
SOT3_0
TIOA7_2
INT22_0
P66
SIN3_0
INT11_2
P65
SCK5_1
TIOB7_0
INT23_0
P64
SOT5_1
TIOA7_0
INT10_2
入出力回路
形式
端子状態
形式
I
O
K
Q
K
Q
I
J
I
J
I
G
F
J
F
J
F
J
F
J
F
J
Page 19 of 113
S6E1B3 Series
端子番号
LQFP-120
LQFP-100
LQFP-80
93
73
-
-
114
94
74
115
95
75
116
96
76
117
97
77
118
98
78
119
99
79
120
100
80
113
端子名
P63
MI2SWS5_0
INT03_0
TIOB6_1
IC0_DATA_0
SIN5_1
P62
SCK5_0
MI2SCK5_0
ADTG_3
INT07_1
TIOA6_1
IC0_RST_0
P61
SOT5_0
MI2SDO5_0
TIOB2_2
DTTI0X_2
P60
SIN5_0
MI2SDI5_0
TIOA2_2
CEC1_0
INT15_1
WKUP3
IGTRG0_1
P80
SIN7_2
INT20_1
P81
SOT7_2
INT11_0
P82
SCK7_2
VSS
入出力回路
形式
端子状態
形式
I
J
I
J
I
I
I
O
I
J
I
J
I
I
-
-
*: 5V トレラント I/O
Document Number: 002-00206 Rev.*A
Page 20 of 113
S6E1B3 Series
端子機能別
XXX_1, XXX_2 のように、
「_(アンダバー)」がついている端子の、
「_」以降の数字はリロケーションポート番号を示しています。
これらの端子は 1 つのチャネルに複数の機能があり、それぞれの機能ごとに端子名があります。
拡張ポート機能レジスタ(EPFR)によって利用する端子を選択してください。
端子機能
LQFP-120
99
7
23
114
81
80
17
35
62
端子番号
LQFP-100
84
7
18
94
70
12
30
52
LQFP-80
66
7
13
74
12
42
AN01
63
53
43
AN02
64
54
44
AN03
65
55
45
AN04
66
56
46
AN05
67
57
47
AN06
AN07
AN08
AN09
AN10
AN11
AN12
AN13
AN14
AN15
AN16
AN17
AN18
AN19
AN20
AN21
AN22
AN23
TIOA0_0
TIOA0_1
TIOA0_2
TIOB0_0
TIOB0_1
TIOB0_2
68
69
74
75
76
77
78
79
80
82
86
87
88
89
97
98
99
100
32
24
100
47
14
101
58
59
64
65
66
67
68
69
70
71
72
73
74
82
83
84
85
27
19
85
42
9
86
48
49
54
55
56
57
58
59
60
66
14
32
9
-
端子名
ADTG_0
ADTG_1
ADTG_2
ADTG_3
ADTG_4
ADTG_5
ADTG_6
ADTG_7
AN00
ADC
Base Timer 0
Document Number: 002-00206 Rev.*A
機能説明
A/D コンバータ
外部トリガ入力端子
A/D コンバータ
アナログ入力端子
ANxx は ADC ch.xx を示します。
ベースタイマ ch.0 の TIOA 端子
ベースタイマ ch.0 の TIOB 端子
Page 21 of 113
S6E1B3 Series
端子機能
Base Timer 1
端子名
TIOA1_0
TIOA1_1
TIOA1_2
TIOB1_0
TIOB1_1
TIOB1_2
TIOA2_0
TIOA2_1
Base Timer 2
Base Timer 3
Base Timer 4
Base Timer 5
Base Timer 6
Base Timer 7
機能説明
ベースタイマ ch.1 の TIOA 端子
ベースタイマ ch.1 の TIOB 端子
ベースタイマ ch.2 の TIOA 端子
TIOA2_2
TIOB2_0
TIOB2_1
TIOB2_2
TIOA3_0
TIOA3_1
TIOA3_2
TIOB3_0
TIOB3_1
TIOB3_2
TIOA4_0
TIOA4_1
TIOA4_2
TIOB4_0
TIOB4_1
TIOB4_2
TIOA5_0
TIOA5_1
TIOA5_2
TIOB5_0
TIOB5_1
TIOB5_2
TIOA6_0
TIOA6_1
TIOA6_2
TIOB6_0
TIOB6_1
TIOB6_2
TIOA7_0
TIOA7_1
TIOA7_2
TIOB7_0
TIOB7_1
TIOB7_2
SWCLK
Debugger
SWDIO
Document Number: 002-00206 Rev.*A
ベースタイマ ch.2 の TIOB 端子
ベースタイマ ch.3 の TIOA 端子
ベースタイマ ch.3 の TIOB 端子
ベースタイマ ch.4 の TIOA 端子
ベースタイマ ch.4 の TIOB 端子
ベースタイマ ch.5 の TIOA 端子
ベースタイマ ch.5 の TIOB 端子
ベースタイマ ch.6 の TIOA 端子
ベースタイマ ch.6 の TIOB 端子
ベースタイマ ch.7 の TIOA 端子
ベースタイマ ch.7 の TIOB 端子
シリアルワイヤデバッグインタフェース
クロック入力端子
シリアルワイヤデバッグインタフェース
データ入力端子
LQFP-120
33
25
5
48
15
6
34
端子番号
LQFP-100
28
20
5
43
10
6
29
LQFP-80
15
5
33
10
6
-
26
21
16
116
96
76
49
16
115
35
27
105
50
17
106
36
28
51
23
18
52
37
29
97
94
19
98
53
114
82
54
113
81
112
86
109
111
87
108
44
11
95
30
22
90
45
12
91
31
23
18
13
32
24
82
79
14
83
94
93
71
72
-
34
11
75
17
70
35
12
71
21
18
13
22
19
63
74
73
58
59
-
93
78
62
95
80
64
Page 22 of 113
S6E1B3 Series
端子機能
LQFP-120
2
97
102
3
端子番号
LQFP-100
2
82
87
3
98
83
-
4
4
4
63
53
43
INT02_2
82
-
-
INT03_0
113
93
73
66
56
46
14
9
9
17
69
15
89
76
16
23
88
96
24
114
5
34
19
8
35
20
36
21
112
118
22
110
49
32
108
50
33
52
67
92
53
12
59
10
74
66
11
18
73
81
19
94
5
29
14
8
30
15
31
16
98
17
44
27
45
28
57
77
-
12
49
10
56
11
13
60
65
14
74
5
8
21
78
34
35
47
61
-
端子名
INT00_0
INT00_1
INT00_2
INT01_0
INT01_1
機能説明
外部割込み要求 00 の入力端子
外部割込み要求 01 の入力端子
INT02_0
INT02_1
INT03_1
外部割込み要求 02 の入力端子
外部割込み要求 03 の入力端子
INT03_2
External
Interrupt
INT04_0
INT04_1
INT04_2
INT05_0
INT05_1
INT05_2
INT06_0
INT06_1
INT06_2
INT07_0
INT07_1
INT07_2
INT08_0
INT08_1
INT08_2
INT09_0
INT09_1
INT10_0
INT10_1
INT10_2
INT11_0
INT11_1
INT11_2
INT12_0
INT12_1
INT12_2
INT13_0
INT13_1
INT13_2
INT14_0
INT14_1
INT14_2
Document Number: 002-00206 Rev.*A
外部割込み要求 04 の入力端子
外部割込み要求 05 の入力端子
外部割込み要求 06 の入力端子
外部割込み要求 07 の入力端子
外部割込み要求 08 の入力端子
外部割込み要求 09 の入力端子
外部割込み要求 10 の入力端子
外部割込み要求 11 の入力端子
外部割込み要求 12 の入力端子
外部割込み要求 13 の入力端子
外部割込み要求 14 の入力端子
LQFP-80
2
67
3
Page 23 of 113
S6E1B3 Series
端子機能
LQFP-120
68
端子番号
LQFP-100
58
LQFP-80
48
116
54
100
11
12
101
85
13
103
6
26
104
7
28
105
117
77
106
47
78
109
48
79
111
99
80
107
96
85
86
88
6
21
89
7
23
90
97
67
91
42
68
43
69
84
70
92
76
68
6
16
69
7
18
70
77
57
71
32
33
66
72
P00
92
77
61
P01
93
78
62
P02
94
79
63
P03
P04
P05
P06
P07
P08
P09
P0A
P0B
P0C
P0D
P0E
P0F
95
96
97
98
99
100
101
102
103
104
105
106
107
80
81
82
83
84
85
86
87
88
89
90
91
92
64
65
66
67
68
69
70
71
72
端子名
機能説明
INT15_0
External
Interrupt
GPIO
INT15_1
INT15_2
INT16_0
INT16_1
INT16_2
INT17_0
INT17_1
INT17_2
INT18_0
INT18_1
INT18_2
INT19_0
INT19_1
INT19_2
INT20_0
INT20_1
INT20_2
INT21_0
INT21_1
INT21_2
INT22_0
INT22_1
INT22_2
INT23_0
INT23_1
INT23_2
NMIX
Document Number: 002-00206 Rev.*A
外部割込み要求 15 の入力端子
外部割込み要求 16 の入力端子
外部割込み要求 17 の入力端子
外部割込み要求 18 の入力端子
外部割込み要求 19 の入力端子
外部割込み要求 20 の入力端子
外部割込み要求 21 の入力端子
外部割込み要求 22 の入力端子
外部割込み要求 23 の入力端子
ノンマスカブル割込み入力端子
汎用入出力ポート 0
Page 24 of 113
S6E1B3 Series
端子機能
GPIO
P10
LQFP-120
62
端子番号
LQFP-100
52
LQFP-80
42
P11
63
53
43
P12
64
54
44
P13
65
55
45
P14
P15
P16
P17
P18
P19
P1A
P1B
P1C
P1D
P1E
P20
P21
P22
P23
P24
P25
P26
P27
P28
P30
P31
P32
P33
P34
P35
P36
P37
P38
P39
P3A
66
67
68
69
74
75
76
77
78
79
80
89
88
87
86
85
84
83
82
81
14
15
16
17
18
19
20
21
22
23
24
56
57
58
59
64
65
66
67
68
69
70
74
73
72
71
9
10
11
12
13
14
15
16
17
18
19
46
47
48
49
54
55
56
57
60
59
58
9
10
11
12
13
14
P3B
25
20
15
P3C
26
21
16
P3D
27
22
17
P3E
P3F
28
29
23
24
18
19
端子名
Document Number: 002-00206 Rev.*A
機能説明
汎用入出力ポート 1
汎用入出力ポート 2
汎用入出力ポート 3
Page 25 of 113
S6E1B3 Series
端子機能
端子名
P40
P41
P42
P43
P44
P45
P46
P47
P48
P49
P4A
P4B
P4C
P4D
P50
GPIO
P51
P52
P53
P54
P55
P56
P57
P58
P59
P5A
P5B
P60
P61
P62
P63
P64
P65
P66
P67
P68
P70
P71
P72
P73
P74
P80
P81
機能説明
汎用入出力ポート 4
汎用入出力ポート 5
汎用入出力ポート 6
汎用入出力ポート 7
汎用入出力ポート 8
P82
PE0*
PE2
PE3
Document Number: 002-00206 Rev.*A
汎用入出力ポート E
LQFP-120
32
33
34
35
36
37
42
43
44
45
47
48
49
50
2
端子番号
LQFP-100
27
28
29
30
31
32
37
38
39
40
42
43
44
45
2
LQFP-80
21
22
27
28
29
30
32
33
34
35
2
3
4
5
6
7
8
9
10
11
12
13
116
115
114
113
112
111
110
109
108
51
52
53
54
55
117
118
3
4
5
6
7
8
96
95
94
93
97
98
3
4
5
6
7
8
76
75
74
73
77
78
119
99
79
56
46
36
58
59
48
49
38
39
Page 26 of 113
S6E1B3 Series
端子機能
端子名
機能説明
SIN0_0
SIN0_1
マルチファンクションシリアルインタ
フェース ch.0 の入力端子
マルチファンクションシリアルインタ
フェース ch.0 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT0 として、I2C 端子(動作
モード 4)として使用するときは SDA0 とし
て機能します。
マルチファンクションシリアルインタ
フェース ch.0 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK0 として、I2C 端子(動作モード 4)
として使用するときは SCL0 として機能し
ます。
SOT0_0
(SDA0_0)
Multi-function
Serial 0
SOT0_1
(SDA0_1)
SCK0_0
(SCL0_0)
SCK0_1
(SCL0_1)
Multi-function
Serial 1
SIN1_0
SIN1_1
SIN1_2
SOT1_0
(SDA1_0)
SOT1_1
(SDA1_1)
SOT1_2
(SDA1_2)
SCK1_0
(SCL1_0)
SCK1_1
(SCL1_1)
SCK1_2
(SCL1_2)
Multi-function
Serial 2
SIN2_0
SIN2_1
SIN2_2
SOT2_0
(SDA2_0)
SOT2_1
(SDA2_1)
SOT2_2
(SDA2_2)
SCK2_0
(SCL2_0)
SCK2_1
(SCL2_1)
SCK2_2
(SCL2_2)
Document Number: 002-00206 Rev.*A
マルチファンクションシリアルインタ
フェース ch.1 の入力端子
マルチファンクションシリアルインタ
フェース ch.1 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT1 として、I2C 端子(動作
モード 4)として使用するときは SDA1 とし
て機能します。
マルチファンクションシリアルインタ
フェース ch.1 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK1 として、I2C 端子(動作モード 4)
として使用するときは SCL1 として機能し
ます。
マルチファンクションシリアルインタ
フェース ch.2 の入力端子
マルチファンクションシリアルインタ
フェース ch.2 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT2 として、I2C 端子(動作
モード 4)として使用するときは SDA2 とし
て機能します。
マルチファンクションシリアルインタ
フェース ch.2 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK2 として、I2C 端子(動作モード 4)
として使用するときは SCL2 として機能し
ます。
LQFP-120
88
66
端子番号
LQFP-100
73
56
LQFP-80
60
46
87
72
59
67
57
47
86
71
58
68
58
48
8
63
32
53
27
43
-
9
-
-
64
54
44
33
28
-
10
-
-
65
55
45
34
29
-
53
85
69
59
49
54
-
-
84
-
-
74
64
54
55
-
-
83
-
-
75
65
55
Page 27 of 113
S6E1B3 Series
端子機能
端子名
SIN3_0
SIN3_1
Multi-function
Serial 3
SIN3_2
SOT3_0
(SDA3_0)
SOT3_1
(SDA3_1)
SOT3_2
(SDA3_2)
SCK3_0
(SCL3_0)
SCK3_1
(SCL3_1)
SCK3_2
(SCL3_2)
SIN4_0
SIN4_1
SIN4_2
SOT4_0
(SDA4_0)
SOT4_1
(SDA4_1)
SOT4_2
(SDA4_2)
Multi-function
Serial 4
SCK4_0
(SCL4_0)
SCK4_1
(SCL4_1)
SCK4_2
(SCL4_2)
CTS4_0
CTS4_1
CTS4_2
RTS4_0
RTS4_1
RTS4_2
Document Number: 002-00206 Rev.*A
機能説明
マルチファンクションシリアルインタ
フェース ch.3 の入力端子
マルチファンクションシリアルインタ
フェース ch.3 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT3 として、I2C 端子(動作
モード 4)として使用するときは SDA3 とし
て機能します。
マルチファンクションシリアルインタ
フェース ch.3 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK3 として、I2C 端子(動作モード 4)
として使用するときは SCL3 として機能し
ます。
マルチファンクションシリアルインタ
フェース ch.4 の入力端子
マルチファンクションシリアルインタ
フェース ch.4 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT4 として、I2C 端子(動作
モード 4)として使用するときは SDA4 とし
て機能します。
マルチファンクションシリアルインタ
フェース ch.4 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK4 として、I2C 端子(動作モード 4)
として使用するときは SCL4 として機能し
ます
マルチファンクションシリアルインタ
フェース ch.4 の CTS 出力端子
マルチファンクションシリアルインタ
フェース ch.4 の RTS 出力端子
LQFP-120
110
端子番号
LQFP-100
-
LQFP-80
-
2
2
2
94
79
63
109
-
-
3
3
3
92
77
61
108
-
-
4
4
4
96
81
65
102
76
97
87
66
82
67
56
-
99
84
66
77
67
57
98
83
-
107
92
72
78
68
-
99
84
66
106
79
100
105
80
101
91
69
85
90
70
86
71
70
-
Page 28 of 113
S6E1B3 Series
端子機能
端子名
機能説明
SIN5_0
(MI2SDI5_0)
SIN5_1
LQFP-120
端子番号
LQFP-100
LQFP-80
マルチファンクションシリアルインタ
フェース ch.5 の入力端子。
I2S 端子(動作モード 2)として使用するとき
は MI2SDI5 として機能します。
116
96
76
113
-
-
20
15
-
115
95
75
112
-
-
21
16
-
114
94
74
111
-
-
22
17
-
113
5
93
5
73
5
17
12
12
6
6
6
16
11
11
7
7
7
15
10
10
14
9
9
18
13
-
19
14
-
14
9
9
SIN5_2
SOT5_0
(SDA5_0)
(MI2SDO5_0)
SOT5_1
(SDA5_1)
Multi-function
Serial 5
SOT5_2
(SDA5_2)
SCK5_0
(SCL5_0)
(MI2SCK5_0)
SCK5_1
(SCL5_1)
SCK5_2
(SCL5_2)
MI2SWS5_0
SIN6_0
SIN6_1
(MI2SDI6_1)
SOT6_0
(SDA6_0)
SOT6_1
(SDA6_1)
(MI2SDO6_1)
Multi-function
Serial 6
SCK6_0
(SCL6_0)
SCK6_1
(SCL6_1)
(MI2SCK6_1)
SCS60_1
SCS61_1
SCS62_1
MI2SWS6_1
Document Number: 002-00206 Rev.*A
マルチファンクションシリアルインタ
フェース ch.5 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT5 として、I2C 端子(動作
モード 4)として使用するときは SDA5 とし
て機能します。また、I2S 端子(動作モード
2)として使用するときは MI2SDO5 として機
能します。
マルチファンクションシリアルインタ
フェース ch.5 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK5 として、I2C 端子として(動作モー
ド 4)として使用するときは SCL5 として機
能します。
I2S 端子(動作モード 2)として使用するとき
は MI2SCK5 として機能します。
I2S の WS 出力端子
マルチファンクションシリアルインタ
フェース ch.6 の入力端子
I2S 端子(動作モード 2)として使用するとき
は MI2SDI5 として機能します。
マルチファンクションシリアルインタ
フェース ch.6 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT6 として、I2C 端子として
(動作モード 4)として使用するときは SDA6
として機能します。また、I2S 端子(動作モー
ド 2)として使用するときは MI2SDO6 として
機能します。
マルチファンクションシリアルインタ
フェース ch.6 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK6 として、I2C 端子として(動作モー
ド 4)として使用するときは SCL6 として機
能します。I2S 端子(動作モード 2)として使用
するときは MI2SCK6 として機能します。
マルチファンクションシリアルインタ
フェース ch.6 のチップセレクト 0 出力端子
マルチファンクションシリアルインタ
フェース ch.6 のチップセレクト 1 出力端子
マルチファンクションシリアルインタ
フェース ch.6 のチップセレクト 2 出力端子
I2S の WS 出力端子
Page 29 of 113
S6E1B3 Series
端子機能
端子名
SIN7_0
SIN7_1
SIN7_2
SOT7_0
(SDA7_0)
SOT7_1
(SDA7_1)
SOT7_2
(SDA7_2)
Multi-function
Serial 7
SCK7_0
(SCL7_0)
SCK7_1
(SCL7_1)
SCK7_2
(SCL7_2)
SCS70_1
SCS71_1
SCS72_1
Smart Card
Interface 0
Smart Card
Interface 1
USB
IC0_VCC_0
IC0_VCC_1
IC0_VPEN_0
IC0_VPEN_1
IC0_RST_0
IC0_RST_1
IC0_CIN_0
IC0_CIN_1
IC0_CLK_0
IC0_CLK_1
IC0_DATA_0
IC0_DATA_1
IC1_VCC_0
IC1_VCC_1
IC1_VPEN_0
IC1_VPEN_1
IC1_RST_0
IC1_RST_1
IC1_CIN_0
IC1_CIN_1
IC1_CLK_0
IC1_CLK_1
IC1_DATA_0
IC1_DATA_1
UDM0
UDP0
UHCONX0
Document Number: 002-00206 Rev.*A
機能説明
マルチファンクションシリアルインタ
フェース ch.7 の入力端子
マルチファンクションシリアルインタ
フェース ch.7 の出力端子。
UART/CSIO 端子(動作モード 0~2)として使
用するときは SOT2 として、I2C 端子として
(動作モード 4)として使用するときは SDA2
として機能します。
マルチファンクションシリアルインタ
フェース ch.7 のクロック I/O 端子。
CSIO 端子(動作モード 2)として使用すると
きは SCK7 として、I2C 端子として(動作モー
ド 4)として使用するときは SCL7 として機
能します。
マルチファンクションシリアルインタ
フェース ch.7 のチップセレクト 0 出力端子
マルチファンクションシリアルインタ
フェース ch.7 のチップセレクト 1 出力端子
マルチファンクションシリアルインタ
フェース ch.7 のチップセレクト 2 出力端子
Smart card インタフェース ch.0 の電源イネー
ブル出力
Smart card インタフェース ch.0 のプログラミ
ングイネーブル出力
Smart card インタフェース ch.0 のリセット出
力
Smart card インタフェース ch.0 の card 挿入
検出入力
Smart card インタフェース ch.0 のクロック出
力
Smart card インタフェース ch.0 のデータ入出
力
Smart card インタフェース ch.1 の電源イネー
ブル出力
Smart card インタフェース ch.1 のプログラミ
ングイネーブル出力
Smart card インタフェース ch.1 のリセット出
力
Smart card インタフェース ch.1 の card 挿入
検出入力
Smart card インタフェース ch.1 のクロック出
力
Smart card インタフェース ch.1 のデータ入出
力
USB デバイス/ホストの D- 端子
USB デバイス/ホストの D+ 端子
USB 外部プルアップ制御端子
LQFP-120
11
48
117
端子番号
LQFP-100
43
97
LQFP-80
33
77
12
-
-
49
44
34
118
98
78
13
-
-
50
45
35
119
99
79
47
42
32
51
-
-
52
-
-
106
33
105
34
114
35
102
37
107
32
113
36
28
63
27
64
26
65
24
67
29
62
25
66
103
104
102
91
28
90
29
94
30
87
32
92
27
93
31
23
53
22
54
21
55
19
57
24
52
20
56
88
89
87
71
70
74
67
22
72
73
21
18
43
17
44
16
45
14
47
19
42
15
46
68
69
67
Page 30 of 113
S6E1B3 Series
端子機能
端子名
DTTI0X_0
DTTI0X_1
DTTI0X_2
FRCK0_0
FRCK0_1
FRCK0_2
IC00_0
IC00_1
IC00_2
機能説明
多機能タイマ 0 の RTO00~RTO05 出力を制
御する波形ジェネレータの入力信号
16 ビットフリーランタイマ ch.0 の外部ク
ロック入力端子
IC01_0
IC01_1
IC01_2
IC02_0
IC02_1
IC02_2
IC03_0
IC03_1
多機能タイマ 0 の 16 ビットインプットキャ
プチャの入力端子
ICxx は、チャネル数を示します。
IC03_2
Multi-function
Timer 0
RTO00_0
(PPG00_0)
RTO00_1
(PPG00_1)
RTO01_0
(PPG00_0)
RTO01_1
(PPG00_1)
RTO02_0
(PPG02_0)
RTO02_1
(PPG02_1)
RTO03_0
(PPG02_0)
RTO03_1
(PPG02_1)
RTO04_0
(PPG04_0)
RTO04_1
(PPG04_1)
RTO05_0
(PPG04_0)
RTO05_1
(PPG04_1)
IGTRG0_0
IGTRG0_1
Document Number: 002-00206 Rev.*A
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG00
として機能します。
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG00
として機能します。
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG02
として機能します。
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG02
として機能します。
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG04
として機能します。
多機能タイマ 0 の波形ジェネレータ出力端
子。
PPG0 出力モードで使用するときは、PPG04
として機能します。
PPG IGBT モード外部トリガ入力端子
LQFP-120
23
端子番号
LQFP-100
18
LQFP-80
13
79
69
-
115
95
75
18
13
-
80
70
-
63
22
75
64
53
17
65
54
43
55
44
21
16
-
76
66
56
65
55
45
20
15
-
77
66
19
78
67
56
14
68
57
46
-
67
57
47
24
19
14
86
71
58
25
20
15
85
-
-
26
21
16
84
-
-
27
22
17
83
-
-
28
23
18
82
-
-
29
24
19
81
-
-
48
116
43
96
33
76
Page 31 of 113
S6E1B3 Series
端子機能
端子名
機能説明
RTCCO_0
RTCCO_1
Real-time
Clock
RTCCO_2
SUBOUT_0
VBAT
45
24
19
14
72
55
19
45
14
HDMI-CEC/リモコン受信 ch.0 の入出力
49
44
34
116
8
107
96
8
92
76
8
72
63
53
43
WKUP2
88
73
60
WKUP3
116
96
76
WKUP4
14
9
9
102
87
67
50
45
35
WKUP7
48
43
33
WKUP8
28
23
18
WKUP9
8
8
8
WKUP10
WKUP11
LVDI
外部電源電圧モニタ用入力端子
97
20
37
82
15
32
22
ハイバネーション状態からの復帰信号入力
端子
45
40
30
オンボードレギュレータ制御用端子
44
39
29
外部リセット入力端子。
INITX=”L”のとき、リセットが有効です。
モード 0 端子。
通常動作時は、MD0=”L”を入力して下さい。
フラッシュメモリのシリアル書込み時は、
MD0=”H”を入力してください
モード 1 端子。
フラッシュメモリのシリアル書込み時は、
MD1=”L”を入力してください。通常動作時
の設定入力はありません。
41
36
26
57
47
37
56
46
36
CEC0_0
CEC1_0
CEC1_1
WKUP0
WKUP5
WKUP6
VWAKEUP
INITX
Mode
MD1
VBAT power
55
92
MD0
Power
65
65
24
REGCTL
Reset
LQFP-80
72
107
HDMI-CEC/リモコン受信 ch.1 の入出力
WKUP1
LowPower
Consumption
Mode
端子番号
LQFP-100
92
サブクロック出力端子
SUBOUT_1
SUBOUT_2
HDMI-CEC/
Remote Control
Reception
リアルタイムクロックのパルス出力端子
LQFP-120
107
VCC
VBAT
Document Number: 002-00206 Rev.*A
ディープスタンドバイモード復帰信号入力
端子
電源端子
VBAT 電源端子
バックアップ電源(バッテリー等)、またはシ
ステム電源をお使いください
1
1
1
31
26
-
40
35
25
61
51
41
91
76
-
46
41
31
Page 32 of 113
S6E1B3 Series
端子機能
GND
端子名
VSS
LQFP-80
20
39
34
24
60
50
40
75
-
100
80
メインクロック(発振)入力端子
58
48
38
X0A
サブクロック(発振)入力端子
42
37
27
X1
メインクロック(発振)I/O 端子
59
49
39
サブクロック(発振)I/O 端子
43
38
28
89
74
-
107
92
72
X1A
CROUT_1
Analog
GND
C pin
端子番号
LQFP-100
25
90
CROUT_0
Analog
Power
GND 端子
LQFP-120
30
120
X0
Clock
機能説明
内蔵高速 CR 発振クロック出力ポート
AVCC
A/D コンバータのアナログ電源端子
70
60
50
AVRH
A/D コンバータのアナログ基準電圧入力端
子
73
63
53
AVSS
A/D コンバータの GND 端子
71
61
51
電源安定化容量端子
38
33
23
C
*: PE0 はオープンドレイン端子です。”High”出力はできません。
Document Number: 002-00206 Rev.*A
Page 33 of 113
S6E1B3 Series
5. 入出力回路形式
分類
回路
備考
Pull-up
resistor
P-ch
P-ch
Digital output
X1
N-ch
Digital output
R
It is possible to select the main oscillation
Pull-up resistor control
Digital input
Standby mode control
Feedback
A
Clock input
resistor
/ GPIO function
When the main oscillation is selected.
Oscillation feedback resistor
: Approximately 1 MΩ
With standby mode control
When the GPIO is selected.
CMOS level output.
Standby mode control
Digital input
Standby mode control
Pull-up
resistor
R
P-ch
P-ch
Digital output
N-ch
Digital output
CMOS level hysteresis input
With pull-up resistor control
With standby mode control
Pull-up resistor
: Approximately 33 kΩ
IOH = -4mA, IOL= 4 mA
X0
Pull-up resistor control
Document Number: 002-00206 Rev.*A
Page 34 of 113
S6E1B3 Series
分類
回路
備考
Pull-up resistor
CMOS level hysteresis input
B
Pull-up resistor
Digital input
: Approximately 33 kΩ
Digital input
C
Digital output
N-ch
X0A
Open drain output
CMOS level hysteresis input
R
Digital input
D
Sub OSC/GPIO
select
・CMOS level output
・ Please refer to the "VBAT domain"
setting of IO in of the “Peripheral
Manual main part (MN710-00001)".
OSC
X1A
R
Digital input
Sub OSC/ GPIO
select
OSC
E
RX
It is possible to select the sub
oscillation / GPIO function
When the sub oscillation is selected.
・Oscillation feedback resistor
: Approximately 12 MΩ
When the GPIO is selected.
・CMOS level hysteresis input
Sub OSC enable
・Please refer to the "VBAT domain" setting
of IO in the “Peripheral Manual main part
Clock input
Document Number: 002-00206 Rev.*A
(MN710-00001)" .
Page 35 of 113
S6E1B3 Series
分類
回路
備考
・ CMOS level output
P-ch
P-ch
Digital output
・ CMOS level hysteresis input
・ With pull-up resistor control
・ With standby mode control
・ Pull-up resistor
F
: Approximately 33 kΩ
N-ch
Digital output
・ IOH = -4 mA, IOL = 4 mA
・ When this pin is used as an I2C pin, the
R
digital output P-ch transistor is always
off
Pull-up resistor control
Digital input
Standby mode control
P-ch
P-ch
Digital output
・ CMOS level output
・ CMOS level hysteresis input
・ With input control
N-ch
Digital output
・ Analog input
・ With pull-up resistor control
・ With standby mode control
G
・ Pull-up resistor
R
Pull-up resistor control
Digital input
Standby mode control
: Approximately 33 kΩ
・ IOH= -4 mA, IOL= 4 mA
・ When this pin is used as an I2C pin, the
digital output P-ch transistor is always
off
Analog input
Input control
Document Number: 002-00206 Rev.*A
Page 36 of 113
S6E1B3 Series
分類
回路
P-ch
P-ch
備考
Digital output
・ CMOS level output
・ CMOS level hysteresis input
・ With input control
・ Analog input
N-ch
Digital output
・ 5 V tolerant
・ With pull-up resistor control
・ With standby mode control
H
・ Pull-up resistor
Pull-up resistor control
R
Digital input
Standby mode control
: Approximately 33 kΩ
・ IOH= -4 mA, IOL= 4 mA
・ Available to control of PZR registers.
・ When this pin is used as an I2C pin, the
digital output P-ch transistor is always
off
Analog input
Input control
・ CMOS level output
P-ch
P-ch
Digital output
・ CMOS level hysteresis input
・ 5 V tolerant
・ With pull-up resistor control
・ With standby mode control
I
N-ch
Digital output
・ Pull-up resistor
: Approximately 33 kΩ
・ IOH= -4 mA, IOL= 4 mA
R
・ Available to control PZR registers
Pull-up resistor control
Digital input
・ When this pin is used as an I2C pin, the
digital output P-ch transistor is always
off
Standby mode control
Document Number: 002-00206 Rev.*A
Page 37 of 113
S6E1B3 Series
分類
回路
備考
Mode input
J
・ CMOS level hysteresis input
GPIO Digital output
GPIO Digital input/output direction
GPIO Digital input
GPIO Digital input circuit control
UDP output
UDP0/P0C
USB Full-speed/Low-speed control
UDP input
K
Di fferential
UDM0/P0B
It is possible to select the USB I/O / GPIO
function.
When the USB I/O is selected.
 Full-speed, Low-speed control
Differential input
USB/GPIO select
UDM input
UDM output
USB Digital input/output direction
GPIO Digital output
When the GPIO is selected.
 CMOS level output
 CMOS level hysteresis input
 With standby mode control
IOH= -39 mA, IOL= 39 mA
GPIO Digital input/output direction
GPIO Digital input
GPIO Digital input circuit control
Document Number: 002-00206 Rev.*A
Page 38 of 113
S6E1B3 Series
6. 取扱上のご注意
半導体デバイスは、ある確率で故障します。また、半導体デバイスの故障は、使用される条件(回路条件, 環境条件など)によっても
大きく左右されます。
以下に、半導体デバイスをより信頼性の高い状態で使用していただくために、注意・配慮しなければならない事項について説明し
ます。
6.1
設計上の注意事項
ここでは、半導体デバイスを使用して電子機器の設計を行う際に注意すべき事項について述べます。
絶対最大定格の遵守
半導体デバイスは、過剰なストレス (電圧, 電流, 温度など) が加わると破壊する可能性があります。この限界値を定めたものが絶
対最大定格です。従って、定格を一項目でも超えることのないようご注意ください。
推奨動作条件の遵守
推奨動作条件は、半導体デバイスの正常な動作を保証する条件です。電気的特性の規格値は、全てこの条件の範囲内で保証されま
す。常に推奨動作条件下で使用してください。この条件を越えて使用すると、信頼性に悪影響を及ぼすことがあります。
本資料に記載されていない項目, 使用条件, 論理組み合わせでの使用は、保証していません。記載されている以外の条件での使用
をお考えの場合は、必ず事前に営業部門までご相談ください。
端子の処理と保護
半導体デバイスには、電源および各種入出力端子があります。これらに対して以下の注意が必要です。
1. 過電圧・過電流の防止
各端子に最大定格を超える電圧・電流が印加されると、デバイスの内部に劣化が生じ、著しい場合には破壊に至ります。機
器の設計の際には、このような過電圧・過電流の発生を防止してください。
2. 出力端子の保護
出力端子を電源端子または他の出力端子とショートしたり、大きな容量負荷を接続すると大電流が流れる場合があります。
この状態が長時間続くとデバイスが劣化しますので、このような接続はしないようにしてください。
3. 未使用入力端子の処理
インピーダンスの非常に高い入力端子は、オープン状態で使用すると動作が不安定になる場合があります。適切な抵抗を介
して電源端子やグランド端子に接続してください。
ラッチアップ
半導体デバイスは、基板上に P 型と N 型の領域を形成することにより構成されます。外部から異常な電圧が加えられた場合、
内部の寄生 PNPN 接合 (サイリスタ構造) が導通して、数百 mA を越える大電流が電源端子に流れ続けることがあります。こ
れをラッチアップと呼びます。この現象が起きるとデバイスの信頼性を損ねるだけでなく、破壊に至り発熱・発煙・発火の
恐れもあります。これを防止するために、以下の点にご注意ください。
1. 最大定格以上の電圧が端子に加わることが無いようにしてください。異常なノイズ, サージ等にも注意してください。
2. 電源投入シーケンスを考慮し、異常な電流が流れないようにしてください。
管理番号: DS00-00004-3
Document Number: 002-00206 Rev.*A
Page 39 of 113
S6E1B3 Series
安全等の規制と規格の遵守
世界各国では、安全や、電磁妨害等の各種規制と規格が設けられています。お客様が機器を設計するに際しては、これらの規制と
規格に適合するようお願いします。
フェイル・セーフ設計
半導体デバイスは、ある確率で故障が発生します。半導体デバイスが故障しても、結果的に人身事故, 火災事故, 社会的な損害を
生じさせないよう、お客様は、装置の冗長設計, 延焼対策設計, 過電流防止設計, 誤動作防止設計などの安全設計をお願いします。
用途に関する注意
本資料に記載された製品は、通常の産業用, 一般事務用, パーソナル用, 家庭用などの一般的用途に使用されることを意図して設
計・製造されています。極めて高度な安全性が要求され、仮に当該安全性が確保されない場合、社会的に重大な影響を与えかつ直
接生命・身体に対する重大な危険性を伴う用途 (原子力施設における核反応制御, 航空機自動飛行制御,航空交通管制, 大量輸送シ
ステムにおける運行制御, 生命維持のための医療機器, 兵器システムにおけるミサイル発射制御をいう), ならびに極めて高い信頼
性が要求される用途 (海底中継器, 宇宙衛星をいう) に使用されるよう設計・製造されたものではありません。当社は、これらの用
途に当該製品が使用されたことにより発生した損害などについては、責任を負いかねますのでご了承ください。
6.2
パッケージ実装上の注意事項
パッケージには、リード挿入形と表面実装形があります。いずれの場合も、はんだ付け時の耐熱性に関する品質保証は,当社の推奨
する条件での実装に対してのみ適用されます。実装条件の詳細については営業部門までお問い合わせください。
リード挿入形
リード挿入形パッケージのプリント板への実装方法は、プリント板へ直接はんだ付けする方法とソケットを使用してプリント板に
実装する方法とがあります。
プリント板へ直接はんだ付けする場合は、プリント板のスルーホールにリード挿入後、噴流はんだによるフローはんだ方法 (ウェー
ブソルダリング法) が一般的に使用されます。この場合、はんだ付け実装時には、通常最大定格の保存温度を上回る熱ストレスが
リード部分に加わります。当社の実装推奨条件で実装してください。
ソケット実装方法でご使用になる場合、ソケットの接点の表面処理と IC のリードの表面処理が異なるとき、長時間経過後、接触
不良を起こすことがあります。このため、ソケットの接点の表面処理と IC のリードの表面処理の状態を確認してから実装するこ
とをお勧めします。
表面実装形
表面実装形パッケージは、リード挿入形と比較して、リードが細く薄いため、リードが変形し易い性質をもっています。また、パッ
ケージの多ピン化に伴い、リードピッチも狭く、リード変形によるオープン不良や、はんだブリッジによるショート不良が発生し
やすいため、適切な実装技術が必要となります。
当社ははんだリフロー方法を推奨し、製品ごとに実装条件のランク分類を実施しています。当社推奨のランク分類に従って実装し
てください。
鉛フリーパッケージ
BGA パッケージの Sn-Ag-Cu 系ボール品を Sn-Pb 共晶はんだにて実装した場合、使用状況により接合強度が低下することがありま
すのでご注意願います。
Document Number: 002-00206 Rev.*A
Page 40 of 113
S6E1B3 Series
半導体デバイスの保管について
プラスチックパッケージは樹脂でできているため、自然の環境に放置することにより吸湿します。吸湿したパッケージに実装時の
熱が加わった場合、界面剥離発生による耐湿性の低下やパッケージクラックが発生することがあります。以下の点にご注意くださ
い。
1. 急激な温度変化のある所では製品に水分の結露が起こります。このような環境を避けて、温度変化の少ない場所に保管してく
ださい。
2. 製品の保管場所はドライボックスの使用を推奨します。相対湿度 70%RH 以下, 温度 5°C~30°C で保管をお願いします。ドラ
イパッケージを開封した場合には湿度 40%~70%RH を推奨いたします。
3. 当社では必要に応じて半導体デバイスの梱包材として防湿性の高いアルミラミネート袋を用い、乾燥剤としてシリカゲルを使
用しております。半導体デバイスはアルミラミネート袋に入れて密封して保管してください。
4. 腐食性ガスの発生する場所や塵埃の多い所は避けてください。
ベーキングについて
吸湿したパッケージはベーキング (加熱乾燥) を実施することにより除湿することが可能です。
ベーキングは、当社の推奨する条件で実施してください。
条件:125°C/24 時間
静電気
半導体デバイスは静電気による破壊を起こしやすいため、以下の点についてご注意ください。
1. 作業環境の相対湿度は 40 % ~ 70%RH にしてください。
除電装置 (イオン発生装置) の使用なども必要に応じて検討してください。
2. 使用するコンベア, 半田槽, 半田ゴテ, および周辺付帯設備は大地に接地してください。
3. 人体の帯電防止のため、指輪または腕輪などから高抵抗 (1 MΩ 程度) で大地に接地したり、導電性の衣服・靴を着用し、床に
導電マットを敷くなど帯電電荷を最小限に保つようにしてください。
4. 治具, 計器類は, 接地または帯電防止化を実施してください。
5. 組立完了基板の収納時、発泡スチロールなどの帯電し易い材料の使用は避けてください。
Document Number: 002-00206 Rev.*A
Page 41 of 113
S6E1B3 Series
6.3
使用環境に関する注意事項
半導体デバイスの信頼性は、先に述べました周囲温度とそれ以外の環境条件にも依存します。ご使用にあたっては、以下の点にご
注意ください。
1. 湿度環境
高湿度環境下での長期の使用は、デバイス自身だけでなくプリント基板等にもリーク性の不具合が発生する場合があります。
高湿度が想定される場合は、防湿処理を施す等の配慮をお願いします。
2. 静電気放電
半導体デバイスの直近に高電圧に帯電したものが存在すると、放電が発生し誤動作の原因となることがあります。
このような場合、帯電の防止または放電の防止の処置をお願いします。
3. 腐食性ガス, 塵埃, 油
腐食性ガス雰囲気中や、塵埃, 油等がデバイスに付着した状態で使用すると、化学反応によりデバイスに悪影響を及ぼす場合
があります。このような環境下でご使用の場合は、防止策についてご検討ください。
4. 放射線・宇宙線
一般のデバイスは、設計上、放射線, 宇宙線にさらされる環境を想定しておりません。したがって、これらを遮蔽してご使用
ください。
5. 発煙・発火
樹脂モールド型のデバイスは、不燃性ではありません。発火物の近くでは、ご使用にならないでください。発煙・発火しま
すと、その際に毒性を持ったガスが発生する恐れがあります。
その他、特殊な環境下でのご使用をお考えの場合は、営業部門にご相談ください。
Document Number: 002-00206 Rev.*A
Page 42 of 113
S6E1B3 Series
7. デバイス使用上の注意
電源端子について
VCC, VSS 端子が複数ある場合、デバイス設計上はラッチアップなどの誤動作を防止するためにデバイス内部で同電位にすべきも
のどうしを接続してありますが、不要輻射の低減・グランドレベルの上昇によるストローブ信号の誤動作の防止・総出力電流規格
を遵守などのために、必ずそれらすべてを外部で電源およびグランドに接続してください。また、電流供給源からできる限り低イ
ンピーダンスで本デバイスの各電源端子と GND 端子に接続してください。
さらに、本デバイスの近くで各電源端子 と GND 端子の間に 0.1μF 程度のセラミックコンデンサをバイパスコンデンサとして接
続することを推奨します。
電源電圧の安定化について
電源電圧の変動が VCC の推奨動作条件内においても、急激な変化があると誤動作することがあります。安定化の基準として VCC
は、商用周波数 (50 Hz~60 Hz) におけるリプル変動(ピークピーク値) を推奨動作条件内の 10%以内にしてください。かつ電源切
換えによる瞬間変動の過渡変動率は 0.1V/μs 以下にしてください。
水晶発振回路について
X0/X1, X0A/X1A 端子の近辺のノイズは本デバイスの誤動作の原因となります。X0/X1, X0A/X1A 端子および水晶振動子さらにグ
ランドへのバイパスコンデンサはできる限り近くに配置するようにプリント板を設計してください。
また、X0/X1, X0A/X1A 端子の周りをグランドで囲むようなプリント板アートワークは安定した動作を期待できるため、強く推奨
します。
実装基板にて、使用する水晶振動子の発振評価を実施してください。
サブクロック用水晶振動子について
本シリーズのサブクロック発振回路は消費電流を低く抑えた設計を行っており、増幅度が低い回路となっています。安定した発振
をさせるためサブクロック用水晶振動子には、以下の条件を満たす水晶振動子の使用を推奨します。
 表面実装タイプ
サイズ: 3.2mm × 1.5mm 以上
負荷容量: 6pF~7pF 程度
 リードタイプ
負荷容量: 6pF~7pF 程度
Document Number: 002-00206 Rev.*A
Page 43 of 113
S6E1B3 Series
外部クロック使用時の注意
メインクロックの入力として外部クロックを使用する場合は、X0/X1 端子を外部クロック入力に設定し、X0 端子にクロックを入
力してください。X1(PE3)端子は汎用 I/O ポートとして使用できます。
同様にサブクロックの入力として外部クロックを使用する場合は、X0A/X1A 端子を外部クロック入力に設定し、X0A 端子にク
ロックを入力してください。X1A(P47)端子は汎用 I/O ポートとして使用できます。
Example of Using an External Clock
Device
X0(X0A)
Can be used as
general-purpose
I/O ports.
Set as
External clock
input
X1(PE3),
X1A (P47)
2
マルチファンクションシリアル端子を I C 端子として使用する場合の扱いについて
2
マルチファンクションシリアル端子を I C 端子として使用する場合、デジタル出力 P-ch トランジスタは常にディセーブルです。し
かし、I2C 端子もほかの端子と同様に、デバイスの電気的特性を守り、電源をオフにしたまま外部 I2C バスシステムへ接続してはい
けません。
C 端子について
本シリーズはレギュレータを内蔵しています。必ず C 端子と GND 端子の間にレギュレータ用の平滑コンデンサ(CS)を接続してく
ださい。平滑コンデンサにはセラミックコンデンサまたは同程度の周波数特性のコンデンサを使用してください。
なお、積層セラミックコンデンサは、温度による容量値の変化幅に特性(F 特性,Y5V 特性)を持つものがあります。コンデンサの
温度特性を確認し、使用条件において規格値を満たすコンデンサを使用してください。
本シリーズでは 4.7μF 程度の平滑コンデンサを推奨します。
C
Device
CS
VSS
GND
モード端子(MD0)について
モード端子(MD0)は VCC 端子または VSS 端子に直接接続してください。内蔵フラッシュメモリ書換えなどの目的で、モード端子
レベルを変更できるようにプルアップまたはプルダウンをする場合には、ノイズによりデバイスが意図せずテストモードに入るの
を防止するため、プルアップまたはプルダウンに使用する抵抗値はできるだけ低く抑えると共に、モード端子から VCC 端子また
は VSS 端子への距離を最小にし、できるだけ低インピーダンスで接続するようにプリント基板を設計してください。
Document Number: 002-00206 Rev.*A
Page 44 of 113
S6E1B3 Series
電源投入時について
電源を投入/切断する際は同時か、あるいは次の順番で投入/切断を行ってください。
投入時:
切断時:
VBAT → VCC
VCC → AVCC → AVRH
VCC → VBAT
AVRH → AVCC → VCC
シリアル通信について
シリアル通信においては、ノイズなどにより間違ったデータを受信する可能性があります。そのため、ノイズを抑えるボードの設
計をしてください。
また、万が一ノイズなどの影響により誤ったデータを受信した場合を考慮し、最後にデータの
チェックサムなどを付加してエラー検出を行ってください。エラーが検出された場合には、再送を行うなどの処理をしてください。
メモリサイズの異なる製品間およびフラッシュメモリ製品と MASK 製品の特性差について
メモリサイズの異なる製品間およびフラッシュメモリ製品と MASK 製品ではチップレイアウトやメモリ構造の違いにより消費電
流や ESD, ラッチアップ, ノイズ特性, 発振特性等を含めた電気的特性が異なります。
お客様にて同一シリーズの別製品に切り換えて使用する際は、電気的特性の評価を行ってください。
5V トレラント I/O のプルアップ機能について
5V トレラント I/O のプルアップ機能使用時は VCC 電圧以上の信号を入力してはいけません。
デバッグ機能を兼用している端子について
SWDIO/SWCLK と兼用している端子は出力のみで使用してください。入力として使用してはいけません。
Document Number: 002-00206 Rev.*A
Page 45 of 113
S6E1B3 Series
8. ブロックダイヤグラム
S6E1B36G
To PIN-Function-Ctrl
SWCLK
SWDIO
SW-DP
Fast
GPIO
Cortex-M0+ Core
@40 MHz(Max)
Dual-Timer
WatchDog Timer
Clock Reset
Generator
INITX
WatchDog
Timer
AHB-APB Bridge
System ROM
Multi-layer AHB (Max 40 MHz)
NVIC
On-Chip SRAM
64 Kbyte
MTB
Bit
Band
Flash I/F
Securit
DSTC
64ch.
X1
X0A
X1A
Source Clock
Main
Osc
PLL
Sub
Osc
CR
4MHz
Vbat domain
AHB-AHB
Bridge
CSV
X0
CR
100kHz
AVRH
AVRL
USB2.0
(Host/Devic
e)
PH
12-bit A/D Converter
Unit 0
ANxx
LVD Ctrl
LVD
IRQ-Monitor
Regulator
VWAKEUP
RTCCO
SUBOUT
Vbat domain
Real-Time Clock
CRC Accelerator
A/D Activation
Compare 3ch.
ICOx
FRCKx
16-bit Input
Capture
16-bit Free-run
Timer
16-bit Output
Compare 6ch.
DTTI0x
RTO0x
IGTRGx
PORTCTL
AHB-APB Bridge: APB1 (Max 40 MHz)
TIOBx
Base Timer
16-bit 16ch.
32-bit 8ch.
MD0
MD1
Low-speed CR
Prescaler
Peripheral Clock
GPIO
Multi-function
Serial I/F
8ch.
(with FIFO)
16-bit PPG
3ch.
I2S Clock
Generator
Smart Card I/F
2ch.
Document Number: 002-00206 Rev.*A
INTx
NMIX
MODE-ctrl
Waveform
Generator
Deep Standby Ctrl
VREGCTL
External Interrupt
Controller
24pin + NMI
Multi-function Timer
WKUPx
C
Watch Counter
ADTG
TIOAx
UDP0, UDM0
UHCONX0
Power-On
Reset
CROUT
AVCC
AVSS
On-Chip Flash
560 Kbyte
To Fast GPIO
PIN-Function-Ctrl
P0x
P1x
.
Pxx
SCKx
SINx
SOTx
SCSx
MI2SCKx
MI2SWSx
ICx_CLK
ICx_VCC
ICx_VPEN
ICx_RST
ICx_CIN
ICx_DATA
Page 46 of 113
S6E1B3 Series
9. メモリマップ
メモリマップ (1)
0x41FF_FFFF
Reserved
0xFFFF_FFFF
Reserved
0xF800_8000
0xF800_0000 IOP(single cycle IO)
0xF000_2000
0xF000_1000
0xF000_0000
0xE000_0000
Reserved
MTB_DWT
CM0+-CoresightMTB(SFR)
Cortex-M0 Private
Peripherals
Reserved
0x4400_0000
0x4200_0000
32Mbytes Bit band
alias
Peripherals
0x4000_0000
0x2400_0000
0x2200_0000
Reserved
32Mbytes Bit band
alias
0x2008_0000
0x2000_0000
0x0010_4000
0x0010_2000
0x0010_0000
0x0008_C000
Reserved
0x4006_2000
0x4006_1000
CR trimming
Security
Reserved
0x4003_CB00
0x4003_CA00
0x4003_C900
USB ch.0
Reserved
2
I S Clock Generator
Smart Card I/F
Reserved
0x4003_C200
Peripheral Clock Gating
0x4003_C100
Low Speed CR Prescaler
0x4003_C000
RTC
0x4003_B000
Watch Counter
0x4003_A000
CRC
0x4003_9000
MFS
0x4003_8000
Reserved
0x4003_7000
USB Clock Generator
0x4003_6000
LVD / DS mode / Vref Calibration
0x4003_5000
0x4003_4000 HDMI-CEC/Remote Control Receiver
GPIO
0x4003_3000
Reserved
0x4003_2000
Int_Req. Read
0x4003_1000
EXTI
0x4003_0000
Reserved
0x4002_F000
CR Trim
0x4002_E000
Reserved
0x4002_8000
0x4002_7000
0x4002_6000
0x4002_5000
0x4002_4000
0x4002_1000
0x4002_0000
Flash
0x0000_0000
Reserved
0x4005_0000
0x4004_0000
SRAM
Reserved
DSTC
A/DC
Reserved
Base Timer
PPG
Reserved
MFT unit0
Reserved
0x4001_6000
0x4001_5000
0x4001_3000
0x4001_2000
0x4001_1000
0x4001_0000
Dual Timer
Reserved
SW WDT
HW WDT
Clock/Reset
Reserved
See "Memory map (2)" for
the memory size details.
Document Number: 002-00206 Rev.*A
0x4000_1000
0x4000_0000
Flash I/F
Page 47 of 113
S6E1B3 Series
メモリマップ (2)
S6E1B36G
S6E1B34G
0x2008_0000
0x2008_0000
Reserved
Reserved
0x2001_0000
0x2001_0000
SRAM
4 Kbytes
0x2000_F000
SRAM
60 Kbytes
0x2000_F000
SRAM
4 Kbytes
0x2000_8000
SRAM
28 Kbytes
0x2000_0000
Reserved
Reserved
0x0010_4000
0x0010_2000
CR trimming
0x0010_4000
0x0010_2000
CR trimming
0x0010_0000
Security
0x0010_0000
Security
Reserved
0x0008_C000
Reserved
SA0-5 (8 KBx6)
0x0004_C000
SA6-9 (8 KBx4)
0x0000_0000
SA0-5 (8 KBx6)
Flash
304 Kbytes
0x0000_0000
Flash
560 Kbytes
SA6-13 (8 KBx8)
*: フラッシュメモリの詳細は『S6E1B8 シリーズ フラッシュプログラミングマニュアル』を参照してください。
Document Number: 002-00206 Rev.*A
Page 48 of 113
S6E1B3 Series
ペリフェラル・アドレスマップ
スタートアドレ
ス
エンドアドレス
0x4000_0000
0x4000_0FFF
0x4000_1000
0x4000_FFFF
0x4001_0000
0x4001_0FFF
クロック・リセット制御
0x4001_1000
0x4001_1FFF
ハードウェアウォッチドッグタイマ
0x4001_2000
0x4001_2FFF
0x4001_3000
0x4001_4FFF
バス
AHB
APB0
周辺機能
フラッシュメモリ I/F レジスタ
予約
ソフトウェアウォッチドッグタイマ
予約
0x4001_5000
0x4001_5FFF
デュアルタイマ
0x4001_6000
0x4001_FFFF
予約
0x4002_0000
0x4002_0FFF
多機能タイマ unit0
0x4002_1000
0x4002_3FFF
予約
0x4002_4000
0x4002_4FFF
PPG
0x4002_5000
0x4002_5FFF
ベースタイマ
0x4002_6000
0x4002_6FFF
予約
0x4002_7000
0x4002_7FFF
A/D コンバータ
0x4002_8000
0x4002_DFFF
予約
0x4002_E000
0x4002_EFFF
内蔵 CR トリミング
0x4002_F000
0x4002_FFFF
予約
0x4003_0000
0x4003_0FFF
外部割込み
0x4003_1000
0x4003_1FFF
割込み要因確認レジスタ
0x4003_2000
0x4003_2FFF
予約
0x4003_3000
0x4003_3FFF
0x4003_4000
0x4003_4FFF
0x4003_5000
0x4003_6000
0x4003_7000
0x4003_5FFF
0x4003_6FFF
0x4003_7FFF
低電圧検出
USB クロック設定
予約
0x4003_8000
0x4003_8FFF
マルチファンクションシリアル
0x4003_9000
0x4003_9FFF
CRC
0x4003_A000
0x4003_AFFF
時計カウンタ
0x4003_B000
0x4003_BFFF
Real-time clock
0x4003_C000
0x4003_C0FF
低速 CR 補正
0x4003_C100
0x4003_C7FF
周辺クロック停止
0x4003_C800
0x4003_C900
0x4003_CA00
0x4003_CB00
0x4004_0000
0x4006_0000
0x4006_1000
0x4006_2000
0x4003_FFFF
0x4003_C9FF
0x4003_CAFF
0x4003_FFFF
0x4005_FFFF
0x4006_0FFF
0x4006_1FFF
0x41FF_FFFF
予約
Smart Card インタフェース
I2S クロック設定
予約
USB ch.0
予約
DSTC
予約
Document Number: 002-00206 Rev.*A
APB1
AHB
GPIO
HDMI-CEC/リモコン受信
Page 49 of 113
S6E1B3 Series
10. 各 CPU ステートにおける端子状態
端子の状態として使用している語句は、以下の意味を持ちます。
 INITX=0
INITX 端子が"L"レベルの期間です。
 INITX=1
INITX 端子が"H"レベルの期間です。
 SPL=0
スタンバイモードコントロールレジスタ(STB_CTL)のスタンバイ端子レベル設定ビット(SPL)が"0"に設定さ
れた状態です。
 SPL=1
スタンバイモードコントロールレジスタ(STB_CTL)のスタンバイ端子レベル設定ビット(SPL)が"1"に設定さ
れた状態です。
 Input enabled
入力機能が使用可能な状態です。
 Internal input fixed at "0"
入力機能が使用できない状態です。内部入力は"L"に固定されます。
 Hi-Z
端子駆動用トランジスタを駆動禁止状態にし、端子を Hi-Z にします。
 Setting disabled
設定できません。
 Maintain previous state
本モードに遷移する直前の状態を保持します。
内蔵されている周辺機能が動作中であれば、その周辺機能に従います。
ポートとして使用している場合は、その状態を保持します。
 Analog input is enabled
アナログ入力が許可されています。
 Trace output
トレース機能が使用可能な状態です。
 GPIO selected
ディープスタンバイモード時、汎用 I/O ポートに切り替わります。
Document Number: 002-00206 Rev.*A
Page 50 of 113
S6E1B3 Series
端子状態形式
端子状態一覧表
パワーオン
デバイス
リセット
INITX
内部
または
入力状態 リセット
低電圧検出
グループ
状態
状態
機能名
Run モー
ドまたは
Sleep
モード
状態
電源不安定 電源安定 電源安定
INITX=0 INITX=1
-
電源安定
INITX=1
-
GPIO
選択時
メイン水
晶発振入
A
力端子 /
外部メイ
ンクロッ
ク入力選
択時
設定不可
入力可
設定不可 設定不可
入力可
入力可
直前状態
保持
タイマモード,
RTC モード
または
ストップモード状態
ディープスタンバイ
RTC モード
または
ディープスタンバイ
ストップモード
状態
電源安定
INITX=1
SPL=0
SPL=1
Hi-Z /
直前状態
内部入力
保持
”0”固定
電源安定
INITX=1
SPL=0
SPL=1
Hi-Z /
GPIO 選択
/ 内部入力 内部入力
“0”固定
“0”固定
ディープ
スタンバイ
モード
復帰直後
状態
電源安定
INITX=1
GPIO 選択
入力可
入力可
入力可
入力可
入力可
入力可
設定不可
設定不可 設定不可
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
”0”固定
GPIO 選択
/ 内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
外部メイ
ンクロッ
ク入力選
B
択時
設定不可
設定不可 設定不可
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
”0”固定
GPIO 選択
/ 内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
直前状態
保持
メイン水
晶発振出
力端子
Hi-Z /
内部入力
“0”固定
または
入力可
Hi-Z /
Hi-Z /
内部入力 内部入力
“0”固定
“0”固定
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
Pull-up /
入力可
入力可
入力可
入力可
入力可
入力可
入力可
入力可
入力可
入力可
GPIO
選択時
INITX
入力端子
モード
D
入力端子
C
Document Number: 002-00206 Rev.*A
直前状態保持 /
発振停止時*1 は Hi-Z /
内部入力“0”固定
Page 51 of 113
端子状態形式
S6E1B3 Series
パワーオン
デバイス
リセット
INITX
内部
または
入力状態 リセット
グループ 低電圧検出
状態
状態
機能名
Run モー
ドまたは
Sleep
モード
状態
電源不安定 電源安定 電源安定
INITX=0 INITX=1
-
電源安定
INITX=1
-
GPIO
選択時
サブ水晶
発振入力
E
端子 /
外部サブ
クロック
入力
選択時
GPIO
選択時
外部サブ
クロック
入力
選択時
F
設定不可
入力可
設定不可 設定不可
入力可
入力可
シリアル
ワイヤ
デバッグ
H 選択時
GPIO
選択時
リソース
選択時
I
GPIO
選択時
電源安定
INITX=1
SPL=0
SPL=1
Hi-Z /
直前状態
内部入力
保持
“0”固定
ディープスタンバイ
RTC モード
または
ディープスタンバイ
ストップモード
状態
ディープ
スタンバイ
モード
復帰直後
状態
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
-
入力可
Hi-Z /
入力可
入力可
入力可
入力可
入力可
GPIO 選択
Hi-Z /
入力可
GPIO 選択
設定不可
設定不可 設定不可
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択
/ 内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
設定不可
設定不可 設定不可
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
“0”固定
直前状態
保持
Hi-Z /
内部入力
“0”固定
直前状態
保持
Hi-Z /
Hi-Z /
Hi-Z /
サブ水晶 Internal input
内部入力 内部入力
発振出力 fixed at 0/
“0”固定
Input enabled “0”固定
NMIX
選択時
上記以外
の
G
リソース
選択時
GPIO
選択時
直前状態
保持
タイマモード,
RTC モード
または
ストップモード状態
設定不可
Hi-Z /
入力可
Hi-Z /
入力可
Hi-Z
Pull-up /
入力可
Pull-up /
入力可
Hi-Z
直前状態
保持
設定不可 設定不可
Hi-Z
設定不可
直前状態
保持
直前状態
直前状態
直前状態
直前状態
直前状態
保持 /
保持 /
保持 /
保持 /
保持 /
発振停止
発振停止
発振停止
発振停止
発振停止
時*2 Hi-Z / 時*2 Hi-Z / 時*2 Hi-Z / 時*2 Hi-Z / 時*2 Hi-Z /
内部入力
内部入力
内部入力
内部入力
内部入力
“0”固定
“0”固定
“0”固定
“0”固定
“0”固定
直前状態
保持
直前状態
保持
直前状態
保持
直前状態
保持
設定不可 設定不可
Hi-Z /
入力可
Document Number: 002-00206 Rev.*A
Hi-Z /
入力可
直前状態
保持
直前状態
保持
WKUP
入力可
Hi-Z /
WKUP
入力可
GPIO 選択
直前状態
保持
直前状態
保持
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択
/ 内部入力
“0”固定
GPIO 選択
/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
Hi-Z /
内部入力
“0”固定
GPIO 選択
Hi-Z /
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
Page 52 of 113
端子状態形式
S6E1B3 Series
パワーオン
デバイス
リセット
INITX
内部
または
入力状態 リセット
グループ 低電圧検出
状態
状態
機能名
Run モー
ドまたは
Sleep
モード
状態
タイマモード,
RTC モード
または
ストップモード状態
ディープスタンバイ
RTC モード
または
ディープスタンバイ
ストップモード
状態
ディープ
スタンバイ
モード
復帰直後
状態
電源不安定 電源安定 電源安定
INITX=0 INITX=1
-
電源安定
INITX=1
-
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
-
外部
割込み
許可時
上記以外
J
の
リソース
選択時
GPIO
選択時
アナログ
入力
選択時
K
上記以外
の
リソース
選択時
GPIO
選択時
アナログ
入力
選択時
外部
割込み
L
許可時
上記以外
の
リソース
選択時
設定不可
直前状態
保持
設定不可 設定不可
GPIO 選択
/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
直前状態
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択
/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
直前状態
保持 /
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択/
内部入力
“0”固定
直前状態
保持
直前状態
保持
Hi-Z /
Hi-Z /
内部入力 内部入力
“0”固定 / “0”固定 /
アナログ アナログ
入力可
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
設定不可
設定不可 設定不可
直前状態
保持
Hi-Z
Hi-Z /
Hi-Z /
内部入力 内部入力
“0”固定 / “0”固定 /
アナログ アナログ
入力可
入力可
Hi-Z /
内部入力
“0”固定 /
アナログ
入力可
Hi-Z
Hi-Z
Hi-Z /
入力可
Hi-Z /
入力可
Hi-Z /
内部入力
“0”固定
直前状態
保持
設定不可
設定不可 設定不可
直前状態
保持
直前状態
保持
入力可
入力可
入力可
入力可
入力可
入力可
直前状態
保持
直前状態
保持
Hi-Z /
入力可
GPIO 選択
Hi-Z /
入力可
GPIO 選択
GPIO
選択時
モード
入力端子
入力可
GPIO
選択時
設定不可
入力可
入力可
Hi-Z /
内部入力
“0”固定
M
設定不可 設定不可
Document Number: 002-00206 Rev.*A
Page 53 of 113
端子状態形式
S6E1B3 Series
パワーオン
デバイス
リセット
INITX
内部
または
入力状態 リセット
グループ 低電圧検出
状態
状態
機能名
Run モー
ドまたは
Sleep
モード
状態
タイマモード,
RTC モード
または
ストップモード状態
ディープスタンバイ
RTC モード
または
ディープスタンバイ
ストップモード
状態
ディープ
スタンバイ
モード
復帰直後
状態
電源不安定 電源安定 電源安定
INITX=0 INITX=1
-
電源安定
INITX=1
-
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
-
WKUP
許可時
外部割込
み許可
N 選択時
上記以外
の
リソース
選択時
GPIO
選択時
WKUP
入力可
設定不可
直前状態
保持
設定不可 設定不可
直前状態
保持
Hi-Z
Hi-Z /
入力可
直前状態
保持
Hi-Z /
内部入力
“0”固定
Hi-Z /
入力可
CEC
選択時
WKUP
許可時
O
設定不可
設定不可 設定不可
外部割込
み許可
選択時
上記以外
の
リソース
選択時
GPIO
選択時
直前状態
保持
直前状態
保持
Hi-Z
Hi-Z /
入力可
Document Number: 002-00206 Rev.*A
Hi-Z /
入力可
Hi-Z /
WKUP
入力可
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
直前状態
保持
直前状態
保持
WKUP
入力可
Hi-Z /
WKUP
入力可
直前状態
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択/
内部入力
“0”固定
直前状態
保持
GPIO 選択/
内部入力
“0”固定
Page 54 of 113
端子状態形式
S6E1B3 Series
パワーオン
デバイス
リセット
INITX
内部
または
入力状態 リセット
グループ 低電圧検出
状態
状態
機能名
Run モー
ドまたは
Sleep
モード
状態
タイマモード,
RTC モード
または
ストップモード状態
ディープスタンバイ
RTC モード
または
ディープスタンバイ
ストップモード
状態
ディープ
スタンバイ
モード
復帰直後
状態
電源不安定 電源安定 電源安定
INITX=0 INITX=1
-
電源安定
INITX=1
-
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
SPL=0
SPL=1
電源安定
INITX=1
-
リソース
選択時
P
Hi-Z
Hi-Z /
入力可
Hi-Z /
入力可
直前状態
保持
直前状態
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
直前状態
保持
直前状態
保持
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
GPIO 選択
Hi-Z /
入力可
Hi-Z /
入力可
Hi-Z /
入力可
Hi-Z /
入力可
Hi-Z /
入力可
直前状態
保持
Hi-Z
GPIO
Hi-Z
GPIO
Hi-Z /
内部入力
WKUP
GPIO
選択時
外部割込
み許可
選択時
設定不可
Q
設定不可 設定不可
直前状態
保持
USB IO
GPIO
選択時
Hi-Z
Hi-Z /
入力可
Hi-Z /
入力可
WKUP
許可時
R
外部割込
み許可
選択時
上記以外
の
リソース
選択時
GPIO
選択時
設定不可
設定不可
設定不可
直前状態
保持
Hi-Z
Hi-Z /
Hi-Z /
内部入力 内部入力
“0”固定
“0”固定
入力可
“0”固定
直前状態
直前状態
保持
保持
Hi-Z /
内部入力
“0”固定
GPIO 選択/
内部入力
“0”固定
Hi-Z /
WKUP
入力可
GPIO 選択/
内部入力
“0”固定
Hi-Z /
内部入力
“0”固定
直前状態
保持 /
内部入力
直前状態
保持 /
内部入力
“0”固定
“0”固定
*1: サブタイマモード,低速 CR タイマモード,ストップモード,RTC モードのときは発振停止
*2: ストップモードのときは発振停止
Document Number: 002-00206 Rev.*A
Page 55 of 113
S6E1B3 Series
11. 電気的特性
11.1 絶対最大定格
項目
1, 2
記号
VCC
AVCC
AVRH
電源電圧* *
アナログ電源電圧*1, *3
アナログ基準電圧*1, *3
入力電圧*1
VI
1
定格値
最小
VSS - 0.5
VSS - 0.5
VSS - 0.5
VSS - 0.5
VSS - 0.5
アナログ端子入力電圧*
VIA
VSS - 0.5
出力電圧*1
VO
VSS - 0.5
"L"レベル最大出力電流*4
IOL
-
IOLAV
∑IOL
∑IOLAV
-
IOH
-
IOHAV
∑IOH
∑IOHAV
PD
TSTG
- 55
"L"レベル平均出力電流*5
"L"レベル最大総出力電流
"L"レベル平均総出力電流*6
"H"レベル最大出力電流*4
"H"レベル平均出力電流*5
"H"レベル最大総出力電流
"H"レベル平均総出力電流*6
消費電力
保存温度
*1: VSS = AVSS =0V を基準にした値です。
最大
VSS + 4.6
VSS + 4.6
VSS + 4.6
VCC + 0.5
(≤ 4.6 V)
VSS + 6.5
VCC + 0.5
(≤ 4.6 V)
VCC + 0.5
(≤ 4.6 V)
10
39
4
100
50
- 10
- 39
-4
- 100
- 50
250
+ 150
単位
備考
V
V
V
V
V
5 V トレラント
V
V
mA
mA
mA
mA
mA
mA
mA
mA
mA
mA
mW
°C
P0B / P0C
P0B / P0C
*2: VCC は VSS - 0.5V より低くなってはいけません。
*3: 電源投入時 VCC + 0.5V を超えてはいけません。
*4: 最大出力電流は、該当する端子 1 本のピーク値を規定します。
*5: 平均出力電流は、該当する端子 1 本に流れる電流の 100ms の期間内での平均電流を規定します。
*6: 平均総出力電流は、該当する端子すべてに流れる電流の 100ms の期間内での平均電流を規定します。
*7: P0C/UDP0 および P0B/UDM0 端子を GPIO (P0C, P0B)として使用した場合
*8: P0C/UDP0 および P0B/UDM0 端子を USB (UDP0, UDM0)として使用した場合
<注意事項>
−
絶対最大定格を超えるストレス (電圧, 電流, 温度など) の印加は、半導体デバイスを破壊する可能性があります。したがっ
て、定格を一項目でも超えることのないようご注意ください。
Document Number: 002-00206 Rev.*A
Page 56 of 113
S6E1B3 Series
11.2 推奨動作条件
(VSS=AVSS=0.0 V)
項目
記号
条件
電源電圧
VCC
-
アナログ電源電圧
AVCC
-
アナログ基準電圧
AVRH
-
AVRL
CS
平滑コンデンサ容量
規格値
最小
1.65 *5
2.2
3.0
1.65
最大
3.6
3.6
3.6
3.6
2.7
-
単位
備考
V
V
V
V
*1
AVCC=VCC
AVCC
V
AVCC ≥ 2.7 V
AVCC
AVSS
AVCC
AVSS
V
V
AVCC < 2.7 V
1
10
μF
+ 105
°C
レギュレータ用
*4
TA
- 40
動作温度
*1: P0C/UDP0 および P0B/UDM0 端子を USB (UDP0, UDM0)として使用する場合
*2: 平滑コンデンサの接続方法は、
「7. デバイス使用上の注意」の「C 端子について」を参照してください。
*3: 電源電圧が最小値未満かつ低電圧リセット/割込み検出電圧以上の間は、内蔵高速 CR クロック(メイン
PLL 使用含む)または内蔵低速 CR クロックでの命令実行と低電圧検出のみ動作可能です。
<注意事項>
1. 推奨動作条件は、半導体デバイスの正常な動作を確保するための条件です。電気的特性の規格値は、すべてこの条件の範囲内
で保証されます。常に推奨動作条件下で使用してください。
2.
この条件を超えて使用すると、信頼性に悪影響を及ぼすことがあります。
3.
データシートに記載されていない項目, 使用条件, 論理の組合せでの使用は、保証していません。
4.
記載されている以外の条件での使用をお考えの場合は、必ず事前に営業部門までご相談ください。
Document Number: 002-00206 Rev.*A
Page 57 of 113
S6E1B3 Series
11.3 直流規格
11.3.1 電流規格
記号
HCLK
条件
(端子名)
4MHz 外部クロック入力、PLL 使用*8
NOP 命令実行
内蔵高速 CR 停止
CKENx で全ての周辺クロックを停止
8
ランモード
Flash 実行
4MHz 外部クロック入力、PLL 使用*
ベンチマーク実行
内蔵高速 CR 停止
PCLK1 停止
4MHz 水晶発振、PLL 使用*8
NOP 命令実行
内蔵高速 CR 停止
CKENx で全ての周辺クロックを停止
8
ICC
(VCC)
ランモード
RAM 実行
最大*2
0.7
1.9
8 MHz
1.5
2.7
20 MHz
3.1
4.4
40 MHz
5.5
7.2
4 MHz
0.65
1.8
8 MHz
1.4
2.6
20 MHz
2.9
4.2
40 MHz
5.2
6.8
4 MHz
0.9
2.1
8 MHz
1.6
2.9
20 MHz
3.2
4.7
40 MHz
5.7
7.4
単位
備考
mA
*3
mA
*3
mA
*3
mA
*3
0.5
1.3
8 MHz
1.1
2.0
20 MHz
2.2
3.1
40 MHz
4.2
5.1
40 MHz
2.6
3.8
mA
*3,*6,*7
4 MHz
0.9
2.2
mA
*3
32 kHz
96
1250
μA
*3
100 kHz
120
1260
μA
*3
4 MHz
0.5
1.3
8 MHz
0.9
1.8
20 MHz
1.7
2.8
mA
*3
40 MHz
3
4.3
内蔵高速 CR
CKENx で全ての周辺クロックを停止
4 MHz
0.6
1.7
mA
*3
32kHz 水晶発振
CKENx で全ての周辺クロックを停止
32 kHz
94
960
μA
*3
内蔵低速 CR
CKENx で全ての周辺クロックを停止
100 kHz
105
980
μA
*3
ランモード
Flash 実行
4MHz 外部クロック入力、PLL 使用
NOP 命令実行
内蔵高速 CR 停止
PCLK1 停止
ランモード
Flash 実行
内蔵高速 CR*5
NOP 命令実行
CKENx で全ての周辺クロックを停止
32kHz 水晶発振
NOP 命令実行
CKENx で全ての周辺クロックを停止
内蔵低速 CR
NOP 命令実行
CKENx で全ての周辺クロックを停止
スリープ
モード
標準*1
4 MHz
4MHz 外部クロック入力、PLL 使用*
NOP 命令実行
内蔵高速 CR 停止
CKENx で全ての周辺クロックを停止
4MHz 外部クロック入力、PLL 使用*8
CKENx で全ての周辺クロックを停止
ICCS
(VCC)
規格値
周波数*4
4 MHz
*5
*1 : TA=+25°C,VCC=3.3 V
*2 : TA=+105°C,VCC=3.6 V
*3 : 全ポート固定時
*4 : PCLK0=HCLK/8
*5 : トリミングにて 4MHz 設定時
*6 : Flash シンクダウン設定時(FRWTR.RWT = 11 , FSYNDN.SD = 1111)
*7 : VCC=1.65 V
*8 : HCLK=4MHz 時は PLL OFF
Document Number: 002-00206 Rev.*A
Page 58 of 113
S6E1B3 Series
項目
記号
(端子名)
条件
ICCH
(VCC)
電源電流
ストップ
モード
サブ
タイマ
モード
ICCT
(VCC)
ICCR
(VCC)
RTC モード
標準
規格値
最大
単位
備考
TA=25°C
VCC=3.3 V
8.5
35
μA
*1
TA=25°C
VCC=1.65 V
8
34
μA
*1
TA=105°C
VCC=3.6 V
-
722
μA
*1
13
40
μA
*1
12.5
39
μA
*1
-
729
μA
*1
10
37
μA
*1
9.5
36
μA
*1
-
723
μA
*1
TA=25°C
VCC=3.3 V
32 kHz 水晶発振
TA=25°C
VCC=1.65 V
32 kHz 水晶発振
TA=105°C
VCC=3.6 V
32 kHz 水晶発振
TA=25°C
VCC=3.3 V
32 kHz 水晶発振
TA=25°C
VCC=1.65 V
32 kHz 水晶発振
TA=105°C
VCC=3.6 V
32 kHz 水晶発振
*1: 全ポート固定時 LVD off. Flash off.
Document Number: 002-00206 Rev.*A
Page 59 of 113
S6E1B3 Series
項目
記号
(端子名)
RAM off
ICCHD
(VCC)
Deep standby
Stop mode
RAM on
電源電流
RAM off
ICCRD
(VCC)
規格値
標準
最大
条件
Deep standby
RTC mode
RAM on
*1: 全ポート固定時 LVD off.
Document Number: 002-00206 Rev.*A
TA=25°C
VCC=3.3 V
TA=25°C
VCC=1.65 V
TA=105°C
VCC=3.6 V
TA=25°C
VCC=3.3 V
TA=25°C
VCC=1.65 V
TA=105°C
VCC=3.6 V
TA=25°C
VCC=3.3 V
TA=25°C
VCC=1.65 V
TA=105°C
VCC=3.6 V
TA=25°C
VCC=3.3 V
TA=25°C
VCC=1.65 V
TA=105°C
VCC=3.6 V
単位
備考
0.95
2.8
μA
*1
0.94
2.7
μA
*1
-
232
μA
*1
1.15
11.5
μA
*1
1.1
11.4
μA
*1
-
247
μA
*1
1.85
3.41
μA
*1
1.8
3.4
μA
*1
-
233
μA
*1
2.05
12.1
μA
*1
2
12.1
μA
*1
-
248
μA
*1
Page 60 of 113
S6E1B3 Series
項目
記号
(端子名)
条件
RTC
operation
電源電流
ICCVBAT
(VBAT)
RTC stop
TA=25°C
VCC=3.0V
32 kHz 水晶発振
TA=25°C
VCC=1.65 V
32 kHz 水晶発振
TA=105°C
VCC=3.6V
32 kHz 水晶発振
標準
規格値
最大
単位
備考
0.9
1.55
μA
*1
0.8
1.55
μA
*1
-
3.73
μA
*1
TA=25°C
VCC=3.0V
0.05
0.64
μA
*1
TA=25°C
VCC=1.65 V
0.02
0.28
μA
*1
-
1.24
μA
*1
TA=105°C
VCC=3.6V
*1: 全ポート固定時
Document Number: 002-00206 Rev.*A
Page 61 of 113
S6E1B3 Series
LVD 電流
(VCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
低電圧検出回路
(LVD)
電源電流
記号
端子名
ICCLVD
規格値
条件
VCC
単位
備考
標準
最大
0.13
0.3
μA
For occurrence of reset
0.13
0.3
μA
For occurrence of interrupt
動作時
フラッシュメモリ電流
(VCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
記号
端子名
条件
フラッシュメモ
リ
書込み/消去電流
ICCFLASH
VCC
書込み/
消去時
規格値
標準
最大
9.5
11.2
A/D コンバータ電流
項目
電源電流
基準電源電流
(AVRH)
単位
備考
mA
(VCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
端子名
ICCAD
AVCC
ICCAVRH
AVRH
規格値
条件
単位
A/D 動作時
標準
0.33
最大
0.6
A/D 停止時
0.1
10
μA
A/D 動作時
0.72
1.29
mA
A/D 停止時
0.1
1.7
μA
備考
mA
AVRH=3.6 V
ペリフェラル消費電流
(VCC= 3.3 V, TA=25°C)
クロック
系列
HCLK
PCLK1
周波数(MHz)
8
20
ペリフェラル
条件
GPIO
全ポート動作
時
0.02
0.04
0.11
0.22
DSTC
2ch.動作時
0.07
0.15
0.37
0.74
ベースタイマ
4ch.動作時
0.02
0.04
0.08
0.16
多機能タイマ
/PPG
1unit/4ch.動作
時
0.06
0.11
0.28
0.55
ADC
1unit 動作時
0.02
0.04
010
0.20
マルチファンク
ションシリアル
1ch.動作時
0.03
0.06
0.16
0.31
Document Number: 002-00206 Rev.*A
4
40
単位
備考
mA
mA
Page 62 of 113
S6E1B3 Series
11.3.2 端子特性
項目
"H"レベル
入力電圧
(ヒステリシス入
力)
"L"レベル
入力電圧
(ヒステリシス入
力)
"H"レベル
出力電圧
(VCC =AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
端子名
VIHS
CMOS
ヒステリシス
入力端子,
MD0, PE0
5V トレラン
ト
入力端子
CMOS
ヒステリシス
入力端子,
MD0, PE0
VILS
5V トレラン
ト
入力端子
4mA タイプ
VOH
The pin doubled
as USB I/O
"L"レベル
出力電圧
4mA タイプ
VOL
The pin doubled
as USB I/O
入力リーク
電流
IIL
CEC0_0,
CEC1_0,
CEC1_1
プルアップ
抵抗値
RPU
プルアップ
端子
CIN
Other than
VCC, VSS,
AVCC, AVSS,
AVRH
入力容量
Document Number: 002-00206 Rev.*A
条件
最小
VCC ≥ 2.7 V
VCC × 0.8
VCC < 2.7 V
VCC × 0.7
VCC ≥ 2.7 V
VCC × 0.8
VCC < 2.7 V
VCC × 0.7
VCC ≥ 2.7 V
VSS - 0.3
規格値
標準
最大
-
VCC +0.3
V
-
VSS +5.5
V
-
VCC < 2.7 V
VCC ≥ 2.7 V
VCC < 2.7 V
VCC × 0.2
単位
備考
V
VCC × 0.3
VSS - 0.3
VCC ≥ 2.7 V,
IOH = - 4 mA
VCC - 0.5
VCC < 2.7 V,
IOH = - 2 mA
VCC - 0.45
-
-
VCC × 0.2
VCC × 0.3
V
-
VCC
V
VCC - 0.4
-
VCC
V
VCC ≥ 2.7 V,
IOL 4 mA
VCC < 2.7 V,
IOL=2 mA
VSS
-
0.4
V
-
VSS
-
0.4
V
VCC = AVCC =
AVRH = VSS =
AVSS = AVRL =
0.0V
-5
-
+5
μA
-
-
+1.8
μA
VCC ≥ 2.7 V
21
33
66
VCC < 2.7 V
-
-
134
-
-
5
15
kΩ
pF
Page 63 of 113
S6E1B3 Series
11.4 交流規格
11.4.1 メインクロック入力規格
項目
記号
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
端子名
入力クロック周期
入力クロック
パルス幅
入力クロック
立上り, 立下り時間
単位
備考
最小
最大
VCC ≥ 2.7 V
VCC < 2.7 V
4
4
48
20
MHz
水晶振動子接続時
-
4
48
MHz
外部クロック使用時
-
20.83
250
ns
外部クロック使用時
-
PWH/tCYLH,
PWL/tCYLH
45
55
%
外部クロック使用時
tCF,
tCR
-
-
5
ns
外部クロック使用時
-
-
40.8
MHz
fCH
入力周波数
規格値
条件
tCYLH
fCM
X0,
X1
-
マスタクロック
ベースクロック
fCC
40.8
MHz
内部動作クロック*1
(HCLK/FCLK)
周波数
fCP0
40.8
MHz
APB0 バスクロック*2
fCP1
40.8
MHz
APB1 バスクロック*2
ベースクロック
tCYCC
24.5
ns
(HCLK/FCLK)
内部動作クロック*1
24.5
ns
tCYCP0
APB0 バスクロック*2
サイクル時間
24.5
ns
tCYCP1
APB1 バスクロック*2
*1: 各内部動作クロックの詳細については、
『FM0+ファミリ ペリフェラルマニュアル』の『CHAPTER:クロック』を参照してくだ
さい。
*2: 各ペリフェラルが接続されている APB バスについては「8. ブロックダイヤグラム」を参照してください。
tCYLH
X0
0.8 × Vcc
0.8 × Vcc
0.2 × Vcc
PWH
PWL
tCF
Document Number: 002-00206 Rev.*A
0.8 × Vcc
0.2 × Vcc
tCR
Page 64 of 113
S6E1B3 Series
11.4.2 サブクロック入力規格
項目
記号
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
端子名
-
fCL
入力周波数
規格値
条件
最小
標準
最大
32
32.768
-
100
単位
kHz
kHz
備考
水晶発振接続時*
外部クロック時
tCYLL
10
31.25
μs
X0A,
入力クロック周期
外部クロック時
X1A
入力クロックパル
PWH/tCYLL,
45
55
%
ス
外部クロック時
PWL/tCYLL
幅
*:ご使用する水晶振動子については、
「7. デバイス使用上の注意」の「サブクロック用水晶振動子について」
を参照してください。
tCYLL
0.8 × Vcc
0.8 × Vcc
0.2 × Vcc
X0A
PWH
Document Number: 002-00206 Rev.*A
0.8 × Vcc
0.2 × Vcc
PWL
Page 65 of 113
S6E1B3 Series
11.4.3 内蔵 CR 発振規格
内蔵高速 CR
項目
クロック周波数
周波数安定時間
(VCC=AVCC=1.65 V to 3.6 V, VSS =AVSS=0 V, TA=- 40°C to +105°C)
記号
fCRH
tCRWT
規格値
条件
最小
標準
最大
TA = -20°C to +85°C
3.96
4
4.04
TA = -40°C to +105°C
3.92
4
4.08
TA = -40°C to +105°C
2.6
4
5.2
-
-
-
単位
備考
トリミング時*1
MHz
非トリミング時
30
μs
*2
300
μs
If TRT is changed.*2
*1: 出荷時に設定されるフラッシュメモリ内の CR トリミング領域の値を周波数トリミング値/温度トリミング値として設定した場
合
*2: トリミング値設定後に高速 CR クロックの周波数が安定するまでの時間です。なおトリミング値設定後、周波数安定時間が経
過する期間も高速 CR クロックをソースクロックとして使用できます。
内蔵低速 CR
項目
クロック周波数
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
条件
fCRL
-
Document Number: 002-00206 Rev.*A
規格値
最小
標準
最大
50
100
150
単位
備考
kHz
Page 66 of 113
S6E1B3 Series
11.4.4 メイン PLL の使用条件
(PLL の入力クロックにメインクロックを使用)
項目
PLL 発振安定待ち時間*1
(LOCK UP 時間)
PLL 入力クロック周波数
PLL 逓倍率
PLL マクロ発振クロック周波数
メイン PLL クロック周波数*2
USB clock frequency*3
*1: PLL の発振が安定するまでの待ち時間
記号
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
規格値
単位
最小
標準
最大
tLOCK
100
-
-
μs
fPLLI
fPLLO
4
5
75
-
-
16
37
150
40.8
48
MHz
逓倍
MHz
MHz
MHz
fCLKPLL
fCLKSPLL
備考
*2: メイン PLL クロック(CLKPLL)の詳細については、
『FM0+ ファミリ ペリフェラルマニュアル』の『CHAPTER:クロック』を
参照してください。
*3: USB クロックについては『FM0+ ファミリ ペリフェラルマニュアル Communication Macro Part』の『CHAPTER: USB クロック』
を参照ください
11.4.5 メイン PLL の使用条件
(メイン PLL の入力クロックに内蔵高速 CR クロックを使用)
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
PLL 発振安定待ち時間*1
(LOCK UP 時間)
PLL 入力クロック周波数
PLL 逓倍率
PLL マクロ発振クロック周波数
メイン PLL クロック周波数*2
*1: PLL の発振が安定するまでの待ち時間
最小
規格値
標
準
最大
tLOCK
100
-
-
μs
fPLLI
fPLLO
3.8
19
72
-
4
-
4.2
35
150
40.8
MHz
逓倍
MHz
MHz
記号
fCLKPLL
単位
備考
*2: メイン PLL クロック(CLKPLL)の詳細については、
『FM0+ ファミリ ペリフェラルマニュアル』の『CHAPTER:クロック』を
参照してください。
Note:
−
内蔵高速 CR クロック(CLKHC)をメイン PLL クロックのリファレンスクロックとして使用する場合は、周波数トリミング後
のクロックを使って下さい
内蔵高速 CR クロック(CLKHC)をメイン PLL で逓倍する場合、内蔵高速 CR クロックの周波数偏差を考慮して最大動作周波
数を超えない設定であることに注意してください
−
Main PLL connection
Main clock (CLKMO)
High-speed CR clock (CLKHC)
K
divider
PLL input
clock
Main
PLL
PLL macro
oscillation clock
M
divider
Main PLL
clock
(CLKPLL)
N
divider
Document Number: 002-00206 Rev.*A
Page 67 of 113
S6E1B3 Series
USB PLL connection
Main clock (CLKMO)
PLL input
clock
K
divider
PLL macro
oscillation clock
M
divider
USB PLL
USB
clock
N
divider
11.4.6 リセット入力規格
項目
リセット入力時間
(VCC =AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
端子名
条件
tINITX
INITX
-
規格値
11.4.7 パワーオンリセットタイミング
項目
最小
最大
500
-
単位
備考
ns
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
電源立上り時間
tVCCR
電源断時間
tOFF
パワーオンリセット解除までの時間
tPRT
端子名
VCC
規格値
最小
最大
0
-
単位
備考
ms
1
-
ms
0.43
3.4
ms
VCC < 0.2V
VCC_minimum
VCC
VDH_minimum
0.2V
0.2V
tVCCR
tOFF
tPRT
Internal reset
Reset active
CPU Operation
0.2V
Release
start
Glossary
 VCC_minimum : 推奨動作条件の VCC 最小値
 VDH_minimum : LVD 検出リセットの検出電圧の最小値
"11.7 低電圧検出特性"を参照ください
Document Number: 002-00206 Rev.*A
Page 68 of 113
S6E1B3 Series
11.4.8 ベースタイマ入力タイミング
タイマ入力タイミング
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
入力パルス幅
記号
端子名
条件
tTIWH, tTIWL
TIOAn/TIOBn
(ECK, TIN とし
て使用するとき)
-
規格値
最小
最大
2 tCYCP
-
単位
備考
ns
tTIWL
tTIWH
ECK
VIHS
VIHS
TIN
VILS
VILS
トリガ入力タイミング
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
入力パルス幅
記号
端子名
条件
tTRGH, tTRGL
TIOAn/TIOBn
(TGIN として使
用するとき)
-
tTRGH
TGIN
VIHS
規格値
最小
最大
2 tCYCP
-
単位
備考
ns
tTRGL
VIHS
VILS
VILS
(注意事項)
−
tCYCP は、APB バスクロックのサイクル時間です。
ベースタイマが接続されている APB バス番号については「8. ブロックダイヤグラム」を参照してください。
Document Number: 002-00206 Rev.*A
Page 69 of 113
S6E1B3 Series
11.4.9 CSIO/SPI/UART タイミング
CSIO (SPI=0, SCINV=0)
項目
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
端子名
シリアルクロック
サイクルタイム
tSCYC
SCKx
SCK ↓ → SOT 遅延時間
tSLOVI
SIN → SCK ↑セットアップ時間
tIVSHI
SCK ↑ → SIN ホールド時間
tSHIXI
シリアルクロック
"L"パルス幅
シリアルクロック
"H"パルス幅
tSLSH
tSHSL
SCK ↓ → SOT 遅延時間
tSLOVE
SIN → SCK ↑ セットアップ時間
tIVSHE
SCK ↑ → SIN ホールド時間
tSHIXE
SCK 立下り時間
SCK 立上り時間
tF
tR
条件
VCC < 2.7 V
最小
最大
VCC ≥ 2.7 V
最小
最大
単位
4 tCYCP
-
4 tCYCP
-
ns
- 30
+ 30
- 20
+ 20
ns
60
-
50
-
ns
0
-
0
-
ns
SCKx
2 tCYCP 10
-
2 tCYCP 10
-
ns
SCKx
tCYCP +10
-
tCYCP +10
-
ns
-
65
-
52
ns
10
-
10
-
ns
20
-
20
-
ns
-
5
5
-
5
5
ns
ns
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx
SCKx
Master mode
Slave mode
Notes:
−
上記の AC 特性は CSIO モード時のものです
−
tCYCP は APB のクロック周期を表します
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 70 of 113
S6E1B3 Series
tSCYC
VOH
SCK
VOL
VOL
tSLOVI
VOH
SOT
VOL
tIVSHI
SIN
tSHIXI
VIH
VIH
VIL
VIL
Master mode
tSLSH
SCK
VIH
tF
tSHSL
VIL
VIL
tSLOVE
SOT
VIH
tR
VOH
VOL
tIVSHE
SIN
VIH
VIH
VIL
tSHIXE
VIH
VIL
Slave mode
Document Number: 002-00206 Rev.*A
Page 71 of 113
S6E1B3 Series
CSIO (SPI=0, SCINV=1)
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
記号
端子
名
シリアルクロック
サイクルタイム
tSCYC
SCKx
SCK ↑ → SOT 遅延時間
tSHOVI
SIN → SCK ↓ セットアップ時間
tIVSLI
SCK ↓ → SIN ホールド時間
tSLIXI
シリアルクロック
"L"パルス幅
シリアルクロック
"H"パルス幅
tSLSH
tSHSL
SCK ↑ → SOT 遅延時間
tSHOVE
SIN → SCK ↓ セットアップ時間
tIVSLE
SCK ↓ → SIN ホールド時間
tSLIXE
SCK 立下り時間
SCK 立上り時間
tF
tR
条件
VCC < 2.7 V
最小
最大
VCC ≥ 2.7 V
最小
最大
単位
4 tCYCP
-
4 tCYCP
-
ns
- 30
+ 30
- 20
+ 20
ns
60
-
50
-
ns
0
-
0
-
ns
SCKx
2 tCYCP 10
-
2 tCYCP 10
-
ns
SCKx
tCYCP +10
-
tCYCP +10
-
ns
-
65
-
52
ns
10
-
10
-
ns
20
-
20
-
ns
-
5
5
-
5
5
ns
ns
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx
SCKx
Master mode
Slave mode
Notes:
−
上記の AC 特性は CSIO モード時のものです
−
tCYCP は APB のクロック周期を表します
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 72 of 113
S6E1B3 Series
tSCYC
SCK
VOH
VOH
VOL
tSHOVI
VOH
SOT
VOL
tIVSLI
VIH
SIN
tSLIXI
VIH
VIL
VIL
Master mode
tSHSL
SCK
tSLSH
VIH
VIH
VIL
tR
tF
tSHOVE
SOT
VOH
VOL
tIVSLE
SIN
VIL
VIL
VIH
VIL
tSLIXE
VIH
VIL
Slave mode
Document Number: 002-00206 Rev.*A
Page 73 of 113
S6E1B3 Series
SPI (SPI=1, SCINV=0)
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
記号
端子
名
シリアルクロック
サイクルタイム
tSCYC
SCKx
SCK ↑ → SOT 遅延時間
tSHOVI
SIN → SCK ↓ セットアップ時間
tIVSLI
SCK ↓→ SIN ホールド時間
tSLIXI
SOT → SCK ↓ 遅延時間
tSOVLI
シリアルクロック
"L"パルス幅
シリアルクロック
"H"パルス幅
tSLSH
SCKx
tSHSL
SCKx
SCK ↑ → SOT 遅延時間
tSHOVE
SIN → SCK ↓ セットアップ時間
tIVSLE
SCK ↓→ SIN ホールド時間
tSLIXE
SCK 立下り時間
SCK 立上り時間
tF
tR
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx,
SOTx
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx
SCKx
条件
Master mode
VCC < 2.7 V
最小
最大
単位
4 tCYCP
-
4 tCYCP
-
ns
- 30
+ 30
- 20
+ 20
ns
60
-
50
-
ns
0
-
0
-
ns
-
ns
-
ns
2 tCYCP 30
2 tCYCP 10
Slave mode
VCC ≥ 2.7 V
最小
最大
-
2 tCYCP 30
2 tCYCP 10
tCYCP +10
-
tCYCP +10
-
ns
-
65
-
52
ns
10
-
10
-
ns
20
-
20
-
ns
-
5
5
-
5
5
ns
ns
Notes:
−
上記の AC 特性は CSIO モード時のものです
−
tCYCP は APB のクロック周期を表します
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 74 of 113
S6E1B3 Series
tSCYC
SCK
tSOVLI
SOT
VOH
VOL
VOH
VOL
VOH
VOL
VIH
VIL
SIN
VOL
tSHOVI
tIVSLI
tSLIXI
VIH
VIL
Master mode
tSLSH
VIH
SCK
*
SOT
VIL
tSHSL
VIL
tF
tR
VOH
VOL
SIN
VIH
VIL
tIVSLE
*: Changes when writing to TDR register
Document Number: 002-00206 Rev.*A
tSLIXE
VIH
VIH
tSHOVE
VOH
VOL
VIH
VIL
Slave mode
Page 75 of 113
S6E1B3 Series
SPI (SPI=1, SCINV=1)
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
VCC < 2.7 V
最小
最大
VCC ≥ 2.7 V
最小
最大
項目
記号
端子
名
シリアルクロック
サイクルタイム
tSCYC
SCKx
4 tCYCP
-
4 tCYCP
-
ns
SCK ↓ → SOT 遅延時間
tSLOVI
SCKx,
SOTx
-30
+30
-20
+20
ns
SIN → SCK ↑ セットアップ時間
tIVSHI
60
-
50
-
ns
SCK ↑ → SIN ホールド時間
tSHIXI
0
-
0
-
ns
SOT → SCK ↑ 遅延時間
tSOVHI
-
ns
シリアルクロック
"L"パルス幅
シリアルクロック
"H"パルス幅
tSLSH
SCKx
-
ns
tSHSL
SCKx
SCK ↓ → SOT 遅延時間
tSLOVE
SIN → SCK ↑ セットアップ時間
tIVSHE
SCK ↑ → SIN ホールド時間
tSHIXE
SCK 立下り時間
SCK 立上り時間
tF
tR
SCKx,
SINx
SCKx,
SINx
SCKx,
SOTx
SCKx,
SOTx
SCKx,
SINx
SCKx,
SINx
SCKx
SCKx
条件
Master mode
2 tCYCP 30
2 tCYCP 10
Slave mode
-
2 tCYCP 30
2 tCYCP 10
単位
tCYCP +10
-
tCYCP +10
-
ns
-
65
-
52
ns
10
-
10
-
ns
20
-
20
-
ns
-
5
5
-
5
5
ns
ns
Notes:
−
上記の AC 特性は CSIO モード時のものです
−
tCYCP は APB のクロック周期を表します
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 76 of 113
S6E1B3 Series
tSCYC
VOH
SCK
tSOVHI
SOT
tSLOVI
VOH
VOL
VOH
VOL
tSHIXI
tIVSHI
VIH
VIL
SIN
VOH
VOL
VIH
VIL
Master mode
tR
SCK
SOT
VIL
VIH
VIH
tSLSH
VIL
tSLOVE
VOH
VOL
tIVSHE
SIN
tF
tSHSL
VIL
VOH
VOL
tSHIXE
VIH
VIL
VIH
VIL
Slave mode
Document Number: 002-00206 Rev.*A
Page 77 of 113
S6E1B3 Series
When Using CSIO/SPI Chip Select (SCINV=0, CSLVL=1)
項目
記号
条件
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
VCC < 2.7 V
最小
VCC ≥ 2.7 V
最大
単位
最大
最小
(*1)-50
(*1)+0
(*1)-50
(*1)+0
ns
(*2)+0
(*2)+50
(*2)+0
(*2)+50
ns
(*3)+50
(*3)-50
(*3)+50
ns
SCS↓→SCK↓ セットアップ時間
tCSSI
SCK↑→SCS↑ ホールド時間
tCSHI
SCS ディセレクト時間
tCSDI
(*3)-50
SCS↓→SCK↓ セットアップ時間
tCSSE
3tCYCP+30
-
3tCYCP+30
-
ns
SCK↑→SCS↑ ホールド時間
tCSHE
0
-
0
-
ns
SCS ディセレクト時間
tCSDE
3tCYCP+30
-
3tCYCP+30
-
ns
Master mode
Slave mode
SCS↓→SOT 遅延時間
tDSE
-
55
-
43
ns
SCS↑→SOT 遅延時間
tDEE
0
-
0
-
ns
*1: CSSU ビット値 × シリアルチップセレクトタイミング動作クロック周期
*2: CSHD ビット値 × シリアルチップセレクトタイミング動作クロック周期
*3: CSDS ビット値 × シリアルチップセレクトタイミング動作クロック周期
CSDS ビット設定に関係なく、シリアルチップ選択ピンが活性でないときから再びシリアル選択ピンとして活性になるとき、
5tCYCP 以上の間隔が必要です。
Notes:
−
tCYCP は APB のクロック周期を表します
−
CSSU, CSHD, CSDS, シリアルチップセレクトタイミング動作クロックは『FM0+ ファミリ ペリフェラルマニュアル』を参
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
照してください
Document Number: 002-00206 Rev.*A
Page 78 of 113
S6E1B3 Series
SCSO
tCSSI
tCSHI
tCSDI
tCSHE
tCSDE
SCK
SOT
(SPI=0)
SOT
(SPI=1)
Master mode
SCSI
tCSSE
SCK
tDEE
SOT
(SPI=0)
tDSE
SOT
(SPI=1)
Slave mode
Document Number: 002-00206 Rev.*A
Page 79 of 113
S6E1B3 Series
When Using CSIO/SPI Chip Select (SCINV=1, CSLVL=1)
項目
記号
SCS↓→SCK↑ セットアップ時間
tCSSI
SCK↓→SCS↑ ホールド時間
tCSHI
条件
Master mode
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
VCC < 2.7 V
VCC ≥ 2.7 V
単位
最小
最大
最小
最大
(*1)-50
(*1)+0
(*1)-50
(*1)+0
ns
(*2)+0
(*2)+50
(*2)+0
(*2)+50
ns
SCS ディセレクト時間
tCSDI
(*3)-50
(*3)+50
(*3)-50
(*3)+50
ns
SCS↓→SCK↑ セットアップ時間
tCSSE
3tCYCP+30
-
3tCYCP+30
-
ns
SCK↓→SCS↑ ホールド時間
tCSHE
0
-
0
-
ns
SCS ディセレクト時間
tCSDE
3tCYCP+30
-
3tCYCP+30
-
ns
SCS↓→SOT 遅延時間
tDSE
-
55
-
43
ns
SCS↑→SOT 遅延時間
tDEE
0
-
0
-
ns
Slave mode
*1: CSSU ビット値 × シリアルチップセレクトタイミング動作クロック周期
*2: CSHD ビット値 × シリアルチップセレクトタイミング動作クロック周期
*3: CSDS ビット値 × シリアルチップセレクトタイミング動作クロック周期
CSDS ビット設定に関係なく、シリアルチップ選択ピンが活性でないときから再びシリアル選択ピンとして活性になるとき、
5tCYCP 以上の間隔が必要です。
Notes:
−
tCYCP は APB のクロック周期を表します
−
CSSU, CSHD, CSDS, シリアルチップセレクトタイミング動作クロックは『FM0+ ファミリ ペリフェラルマニュアル』を参
照してください
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 80 of 113
S6E1B3 Series
SCSO
tCSSI
tCSHI
tCSDI
tCSHE
tCSDE
SCK
SOT
(SPI=0)
SOT
(SPI=1)
Master mode
SCSI
tCSSE
SCK
tDEE
SOT
(SPI=0)
tDSE
SOT
(SPI=1)
Slave mode
Document Number: 002-00206 Rev.*A
Page 81 of 113
S6E1B3 Series
When Using CSIO/SPI Chip Select (SCINV=0, CSLVL=0)
項目
記号
SCS↑→SCK↓ セットアップ時間
tCSSI
条件
Master mode
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
VCC < 2.7 V
VCC ≥ 2.7 V
単位
最小
最大
最小
最大
(*1)-50
(*1)+0
(*1)-50
(*1)+0
ns
SCK↑→SCS↓ ホールド時間
tCSHI
(*2)+0
(*2)+50
(*2)+0
(*2)+50
ns
SCS ディセレクト時間
tCSDI
(*3)-50
(*3)+50
(*3)-50
(*3)+50
ns
SCS↑→SCK↓ セットアップ時間
tCSSE
3tCYCP+30
-
3tCYCP+30
-
ns
SCK↑→SCS↓ ホールド時間
tCSHE
SCS ディセレクト時間
tCSDE
SCS↑→SOT 遅延時間
SCS↓→SOT 遅延時間
0
-
0
-
ns
3tCYCP+30
-
3tCYCP+30
-
ns
tDSE
-
55
-
43
ns
tDEE
0
-
0
-
ns
Slave mode
*1: CSSU ビット値 × シリアルチップセレクトタイミング動作クロック周期
*2: CSHD ビット値 × シリアルチップセレクトタイミング動作クロック周期
*3: CSDS ビット値 × シリアルチップセレクトタイミング動作クロック周期
CSDS ビット設定に関係なく、シリアルチップ選択ピンが活性でないときから再びシリアル選択ピンとして活性になるとき、
5tCYCP 以上の間隔が必要です。
Notes:
−
tCYCP は APB のクロック周期を表します
−
CSSU, CSHD, CSDS, シリアルチップセレクトタイミング動作クロックは『FM0+ ファミリ ペリフェラルマニュアル』を参
照してください
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 82 of 113
S6E1B3 Series
tCSDI
SCSO
tCSSI
tCSHI
SCK
SOT
(SPI=0)
SOT
(SPI=1)
Master mode
tCSDE
SCSI
tCSSE
tCSHE
SCK
tDEE
SOT
(SPI=0)
SOT
tDSE
(SPI=1)
Slave mode
Document Number: 002-00206 Rev.*A
Page 83 of 113
S6E1B3 Series
When Using CSIO/SPI Chip Select (SCINV=1, CSLVL=0)
項目
記号
SCS↑→SCK↑ セットアップ時間
tCSSI
条件
Master mode
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
VCC < 2.7 V
VCC ≥ 2.7 V
単位
最小
最大
最小
最大
(*1)-50
(*1)+0
(*1)-50
(*1)+0
ns
SCK↓→SCS↓ ホールド時間
tCSHI
(*2)+0
(*2)+50
(*2)+0
(*2)+50
ns
SCS ディセレクト時間
tCSDI
(*3)-50
(*3)+50
(*3)-50
(*3)+50
ns
SCS↑→SCK↑ セットアップ時間
tCSSE
3tCYCP+30
-
3tCYCP+30
-
ns
SCK↓→SCS↓ ホールド時間
tCSHE
SCS ディセレクト時間
tCSDE
SCS↑→SOT 遅延時間
SCS↓→SOT 遅延時間
0
-
0
-
ns
3tCYCP+30
-
3tCYCP+30
-
ns
tDSE
-
55
-
43
ns
tDEE
0
-
0
-
ns
Slave mode
*1: CSSU ビット値 × シリアルチップセレクトタイミング動作クロック周期
*2: CSHD ビット値 × シリアルチップセレクトタイミング動作クロック周期
*3: CSDS ビット値 × シリアルチップセレクトタイミング動作クロック周期
CSDS ビット設定に関係なく、シリアルチップ選択ピンが活性でないときから再びシリアル選択ピンとして活性になるとき、
5tCYCP 以上の間隔が必要です。
Notes:
−
tCYCP は APB のクロック周期を表します
−
CSSU, CSHD, CSDS, シリアルチップセレクトタイミング動作クロックは『FM0+ ファミリ ペリフェラルマニュアル』を参
照してください
−
この特性はポートリロケーションの同じ番号の組について適用できますが、SCKx_0 と SOTx_1 のように異なる番号の組には
適用されません
−
外部負荷の条件は CL=30 pF です
Document Number: 002-00206 Rev.*A
Page 84 of 113
S6E1B3 Series
tCSDI
SCSO
tCSSI
tCSHI
SCK
SOT
(SPI=0)
SOT
(SPI=1)
Master mode
tCSDE
SCSI
tCSSE
tCSHE
SCK
tDEE
SOT
(SPI=0)
tDSE
SOT
(SPI=1)
Slave mode
Document Number: 002-00206 Rev.*A
Page 85 of 113
S6E1B3 Series
UART external clock input (EXT=1)
項目
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
シリアルクロック"L"パルス幅
シリアルクロック"H"パルス幅
SCK 立下り時間
SCK 立上り時間
tSLSH
tSHSL
tF
tR
Document Number: 002-00206 Rev.*A
VIL
最小
tCYCP +10
tCYCP +10
-
CL=30 pF
tR
SCK
規格値
条件
tF
tSHSL
VIH
VIH
VIL
最大
5
5
単位
備考
ns
ns
ns
ns
tSLSH
VIL
Page 86 of 113
S6E1B3 Series
11.4.10 外部入力タイミング
項目
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
記号
端子名
規格値
最小
条件
最大
単位
ADTGx
FRCKx
入力パルス幅
-
2 tCYCP*1
-
1
-
ns
ICxx
tINH, tINL
備考
A/D converter
trigger input
DTTIxX
2 tCYCP*
1
-
ns
INTxx, NMIX
*2
*3
2 tCYCP +100*
500
-
ns
ns
WKUPx
*4
500
-
ns
Free-run timer
input clock
Input capture
Wave form
generator
External interrupt,
NMI
Deep standby
wake up
*1: tCYCP は APB のクロック周期を表します
*2: ランモードおよびスリープモードのとき
*3: タイマモード,RTC モード,およびストップモードのとき
*4: ディープスタンドバイ RTC モードおよびディープスタンドバイストップモードのとき
tINH
VILS
Document Number: 002-00206 Rev.*A
tINL
VILS
VIHS
VIHS
Page 87 of 113
S6E1B3 Series
2
11.4.11 I C タイミング
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
記号
条件
Standard-Mode
最小
最大
0
100
Fast-Mode
最小
最大
0
400
単
位
kHz
備考
fSCL
SCL クロック周波数
(反復)「スタート」条件
tHDSTA
4.0
0.6
μs
ホールド時間
SDA↓→SCL↓
tLOW
4.7
1.3
μs
SCL クロック"L"幅
tHIGH
4.0
0.6
μs
SCL クロック"H"幅
反復「スタート」条件
tSUSTA
4.7
0.6
μs
セットアップ時間
SCL↑→SDA↓
CL=30 pF,
データホールド時間
1
tHDDAT
0
3.45*2
0
0.9*3
μs
R=(V
P/IOL)*
SCL ↓ → SDA ↓ ↑
データセットアップ時間
tSUDAT
250
100
ns
SDA ↓ ↑ → SCL ↑
「ストップ」条件
tSUSTO
4.0
0.6
μs
セットアップ時間
SCL↑→SDA↑
「ストップ」条件と
「スタート」条件との間のバ
tBUF
4.7
1.3
μs
スフ
リー時間
tSP
2 tCYCP*4
2 tCYCP*4
ns
ノイズフィルタ
*1: R, CL は SCL, SDA ラインのプルアップ抵抗、負荷容量です。Vp はプルアップ抵抗の電源電圧、IOL は VOL 保証電流を示します。
*2: 最大 tHDDAT は少なくともデバイスの SCL 信号の L 区間(tLOW)を延長していないということを満たしていなければなりません。
*3: Fast-mode I2C バスデバイスを Standard-mode I2C バスシステムに使用することはできますが、要求される条件 tSUDAT ≥ 250ns を満
足しなければなりません。
*4: tCYCP は、APB バスクロックのサイクル時間です。
I2C が接続されている APB バス番号については「8. ブロックダイヤグラム」を参照してください。
Standard-mode 使用時は、周辺バスクロックを 2 MHz 以上に設定してください。
Fast-mode 使用時は、周辺バスクロックを 8 MHz 以上に設定してください。
SDA
tSUDAT
tLOW
tSUSTA
tBUF
SCL
tHDSTA
Document Number: 002-00206 Rev.*A
tHDDAT
tHIGH
tHDSTA
tSP
tSUSTO
Page 88 of 113
S6E1B3 Series
2
11.4.12 I S Timing
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
項目
1
MI2SCK max frequency*
I2S clock cycle time*1
I2S clock Duty cycle
記号
端子名
fMI2SCK
tICYC
∆
MI2SCKx
MI2SCKx
MI2SCKx
MI2SCKx
,
MI2SWSx
MI2SCKx
,
MI2SDOx
MI2SCKx
,
MI2SDIx
MI2SCKx
,
MI2SDIx
MI2SCKx
MI2SCKx
MI2SCK↓ → MI2SWS 遅延時
間
tSWDT
MI2SCK↓ → MI2SDO 遅延時
間
tSDDT
MI2SDI → MI2SCK ↑ セット
アップ時間
tDSST
MI2SCK ↑ → MI2SDI ホール
ド時間
tSDHT
tF
tR
MI2SCK 立下り時間
MI2SCK 立上り時間
VCC < 2.7 V
最小
最大
6.144
4 tCYCP
45%
55%
条件
CL=30 pF
VCC ≥ 2.7 V
最小
最大
6.144
4 tCYCP
45%
55%
単位
MHz
ns
-30
+30
-20
+20
ns
-30
+30
-20
+20
ns
50
-
36
-
ns
0
-
0
-
ns
-
5
5
-
5
5
ns
ns
*1: I2S クロックは APB クロックの周期(tCYCP)整数倍であると同時に fMI2SCK の最大値より小さい周波数であるべきです。詳細は
『FM0+ ファミリ ペリフェラルマニュアル 通信編 』の『CHAPTER: I2S 』を参照ください
VIH
MI2SCK
tF
MI2SWS
and
MI2SDO
VIH
VIL
VIL
tR
tSWDT,
tSDDT
VOH
VOL
tDSST
MI2SDI
Document Number: 002-00206 Rev.*A
tSDHT
VIH
VIH
VIL
VIL
Page 89 of 113
S6E1B3 Series
11.4.13 Smart Card インタフェースタイミング
(VCC=1.65 V to 3.3 V, VSS=0 V, TA=- 40°C to +105°C)
項目
記号
Output 立上り時間
tR
Output 立下り時間
tF
Output clock frequency
Duty cycle
fCLK
∆
端子名
条件
ICx_VCC,
ICx_RST,
ICx_CLK,
ICx_DATA
ICx_CLK
CL=30 pF
規格値
単位
最小
最大
4
20
ns
4
20
ns
-
20
MHz
45%
55%
備考
 ICx_CIN 端子と Smart Card を直接つなぐ場合、外部プルアップ抵抗(20 kΩ~50 kΩ) が必要となる場合があります。『FM0+ ファ
ミリ ペリフェラルマニュアル 通信編 』の『CHAPTER: Smart Card 』を参照ください
Document Number: 002-00206 Rev.*A
Page 90 of 113
S6E1B3 Series
11.4.14 SW-DP タイミング
項目
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
規格値
最小
最大
記号
端子名
条件
単位
SWDIO セットアッ
プ時間
tSWS
SWCLK,
SWDIO
-
15
-
ns
SWDIO ホールド時
間
tSWH
SWCLK,
SWDIO
-
15
-
ns
SWDIO 遅延時間
tSWD
SWCLK,
SWDIO
-
-
45
ns
備考
Note:
−
外部負荷容量の条件は CL=30 pF です
SWCLK
VOH
VOL
tJTAGS
VOH
VOL
SWDIO
(When input)
tJTAGH
VOH
VOL
tSWD
JTAGD
SWDIO
(When output)
Document Number: 002-00206 Rev.*A
VOH
VOL
Page 91 of 113
S6E1B3 Series
11.5 12 ビット A/D コンバータ
A/D コンバータ電気的特性(Preliminary Values)
(VCC=AVCC=1.65 V to 3.6 V, VSS=AVSS=0 V, TA=- 40°C to +105°C)
ANxx
最小
- 4.5
- 2.5
- 15
規格値
標準
-
最大
12
4.5
+ 2.5
+ 15
VFST
ANxx
AVRH - 15
-
AVRH + 15
mV
変換時間*
-
-
μs
tS
-
10
μs
コンペアクロック周期*3
tCCK
-
1000
ns
動作許可状態遷移時間
アナログ入力容量
tSTT
CAIN
-
-
-
サンプリング時間*2
1.0
4.0
10
0.3
1.2
3.0
50
200
500
-
μs
pF
アナログ入力抵抗
RAIN
-
-
-
-
ANxx
ANxx
1.0
9.7
2.2
5.5
10.5
4
5
AVRH
項目
分解能
積分直線性誤差
微分直線性誤差
ゼロトランジション電圧
フルスケールトランジショ
ン電
圧
1
記号
端子名
VZT
単位
備考
bit
LSB
LSB
mV
kΩ
AVCC ≥ 2.7 V
1.8 ≤ AVCC < 2.7 V
1.65 ≤ AVCC < 1.8 V
AVCC ≥ 2.7 V
1.8 ≤ AVCC < 2.7 V
1.65 ≤ AVCC < 1.8 V
AVCC ≥ 2.7 V
1.8 ≤ AVCC < 2.7 V
1.65 ≤ AVCC < 1.8 V
AVCC ≥ 2.7 V
1.8 ≤ AVCC < 2.7 V
1.65 ≤ AVCC < 1.8 V
LSB
μA
AVSS
V
2.7
AVCC ≥ 2.7V
AVRH
AVCC
V
基準電圧
AVCC
AVCC < 2.7V
*1: 変換時間は サンプリング時間 (tS) + コンペア時間 (tC) の値です。
最小変換時間の条件は以下の条件によって、サンプリング時間, コンペア時間が変わります
AVCC ≥ 2.7 V
サンプリング時間=0.3 μs, コンペア時間=0.7 μs
1.8 ≤ AVCC < 2.7 V
サンプリング時間=1.2 μs, コンペア時間=2.8 μs
サンプリング時間=3.0 μs, コンペア時間=7.0 μs
1.65 ≤ AVCC < 1.8 V
サンプリング時間とコンペアクロック周期によって、変換時間が十分であることを確認してください
詳細なサンプリング時間とコンペアクロック周期については『FM0+ ファミリ ペリフェラルマニュアル アナログ編』の
『CHAPTER: A/D コンバータ』を参照ください
A/D コンバータのレジスタ設定は APB バスクロックのタイミングで反映されます
A/D コンバータが接続されている APB バス番号については「8. ブロックダイヤグラム」を参照してください
サンプリングクロックおよびコンペアクロックはベースクロック(HCLK)から生成されます
チャネル間ばらつき
アナログポート入力電流
アナログ入力電圧
*2: 外部インピーダンスによって必要なサンプリング時間は変わります
必ず(式 1)を満たすようにサンプリング時間を設定してください
*3: コンペア時間(tC)は(式 2)の値です
Document Number: 002-00206 Rev.*A
Page 92 of 113
S6E1B3 Series
ANxx
Analog input pins
Analog signal
source
REXT
Comparator
RAIN
CAIN
(Equation 1) tS ≥ (RAIN + REXT ) × CAIN × 9
tS :
サンプリング時間
RAIN:
A/D の入力抵抗 = 2.2 kΩ 2.7 < AVCC < 3.6 ch.1~ch.14, ch.16~ch.19
A/D の入力抵抗 = 1.9 kΩ 2.7 < AVCC < 3.6 ch.15
A/D の入力抵抗 = 2.3 kΩ 2.7 < AVCC < 3.6 ch.20~ch.23
A/D の入力抵抗 = 5.7 kΩ 1.8 < AVCC < 2.7 ch.1~ch.14, ch.16~ch.19
A/D の入力抵抗 = 5.6 kΩ 1.8 < AVCC < 2.7 ch.15
A/D の入力抵抗 = 5.8 kΩ 1.8 < AVCC < 2.7 ch.20~ch.23
A/D の入力抵抗 = 12.6 kΩ 1.65 < AVCC < 1.8 ch.1~ch.19
A/D の入力抵抗 = 12.7 kΩ 1.65 < AVCC < 1.8 ch.20~ch.23
CAIN:
A/D の入力容量 = 9.7 pF
REXT:
外部回路の出力インピーダンス
2.7 < AVCC < 3.6
(Equation 2) tC=tCCK × 14
tC:
コンペア時間
tCCK :
コンペアクロック周期
Document Number: 002-00206 Rev.*A
Page 93 of 113
S6E1B3 Series
12 ビット A/D コンバータの用語の定義
A/D コンバータにより識別可能なアナログ変化.
 分解能:
 積分直線性誤差:
ゼロトランジション点(0b000000000000 ←→ 0b000000000001)とフルスケールトランジション点
(0b111111111110 ←→ 0b111111111111)を結んだ直線と実際の変換特性との偏差
 微分直線性誤差
:
出力コードを 1LSB 変化させるのに必要な入力電圧の理想値からの偏差
Integral Nonlinearity
0xFFF
Actual conversion
characteristics
0xFFE
0x(N+1)
{1 LSB(N-1) + VZT}
VFST
VNT
0x004
(Actuallymeasured
value)
(Actually-measured
value)
0x003
Digital output
Digital output
0xFFD
Differential Nonlinearity
0x001
Analog input
:
:
:
:
(Actually-measured
value)
0x(N-2)
AVRH
Differential Nonlinearity of digital output N =
N
VZT
VFST
VNT
(Actually-measured
value)
Actual conversion characteristics
Integral Nonlinearity of digital output N =
1LSB =
V(N+1)T
VNT
VZT (Actually-measured value)
AVSS
Ideal characteristics
0x(N-1)
Actual conversion
characteristics
Ideal characteristics
0x002
0xN
Actual conversion
characteristics
AVSS
AVRH
Analog input
VNT - {1LSB × (N - 1) + VZT}
1LSB
V(N + 1) T - VNT
1LSB
[LSB]
- 1 [LSB]
VFST – VZT
4094
A/D converter digital output value.
Voltage at which the digital output changes from 0x000 to 0x001.
Voltage at which the digital output changes from 0xFFE to 0xFFF.
Voltage at which the digital output changes from 0x(N − 1) to 0xN.
Document Number: 002-00206 Rev.*A
Page 94 of 113
S6E1B3 Series
11.6 USB 特性
(VCC=3.0 V to 3.6 V, VSS=0 V, TA=- 40°C to +105°C)
入力特性
出力特性
項目
記号
端子名
入力“H”レベル電圧
VIH
入力“L”レベル電圧
VIL
-
差動入力感度
VDI
-
差動コモンモードレンジ
VCM
-
規格値
条件
最小
-
2.0
VSS –
VCC +
0.3
備考
単位
V
*1
*1
0.8
V
0.2
-
V
*2
0.8
2.5
V
*2
2.8
3.6
V
0.0
0.3
V
0.3
外部プルダウン抵抗=
最大
*3
出力“H”レベル電圧
VOH
出力“L”レベル電圧
VOL
クロスオーバ電圧
VCRS
-
1.3
2.0
V
*4
立上り時間
tFR
Full-speed
4
20
ns
*5
立下り時間
tFF
Full-speed
4
20
ns
*5
立上り/立下り時間マッチング
tFRFM
Full-speed
90
111.11
%
*5
出力インピーダンス
ZDRV
Full-speed
28
44
Ω
*6
tLR
Low-speed
75
300
ns
*7
立上り時間
立下り時間
立上り/立下り時間マッチング
15 kΩ
UDP0,
外部プルアップ抵抗=
UDM0
1.5 kΩ
*3
tLF
Low-speed
75
300
ns
*7
tLRFM
Low-speed
80
125
%
*7
USB I/O の Single-End-Receiver のスイッチング・スレッショルド電圧は VIL(Max)=0.8V, VIH(Min)=2.0V (TTL 入力規格)
の範囲内に設定されています。また、ノイズ感度を低下させるためヒステリシス特性を持たせています。
*2 : USB 差動データ信号の受信には、Differential-Receiver を使用します。
Differential-Receiver は、差動データ入力がローカル・グランド・リファレンス・レベルに対し、0.8V~2.5V の範囲内に
あるときは、200mV の差動入力感度があります。
上記電圧範囲は、コモン・モード入力電圧範囲と言われています。
Minimum differential input sensitivity [V]
*1 :
*3 :
1.0
0.2
0.8
2.5
Common mode input voltage [V]
ドライバの出力駆動能力は、Low-state (VOL)で 0.3V 以下 (対 3.6V, 1.5kΩ 負荷), High-State(VOH)で 2.8V 以上(対グラン
ド, 15kΩ 負荷)です。
Document Number: 002-00206 Rev.*A
Page 95 of 113
S6E1B3 Series
*4 :
USB I/O の外部差動出力信号(D+/D-)のクロス電圧は、1.3V~2.0V の範囲内にあります。
D+
Max 2.0V
VCRS specified range
Min 1.3V
D-
*5 :
Full-Speed 差動データ信号の立上り(Trise)と立下り(Tfall)時間規定です。
出力信号電圧の 10%~90%間の時間で定義されます。
また Full-Speed Buffer に関しては、Tr/Tf は、RFI 反射を最小にするために、Tr/Tf 比を±10%以内と規定されています。
D+
90%
90%
10%
10%
DTrise
Rising time
Tfall
Falling time
Full-speed buffer
Rs=27 Ω
TxD+
CL=50 pF
Rs=27 Ω
TxDCL=50 pF
3-state enable
*6 :
USB Full-Speed 接続は、90Ω±15%の特性インピーダンス(Differential Mode)で、シールドされたツイスト・ペアケーブ
ルを介して行われます。
USB 規格は、USB Driver の出力インピーダンスが 28Ω~44Ω の範囲内になければならないことを規定しており、上記規
格を満足し、バランスをとるために、ディスクリート直列抵抗器(Rs)を付加することを規定しています。
本 USB I/O をご使用の際には、直列抵抗 Rs として 25Ω~30Ω (推奨値: 27 Ω)を付加してご使用ください。
Document Number: 002-00206 Rev.*A
Page 96 of 113
S6E1B3 Series
Full-speed buffer
Rs
TxD+
28 Ω to 44 Ω equivalent impedance
Rs
TxD-
28 Ω to 44 Ω equivalent impedance
Mount it as external resistance.
3-state enable
Rs series resistor 25 Ω to 30 Ω
Series resistor of 27 Ω (recommendation value) must be added.
And, use “resistance with an uncertainty of 5% by E24 sequence”.
*7 :
Low-Speed 差動データ信号の立上り(Trise)と立下り(Tfall)時間規定です。
出力信号電圧の 10%~90%間の時間で定義されます。
D+
90%
90%
10%
10%
DTrise
Rising time
Tfall
Falling time
外部負荷条件は、
『Low-speed load (Compliance Load) 』を参照してください。
Document Number: 002-00206 Rev.*A
Page 97 of 113
S6E1B3 Series
・ Low-Speed Load (Upstream Port Load) – Reference 1
Low-speed buffer
Rs=27 Ω
TxD+
Rpd
CL=50 pF to 150 pF
Rs=27 Ω
TxDRpd
3-state enable
CL=50 pF to 150 pF
Rpd=15 kΩ
・ Low-Speed Load (Downstream Port Load) – Reference 2
Low-speed buffer
Rs=27 Ω
VTERM
TxD+
CL=200 pF to
600 pF
Rs=27 Ω
TxD-
CL=50 pF to 150 pF
3-state enable
Document Number: 002-00206 Rev.*A
Rpu=1.5 kΩ
VTERM=3.6 V
Page 98 of 113
S6E1B3 Series
・ Low-Speed Load (Compliance Load)
Low-speed buffer
Rs=27 Ω
TxD+
CL=200 pF to 450 pF
Rs=27 Ω
TxDCL=200 pF to 450 pF
3-state enable
Document Number: 002-00206 Rev.*A
Page 99 of 113
S6E1B3 Series
11.7 低電圧検出特性
11.7.1 低電圧検出リセット
項目
(TA=-40°C to +105°C)
最小
1.38
1.43
規格値
標準
1.50
1.55
-
-
-
8160×
tCYCP*2
μs
-
-
-
200
μs
記号
条件
検出電圧
解除電圧
VDL
VDH
Fixed*1
LVD 安定待ち時間
tLVDW
LVD 検出遅延時間
tLVDDL
最大
1.60
1.65
単位
V
V
備考
When voltage drops
When voltage rises
*1: 低電圧リセットの検出/解除電圧の選択は出来ません。
*2: tCYCP は APB1 バスクロックのサイクル時間です。
Document Number: 002-00206 Rev.*A
Page 100 of 113
S6E1B3 Series
11.7.2 低電圧検出割込み
項目
(TA=-40°C to +105°C)
SVHI=00100
SVHRLI=00100
SVHI=00101
SVHRLI=00101
SVHI=00110
SVHRLI=00110
SVHI=00111
SVHRLI=00111
SVHI=01000
SVHRLI=01000
SVHI=01001
SVHRLI=01001
SVHI=01010
SVHRLI=01010
SVHI=01011
SVHRLI=01011
SVHI=01100
SVHRLI=01100
SVHI=01101
SVHRLI=01101
SVHI=01110
SVHRLI=01110
SVHI=01111
SVHRLI=01111
SVHI=10000
SVHRLI=10000
SVHI=10001
SVHRLI=10001
SVHI=10010
SVHRLI=10010
SVHI=10011
SVHRLI=10011
最小
1.56
1.61
1.61
1.66
1.66
1.70
1.70
1.75
1.75
1.79
1.79
1.84
1.84
1.89
1.89
1.93
2.30
2.39
2.39
2.48
2.48
2.58
2.58
2.67
2.67
2.76
2.76
2.85
2.85
2.94
2.94
3.04
規格値
標準
1.70
1.75
1.75
1.80
1.80
1.85
1.85
1.90
1.90
1.95
1.95
2.00
2.00
2.05
2.05
2.10
2.50
2.60
2.60
2.70
2.70
2.80
2.80
2.90
2.90
3.00
3.00
3.10
3.10
3.20
3.20
3.30
tLVDW
-
-
-
tLVDDL
-
-
-
記号
条件
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
LVD 安定待ち時間
LVD 検出遅延時間
最大
1.84
1.89
1.89
1.94
1.94
2.00
2.00
2.05
2.05
2.11
2.11
2.16
2.16
2.21
2.21
2.27
2.70
2.81
2.81
2.92
2.92
3.02
3.02
3.13
3.13
3.24
3.24
3.35
3.35
3.46
3.46
3.56
8160 ×
tCYCP*
200
単
位
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
備考
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
μs
μs
*: tCYCP は APB1 バスクロックのサイクル時間です。
Document Number: 002-00206 Rev.*A
Page 101 of 113
S6E1B3 Series
11.7.3 低電圧検出割込み 2
項目
(TA=- 40°C to +105°C)
SVH2I=00100
SVH2RLI=00100
SVH2I=00101
SVH2RLI=00101
SVH2I=00110
SVH2RLI=00110
SVH2I=00111
SVH2RLI=00111
SVH2I=01000
SVH2RLI=01000
SVH2I=01001
SVH2RLI=01001
SVH2I=01010
SVH2RLI=01010
SVH2I=01011
SVH2RLI=01011
SVH2I=01100
SVH2RLI=01100
SVH2I=01101
SVH2RLI=01101
SVH2I=01110
SVH2RLI=01110
SVH2I=01111
SVH2RLI=01111
SVH2I=10000
SVH2RLI=10000
SVH2I=10001
SVH2RLI=10001
SVH2I=10010
SVH2RLI=10010
SVH2I=10011
SVH2RLI=10011
最小
1.56
1.61
1.61
1.66
1.66
1.70
1.70
1.75
1.75
1.79
1.79
1.84
1.84
1.89
1.89
1.93
2.30
2.39
2.39
2.48
2.48
2.58
2.58
2.67
2.67
2.76
2.76
2.85
2.85
2.94
2.94
3.04
規格値
標準
1.70
1.75
1.75
1.80
1.80
1.85
1.85
1.90
1.90
1.95
1.95
2.00
2.00
2.05
2.05
2.10
2.50
2.60
2.60
2.70
2.70
2.80
2.80
2.90
2.90
3.00
3.00
3.10
3.10
3.20
3.20
3.30
tLVDW
-
-
-
tLVDDL
-
-
-
記号
条件
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
検出電圧
解除電圧
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
VDL
VDH
LVD 安定待ち時間
LVD 検出遅延時間
最大
1.84
1.89
1.89
1.94
1.94
2.00
2.00
2.05
2.05
2.11
2.11
2.16
2.16
2.21
2.21
2.27
2.70
2.81
2.81
2.92
2.92
3.02
3.02
3.13
3.13
3.24
3.24
3.35
3.35
3.46
3.46
3.56
8160 ×
tCYCP*
200
単
位
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
備考
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
電圧降下時
電圧上昇時
μs
μs
*: tCYCP は APB1 バスクロックのサイクル時間です。
Document Number: 002-00206 Rev.*A
Page 102 of 113
S6E1B3 Series
11.8 フラッシュメモリ書込み/消去特性
項目
Large
sector
セクタ消去時間
Small
sector
ハーフワード(16 ビット)
書込み時間
チップ消去時間
(VCC=1.65 V to 3.6 V, TA=- 40°C to +105°C)
最小
規格値
標準*
最大*
-
1.1
2.7
-
0.3
0.9
-
30
528
μs
-
11.2
28.8
s
単位
備考
s
The sector erase time includes the time of writing
prior to internal erase.
The halfword (16-bit) write time excludes the
system-level overhead.
The chip erase time includes the time of writing
prior to internal erase.
*: 標準は出荷直後の代表値、最大は書換え 1 万回までの保証値です。
書込みサイクルとデータ保持時間
書込み/消去サイクル
保持時間(年)
1,000
20*
10,000
10*
備考
*: 平均温度 + 85°C
Document Number: 002-00206 Rev.*A
Page 103 of 113
S6E1B3 Series
11.9 スタンバイ復帰時間
11.9.1 復帰要因: 割込み/WKUP
内部回路の復帰要因受付からプログラム動作開始までの時間を示します。
復帰カウント時間
(VCC=1.65 V to 3.6 V, TA=-40°C to +105°C)
項目
規格値
標準
6*HCLK
最大
7*HCLK
単
位
μs
12*HCLK
13*HCLK
μs
20+12*HCLK
42+13*HCLK
μs
20+12*HCLK
42+13*HCLK
μs
71
71+tOSCWT (*2*4)
μs
80
μs
記号
スリープモード
*1
備考
高速 CR タイマモード,
メインタイマモード,
PLL タイマモード
低速 CR タイマモード
tICNT
サブタイマモード
(*3)
38
38+tOSCWT (*2*4)
ストップモード
Deep RTC mode,
45
Deep Stop mode
*1: 規格値の最大値は環境条件に依存します。
RTC モード,
The count time is different in
different clock mode
*2: tOSCWT : 発振安定の時間のためにレジスタで設定された時間です。
*3: 内蔵高速 CR が発振を開始するまでの時間です。
*4: HCR クロックモード以外のクロックモードでスタンバイモードに復帰する場合。
スタンバイ復帰動作例 (外部割込み復帰時*)
External
interrupt
Interrupt factor
accept
Active
tICNT
CPU
Operation
Interrupt factor
clear by CPU
Start
*: 外部割込みは立下りエッジ検出設定時
Document Number: 002-00206 Rev.*A
Page 104 of 113
S6E1B3 Series
スタンバイ復帰動作例 (内部リソース割込み復帰時*)
Internal
resource
interrupt
Interrupt factor
accept
Active
tICNT
CPU
Operation
Interrupt factor
clear by CPU
Start
*:低消費電力モードのとき、内部リソースからの割込みは復帰要因に含まれません。
Notes:
−
復帰要因は低消費電力モードごとに異なります
各低消費電力モードからの復帰要因は『FM0+ ファミリ ペリフェラルマニュアル』の『CHAPTER: 低消費電力モード』を
参照してください。
−
割込み復帰時、CPU が復帰する動作モードは低消費電力モード遷移前の状態に依存します。詳細は『FM0+ ファミリ ペリフェ
ラルマニュアル』の『CHAPTER: 低消費電力モード』を参照してください。
Document Number: 002-00206 Rev.*A
Page 105 of 113
S6E1B3 Series
11.9.2 復帰要因:リセット
リセット解除からプログラム動作開始までの時間を示します。
復帰カウント時間
(VCC=1.65 V to 3.6 V, TA=-40°C to +105°C)
項目
記号
規格値
単位
備考
70
μs
*1 : HCR ON.(HCR/MOSC/PLL
mode)
*2 : HCR OFF.(LCR/SOS mode)
20
30
μs
61
114
μs
サブタイマモード
61
114
μs
RTC/Stop mode
38
85
μs
95
μs
スリープモード
標準
最大*
10 *1
40 *2
高速 CR タイマモード,
メインタイマモード,
PLL タイマモード
低速 CR タイマモード
tRCNT
Deep RTC mode,
46
Deep Stop mode
*:規格値の最大値は内蔵 CR 発振の精度に依存します。
スタンバイ復帰動作例 (INITX 復帰時)
INITX
Internal reset
Reset active
Release
tRCNT
CPU
Operation
Document Number: 002-00206 Rev.*A
Start
Page 106 of 113
S6E1B3 Series
スタンバイ復帰動作例 (内部リソースリセット復帰時*)
Internal
resource
reset
Internal reset
Reset active
Release
tRCNT
CPU
Operation
Start
*:低消費電力モードのとき、内部リソースからのリセット発行は復帰要因に含まれません。
Notes:
−
復帰要因は低消費電力モードごとに異なります。
低消費電力モードからの復帰要因は『FM0+ ファミリ ペリフェラルマニュアル』の『CHAPTER: 低消費電力モード』を参
照してください。
−
割込み復帰時、CPU が復帰する動作モードは低消費電力モード遷移前の状態に依存します。詳細は『FM0+ ファミリ ペリフェ
ラルマニュアル』の『CHAPTER: 低消費電力モード』を参照してください。
−
パワーオンリセット/低電圧検出リセット時は、復帰要因には含まれません。パワーオンリセット/低電圧検出リセット時は、
『11.電気的特性 11.4 交流規格 11.4.7 パワーオンリセットタイミング』を参照してください。
−
リセットからの復帰時、CPU は高速 CR ランモードに遷移します。
−
メインクロックや PLL クロックを使用する場合、追加でメインクロック発振安定待ち時間や、メイン PLL クロックの安定待
ち時間が必要になります。
−
内部リソースリセットとはウォッチドッグリセット, CSV リセットを示します。
Document Number: 002-00206 Rev.*A
Page 107 of 113
S6E1B3 Series
12. オーダ型格
型格
S6E1B34E0AGV2000A
S6E1B36E0AGV2000A
S6E1B34F0AGV20000
S6E1B36F0AGV20000
S6E1B34G0AGV20000
S6E1B36G0AGV20000
On-Chip
Flash
Memory
304
560
304
560
304
560
Document Number: 002-00206 Rev.*A
On-Chip
SRAM
パッケージ
32
64
32
64
32
64
Plastic  LQFP (0.50 mm pitch), 80 pins
(LQH080-02)
Plastic  LQFP (0.50 mm pitch), 100 pins
(LQI100)
Plastic  LQFP (0.50 mm pitch), 120 pins
(LQM120)
Packing
Tray
Tray
Tray
Page 108 of 113
S6E1B3 Series
13. パッケージ・外形寸法図
Document Number: 002-00206 Rev.*A
Page 109 of 113
S6E1B3 Series
Document Number: 002-00206 Rev.*A
Page 110 of 113
S6E1B3 Series
Document Number: 002-00206 Rev.*A
Page 111 of 113
S6E1B3 Series
改訂履歴
文書名: S6E1B3 Series 32-bit ARM® Cortex®-M0+ FM0+ Microcontroller
文書番号: 002-00206
版
ECN 番号
変更者
発行日
変更内容
**
4894468
TEKA
08/31/2015
New Spec. (これは英語版の 001-99224 Rev. ** を翻訳した日本語版です。)
*A
5208999
SHNA
04/07/2016
これは英語版の 001-99224 Rev. *A を翻訳した日本語版です。
Document Number: 002-00206 Rev.*A
Page 112 of 113
S6E1B3 Series
セールス, ソリューションおよび法律情報
ワールドワイドな販売と設計サポート
サイプレスは、事業所、ソリューション センター、メーカー代理店、および販売代理店の世界的なネットワークを保持していま
す。お客様の最寄りのオフィスについては、サイプレスのロケーション ページをご覧ください。
製品
®
®
ARM Cortex Microcontrollers
車載用
クロック&バッファ
インターフェース
照明&電力制御
メモリ
PSoC
タッチ センシング
USB コントローラー
ワイヤレス/RF
cypress.com/arm
cypress.com/automotive
cypress.com/clocks
cypress.com/interface
cypress.com/powerpsoc
cypress.com/memory
cypress.com/psoc
cypress.com/touch
®
PSoC ソリューション
cypress.com/psoc
PSoC 1 | PSoC 3 | PSoC 4 | PSoC 5LP
サイプレス開発者コミュニティ
コミュニティ | フォーラム | ブログ | ビデオ | トレーニ
ング
テクニカルサポート
cypress.com/support
cypress.com/usb
cypress.com/wireless
ARM and Cortex are the registered trademarks of ARM Limited in the EU and other countries.
© Cypress Semiconductor Corporation, 2015-2016. 本書面は、Cypress Semiconductor Corporation 及び Spansion LLC を含むその子会社(以下、「Cypress」という。)に帰属する財産である。本
書面(本書面に含まれ又は言及されているあらゆるソフトウェア又はファームウェア(以下、
「本ソフトウェア」という。)を含む)は、アメリカ合衆国及び世界のその他の国における知的財産法
令及び条約に基づき、Cypress が所有する。Cypress はこれらの法令及び条約に基づく全ての権利を留保し、また、本段落で特に記載されているものを除き、Cypress の特許権、著作権、商標権
又はその他の知的財産権のライセンスを一切許諾していない。本ソフトウェアにライセンス契約書が伴っておらず、かつ、あなたが Cypress との間で別途本ソフトウェアの使用方法を定める書面
による合意をしていない場合、Cypress は、あなたに対して、(1)本ソフトウェアの著作権に基づき、(a)ソースコード形式で提供されている本ソフトウェアについて、Cypress ハードウェア製
品と共に用いるためにのみ、組織内部でのみ、本ソフトウェアの修正及び複製を行うこと、並びに(b)Cypress のハードウェア製品ユニットに用いるためにのみ、
(直接又は再販売者及び販売代
理店を介して間接のいずれかで)エンドユーザーに対して、バイナリーコード形式で本ソフトウェアを外部に配布すること、並びに(2)本ソフトウェア(Cypress により提供され、修正がなさ
れていないもの)に抵触する Cypress の特許権のクレームに基づき、Cypress ハードウェア製品と共に用いるためにのみ、本ソフトウェアの作成、利用、配布及び輸入を行うことについての非独
占的で譲渡不能な一身専属的ライセンス(サブライセンスの権利を除く)を付与する。本ソフトウェアのその他の使用、複製、修正、変換又はコンパイルを禁止する。
適用される法律により許される範囲内で、Cypress は、本書面又はいかなる本ソフトウェアに関しても、明示又は黙示をとわず、いかなる保証(商品性及び特定の目的への適合性の黙示の保証を
含むがこれらに限られない)も行わない。適用される法律により許される範囲内で、Cypress は、別途通知することなく、本書面を変更する権利を留保する。Cypress は、本書面に記載のあるい
かなる製品又は回路の適用又は使用から生じる一切の責任を負わない。本書面で提供されたあらゆる情報(あらゆるサンプルデザイン情報又はプログラムコードを含む)は、参照目的のためのみ
に提供されたものである。この情報で構成するあらゆるアプリケーション及びその結果としてのあらゆる製品の機能性及び安全性を適切に設計し、プログラムし、かつテストすることは、本書面
のユーザーの責任において行われるものとする。Cypress 製品は、兵器、兵器システム、原子力施設、生命維持装置若しくは生命維持システム、蘇生用の設備及び外科的移植を含むその他の医療
機器若しくは医療システム、汚染管理若しくは有害物質管理の運用のために設計され若しくは意図されたシステムの重要な構成部分として用いるため、又はシステムの不具合が人身傷害、死亡若
しくは物的損害を生じさせることになるその他の使用(以下、
「本目的外使用」という。)のためには、設計、意図又は承認されていない。重要な構成部分とは、装置又はシステムのその構成部分
の不具合が、その装置若しくはシステムの不具合を生じさせるか又はその安全性若しくは実効性に影響すると合理的に予想できる、機器又はシステムのあらゆる構成部分をいう。Cypress 製品の
あらゆる本目的外使用から生じ、若しくは本目的外使用に関連するいかなる請求、損害又はその他の責任についても、Cypress はその全部又は一部をとわず一切の責任を負わず、かつ、あなたは
Cypress をそれら一切から免除するものとし、本書により免除する。あなたは、Cypress 製品の本目的外使用から生じ又は本目的外使用に関連するあらゆる請求、費用、損害及びその他の責任(人
身傷害又は死亡に基づく請求を含む)から Cypress を免責補償する。
Cypress、Cypress のロゴ、Spansion、Spansion のロゴ及びこれらの組み合わせ、PSoC、CapsSense、EZ-USB、F-RAM、及び Traveo は、米国及びその他の国における Cypress の商標又は登
録商標である。Cypress の商標のより完全なリストは、cypress.com を参照のこと。その他の名称及びブランドは、それぞれの権利者の財産として権利主張がなされている可能性がある。
Document Number: 002-00206 Rev.*A
April 7, 2016
Page 113 of 113