SANYO LV5219LG

Ordering number : ENA1083
LV5219LG
Bi-CMOS IC
For cell phone
LED driver
Overview
The LV5219LG is an LED driver IC for cellular phones. It incorporates 17 LED drivers (main, sub, tricolor × 2, and
flash) and a switching regulator circuit and supports the step-up through mode. Each LED current level can be adjusted
over the I2C serial bus. The LED driver IC also has functions to synchronize a ringing tone with a tricolor LED and to
automatically control the brightness of white LEDs by brightness sensor.
Functions
• PWM switching regulator control circuit
(Triangular wave oscillator, reference voltage, PWM comparator, driver, DTC, and short-circuit protection
circuit incorporated)
(Timer-latch type output cut-off circuit in case of overload)
• LED driver
Main LCD backlight LED driver × 6 with automatic brightness adjustment
LED current 5-bit changeover 0.0mA to 18.6mA
Fade in/out function
External brightness control function
Dim mode 3-bit changeover 0mA to 1.4mA
MLED4, MLED5, and MLED6 can be controlled independently
Sub LCD backlight LED driver × 2
LED current 5-bit changeover 0.0mA to 18.6mA
Fade in/out function
Independently controlled SLED2
Tricolor LED driver × 2
LED current 5-bit changeover 0.0mA to 18.6mA
Gradation function
Ringing tone synchronization function (Forced to operate at SCTL : H)
Flash LED driver × 3
LED current 5-bit changeover 0.0mA to 18.6mA
Independent control
Any and all SANYO Semiconductor Co.,Ltd. products described or contained herein are, with regard to
"standard application", intended for the use as general electronics equipment (home appliances, AV equipment,
communication device, office equipment, industrial equipment etc.). The products mentioned herein shall not be
intended for use for any "special application" (medical equipment whose purpose is to sustain life, aerospace
instrument, nuclear control device, burning appliances, transportation machine, traffic signal system, safety
equipment etc.) that shall require extremely high level of reliability and can directly threaten human lives in case
of failure or malfunction of the product or may cause harm to human bodies, nor shall they grant any guarantee
thereof. If you should intend to use our products for applications outside the standard applications of our
customer who is considering such use and/or outside the scope of our intended standard applications, please
consult with us prior to the intended use. If there is no consultation or inquiry before the intended use, our
customer shall be solely responsible for the use.
Specifications of any and all SANYO Semiconductor Co.,Ltd. products described or contained herein stipulate
the performance, characteristics, and functions of the described products in the independent state, and are not
guarantees of the performance, characteristics, and functions of the described products as mounted in the
customer' s products or equipment. To verify symptoms and states that cannot be evaluated in an independent
device, the customer should always evaluate and test devices mounted in the customer' s products or
equipment.
10509 MS PC 20071129-S00004 No.A1083-1/65
LV5219LG
Specifications
Maximum Ratings at Ta = 25°C
Parameter
Symbol
Maximum supply voltage
VCC max
Allowable power dissipation
Pd max
Operating temperature
Storage temperature
Conditions
Ratings
Unit
6
V
1.2
W
Topr
-30 to +85
°C
Tstg
-40 to +125
°C
Mounted on a board *
∗ Designated board : 40mm×50mm×0.8mm, glass epoxy 4-layter board (2S2P)
Recommended Operating Conditions at Ta = 25°C
Parameter
Symbol
Conditions
Ratings
Unit
Supply voltage 1
VBAT
2.7 to 4.5
V
Supply voltage 2
VDD
1.65 to 3
V
Supply voltage 3
VDD2
1.65 to 3
V
* Use the IC so that supply voltages 1, 2, and 3 have a magnitude relationship expressed as VBAT > VDD and VBAT > VDD2.
* Powers must be turned on so that VBAT brings up first.
* Powers must be turned off so that VBAT brings down last.
Electrical Characteristics Ta = 25°C, VBAT = 3.7V, VDD = VDD2 = 2.6V
Parameter
Symbol
Ratings
Conditions
min
typ
Unit
max
ICC1
RESET : L (standby mode)
(PVBAT, SVBAT)
ICC2
RESET : H (sleep mode)
0.3
5
µA
ICC3
When the switching regulator is operated.
1.5
2.5
mA
Switching regulator operation
1.5
2.5
mA
consumption current
ICC4
0
5
µA
VBAT system
LED : ON current set to 0
VDD system (VDD)
consumption current
ICC5
RESET : L (standby mode)
0
5
µA
ICC6
RESET : H (sleep mode)
0
5
µA
ICC7
When the switching regulator is operated.
0
5
µA
Switching regulator operation
0
5
µA
ICC8
LED : ON current set to 0
VDD system (VDD2)
consumption current
ICC9
RESET : L (standby mode)
0
5
µA
ICC10
RESET : H (sleep mode)
0
5
µA
ICC11
When the switching regulator is operated.
0
5
µA
ICC12
Switching regulator operation
0
5
µA
LED : ON current set to 0
Switching regulator block
Output voltage 1
VO1
LED pin voltage
VO2
IO = 30mA, when output set to 5V
4.8
5.0
5.2
V
LED pin voltage Lowest voltage of feed back mode
0.2
0.3
0.4
V
0.8
1
1.2
MHz
LED pin voltages MLED1, 2, 3, 4, 5, and 6 (except
when MLED4, MLED5, and 6 are controlled
independently)
Clock frequency
fOSC
External capacitance value connected to CT pin is
27pF
Changeover voltage
VD1
Voltage for switching from through mode to LED pin
0.2
V
fixed voltage mode when the LED pin voltage main
current value is set to 18.6mA
Changeover monitoring time
TVD1
Time interval predetermined to detect error for the
50
120
µs
1000
µs
LED pin voltage when operation is switched over to
stepping up with the detection of LED pin voltage.
Soft start time
TST
Through SW ON resistance
RTH
Load = 100mA
1
Ω
Continued on next page.
No.A1083-2/65
LV5219LG
Continued from preceding page.
Parameter
Symbol
Ratings
Conditions
min
typ
Unit
max
LED driver block
Minimum output current
IMIN1
Serial data = #00
0.2
0.6
1.7
Maximum output current
IMAX1
Serial data = #1E
17.4
18.6
19.8
mA
Nonlinearity error
LE
*1
-2
2
LSB
Differential linearity error
DLE
*2
-2
2
LSB
LED pin voltage
VS1
RGB1, RGB2, FLED1, 2 SLED1, 2
Saturation characteristic 1
LED pin voltage
mA
0.35
V
MAX current set
VS2
MLED1, 2, 3, 4, 5, 6 set to MAX current
0.2
V
M1
MLED1, 2, 3, 4, 5, 6 MAX current set
±5
%
M2
SLED1, 2 MAX current set
±5
%
M3
R1LED, R2LED MAX current set
±5
%
M4
G1LED, G2LED MAX current set
±5
%
M5
B1LED, B2LED MAX current set
±5
%
Leakage current
IL
LED driver : OFF, VO = 5V
1
µA
KLED pin on resistance
RKE
KLED1, KLED2 50 mA drive mode
External CTL current 1
VEM1
MLED1, 2, 3 current values, MICTL pin voltage =
Saturation characteristic 2
LED current pairing
characteristic 1
LED current pairing
characteristic 2
LED current pairing
characteristic 3
LED current pairing
characteristic 4
LED current pairing
characteristic 5
Ω
5
-0.05
0
+0.05
mA
69.6
74.6
79.6
%
42
47
52
%
15.3
20.3
25.3
%
0
0.5
mA
10
µs
VDD2, VDD2 = 2.6V, RT2 = 120kΩ, VDD2 = 1.8V,
RT2 = 82kΩ, difference current when serial MISW :
OFF
External CTL current 2
VEM2
MLED1, 2, 3 current values, MICTL pin voltage =
VDD2×3/4, VDD2 = 2.6V, RT2 = 120kΩ, VDD2 =
1.8V, RT2 = 82kΩ, ratio to the current value when
serial MISW : OFF
External CTL current 3
VEM3
MLED1, 2, 3 current values, MICTL pin voltage =
VDD2×1/2, VDD2 = 2.6V, RT2 = 120kΩ, VDD2 =
1.8V, RT2 = 82kΩ, ratio to the current value when
serial MISW : OFF
External CTL current 4
VEM4
MLED1, 2, 3 current values, MICTL pin voltage =
VDD2×1/4, VDD2 = 2.6V, RT2 = 120kΩ, VDD2 =
1.8V, RT2 = 82kΩ, ratio to the current value when
serial MISW : OFF
External CTL current 5
VEM5
MLED1, 2, 3 current values, MICTL pin voltage = 0,
= VDD2 = 2.6V, RT2 = 120kΩ, VDD2 = 1.8V, RT2 =
82kΩ, operation to turn off LED by applying 0V to the
MICTL pin is prohibited.
SCTL response time
TSCTL
RGB1 and 2LED current delay time when switching
SCTL on/off
*1 Nonlinearity error : Error from the ideal current value.
*2 Differential linearity error : Error from the ideal increment when increase by 1 bit is made.
Continued on next page.
No.A1083-3/65
LV5219LG
Continued from preceding page.
Parameter
Symbol
Ratings
Conditions
min
typ
Unit
max
Brightness sensor information input circuit
PTD pin threshold voltage 1
VP1
Brightness 1-2 switching PTD pin voltage
0.197
0.247
0.297
V
PTD pin threshold voltage 2
VP2
Brightness 2-3 switching PTD pin voltage
0.241
0.291
0.341
V
PTD pin threshold voltage 3
VP3
Brightness 3-4 switching PTD pin voltage
0.283
0.333
0.838
V
PTD pin threshold voltage 4
VP4
Brightness 4-5 switching PTD pin voltage
0.325
0.375
0.425
V
PTD pin threshold voltage 5
VP5
Brightness 5-6 switching PTD pin voltage
0.369
0.419
0.469
V
PTD pin threshold voltage 6
VP6
Brightness 6-7 switching PTD pin voltage
0.411
0.461
0.511
V
PTD pin threshold voltage 7
VP7
Brightness 7-8 switching PTD pin voltage
0.453
0.503
0.553
V
PTD pin threshold voltage 8
VP8
Brightness 8-9 switching PTD pin voltage
0.492
0.547
0.602
V
PTD pin threshold voltage 9
VP9
Brightness 9-10 switching PTD pin voltage
0.530
0.589
0.648
V
PTD pin threshold voltage 10
VP10
Brightness 10-11 switching PTD pin voltage
0.563
0.631
0.694
V
PTD pin threshold voltage 11
VP11
Brightness 11-12 switching PTD pin voltage
0.605
0.672
0.739
V
PTD pin threshold voltage 12
VP12
Brightness 12-13 switching PTD pin voltage
0.645
0.717
0.789
V
PTD pin threshold voltage 13
VP13
Brightness 13-14 switching PTD pin voltage
0.683
0.759
0.835
V
PTD pin threshold voltage 14
VP14
Brightness 14-15 switching PTD pin voltage
0.721
0.801
0.881
V
PTD pin threshold voltage 15
VP15
Brightness 15-16 switching PTD pin voltage
0.752
0.843
0.920
V
VINH1
Input high level serial
Low level 1
VINL1
Input low level serial
High level 2
VINH2
Input high level RESET, SCTL
Low level 2
VINL2
Input low level RESET, SCTL
High output level 1
VHO1
Output high level PTEN, IL = 1mA
VDD-0.3
Low output level 1
VLO1
Output low level PTEN, IL = -1mA
0
High output level 2
VHO2
Output high level INT, IL = 1mA
Control circuit block
High level 1
Low output level 2
VLO2
Output low level INT, IL = -1mA
High output level 3
VHO3
Output high level GPO0, GPO1, GPO2, IL = 1mA
Low output level 3
VLO3
Output low level GPO0, GPO1, GPO2, IL = -1mA
Output setting: when set to buffer output setting
OPEN output
IOP1
Current flows in or or out when VDD is applied to
output. When GPO0, GPO1, GPO2 output is set to
0.8VDD
V
0
0.2VDD
1.5
V
V
0
0.3
V
V
0.3
VDD-0.3
V
V
0
0.3
VDD-0.3
V
V
Output setting: when set to buffer output setting
leakage current 1
0
0.3
V
-1
0
1
µA
-1
0
1
µA
-1
0
1
µA
OPEN output.
OPEN output
IOP2
leakage current 2
Current flows in or out when 0V is applied to output.
When GPO0, GPO1, GPO2 output is set to OPEN
output.
High input current 1
IHIN1
Current flows in or out when VBAT voltage is applied
to PTD pin
Low input current 1
ILIN1
Current flows in or out when 0V is applied to PTD pin
-1
0
1
µA
High input current 2
IHIN2
Current flows in or out when VDD is applied to
-3
0
3
µA
Low input current 2
ILIN2
5
6.5
8
µA
-1
0
1
µA
-1
0
1
µA
28
40
52
µA
-1
0
1
µA
MICTL pin
Current flows in or out when 0V is applied to MICTL
pin
High input current 3
IHIN3
Current flows in or out when VBAT voltage is applied
to RESET pin
Low input current 3
ILIN3
Current flows in or out when 0V is applied to RESET
pin
High input current 4
IHIN4
Current flows in or out when VDD is applied to SCTL
pin
Low input current 4
ILIN4
Current flows in or out when 0V is applied to SCTL
pin
No.A1083-4/65
LV5219LG
Package Dimensions
unit : mm (typ)
3359
Pd max -- Ta
0.55
1
2
3 4
0.65
5.0
5 6 7
0.45
0.45
0.55
5.0
Allowable power dissipation, Pd max – W
1.4
G F E D
C B A
0.65
0.8
0.0 NOM
0.35
Specified board : 50×40×0.8mm3
4-layer glass epoxy
1.2
1.0
0.8
0.6
0.48
0.4
0.2
0
– 30 – 20
0
20
40
60
80 85
100
Ambient temperature, Ta – °C
SANYO : FLGA49J(5.0X5.0)
Pin Assignment
G
F
E
D
C
B
A
LED
TEST3 MLED3 GND1 MLED2 SGND MLED_F TEST
CT
2
SCL
SVBAT
3
INT
IN
4
SLED2 MLED4 MLED5 MLED6 MLED1 MICTL
SLED1 RLED1
RT2
LED
GND2 RLED2
SDA
GPO2 GPO1
1
PTD
SCTL
VDD
PTEN
GPO0 PVBAT
5
RT
VDD2 SWOUT
6
LED
TEST1 FLED2 FLED1 GND3 KLED2 PGND TEST2
7
GLED1 GLED2 RESET
BLED2 BLED1 FLED3 KLED1
Top View
No.A1083-5/65
LV5219LG
MLED1
PTEN
EN
Sensor
RT
VBAT
VBAT
GND
0.47µF
OSC
MLED2
PTD
IREF
Automatic
brightness
control
LED DRIVER
MLED1,2,3,4,5,6
MLED3
MLED4
PVBAT
PWM
MLED5
IN
ERR
AMP
MICTL
MLED1,2,3,4,5,6
RT2
MLED6
SVBAT
47µH
SCH2817
DRIVER
SLED1
SLED2
VOUT
SWOUT
PGND
SGND
RLED1
BLED1
4.7µF
VDD
LED DRIVER
GLED1
RESET
FLED1
FLED2
I/F
SDA
INT
LED CTL
BLED2
Fade IN/OUT
GLED2
SCL
Gradation
RLED2
MLED1,2,3,4,5,6
SLED1,2
TEST
RLED1,2
GLED1,2
BLED1,2
VDD2
IREF2
When VDD2 = 1.8V
82kΩ
MLED1,2,3,4,5,6
Block Diagram
GPO0
GPO1
FLED3
GPO2
MLED_F
0.22µF
LEDGND1
SCTL
LEDGND2
VDD2
LEDGND3
KLED1
TEST3
KLED2
TEST1
TEST2
No.A1083-6/65
LV5219LG
Pin Functions
Pin No.
A1
Pin name
TEST
Pin Description
Equivalent Circuit
Test signal input pin.
A3
Be sure to connect the pin to GND.
40kΩ
A1
10kΩ
40kΩ
A2
CT
Oscillator frequency setting capacitance connection pin.
Triangular wave output is generated by connecting an external
Internal reference
A3
40kΩ
capacitor across this pin and GND. The clock frequency of the switching
regulator and the LED on/off fade time can be adjusted by changing the
value of the external capacitor.
A3
SVBAT
Power pin for analog circuit.
A4
IN
DC/DC feedback voltage input pin.
A2
Feedback is applied so that the input voltage becomes equal to the set
A3
voltage in the output voltage fixed mode. Overvoltage limiter is activated
when the input voltage rises about 0.6V higher than the fixed mode set
A4
A5
voltage. When the input voltage falls, the switching regulator is stopped
in about 10ms. This condition is released by resetting the IC or setting
the serial RGSW to OFF.
A5
PVBAT
A6
SWOUT
Power pin for DC/DC pulse output driver.
DC/DC PWM pulse output pin.
A5
The on resistance of both output transistors PCH and NCH are about
10Ω.
300kΩ
A6
B1
MLED_F
MLED filter capacitor connection pin.
A3
50kΩ
1kΩ
B1
MICTL
MLED external brightness control pin.
The main LED normal current value can be varied by applying a DC
A3
B6
voltage to this pin. The set current value is obtained when VDD2
voltage is applied. The main LED normal current decreases as the
voltage is lowered. The level of current change can be varied by the
200kΩ
B2
200kΩ
B2
value of external resistor connected to the RT2 pin.
Continued on next page.
No.A1083-7/65
LV5219LG
Continued from preceding page.
Pin No.
B3
Pin name
SCL
Pin Description
Equivalent Circuit
Serial clock signal input pin.
A3
D5
10kΩ
B3
B4
INT
Interrupt signal output pin.
A3
D5
B4
B6
VDD2
Reference power for MLED external sync circuit.
B7
PGND
DC/DC pulse output driver GND pin.
C1
SGND
Analog circuit GND pin.
General-purpose output pins.
B5
GPO0
C3
GPO1
D3
GPO2
D5
A3
B5
C3
D3
C4
SCTL
RBGLED external sync signal input pin.
When the serial R1SW, G1SW, and B1SW, G2SW, and B2SW are set
A3
to ON, setting the application voltage H causes tricolor LED to go ON.
When the application voltage set to L, tricolor LED goes OFF. Note that
if the serial settings SCSW1 and SCSW2 are set to "ignore SCTL,"
tricolor LED goes ON regardless of the state of SCTL.
C5
PTEN
Brightness sensor ON/OF control pin.
50kΩ
C4
100kΩ
A3
C5
C6
RT
Reference current setting resistor connection pin.
By connecting the external resistor between this pin and GND, the
A3
reference current is generated. The pin voltage is about 0.25V. Change
30kΩ
of this current value enables change of the oscillation frequency and
LED driver current value.
C6
Continued on next page.
No.A1083-8/65
LV5219LG
Continued from preceding page.
Pin No.
Pin name
Pin Description
Equivalent Circuit
D6
KLED1
Key LED driver output pins.
C7
KLED2
C2
MLED1
Main LCD backlight LED driver pin.
D1
MLED2
Feedback is applied so that the current flow through the output
F1
MLED3
transistor becomes equal to the set current value. The driver current
F2 C2
F2
MLED4
value can be adjusted in 0.6mA steps from about 0.6mA to 18.6mA
E2 D1
E2
MLED5
through serial setting. These pins are also used to switch from through
D2 F1
D2
MLED6
to switching regulator mode and to sense the feedback of the output
D6
C7
voltage to the LED pins.
D4
PTD
Brightness sensor output connection pin.
A3
Used to determine the brightness according to the DC input voltage.
Must be connected to GND when not to be used.
20kΩ
D4
D5
VDD
D7
LEDGND3
Power pin for IF.
LED driver dedicated GND pin 3
E1
LEDGND1
LED driver dedicated GND pin 1
E3
RT2
Reference current setting resistor connection pin used when MLED is
controlled externally.
A3
1kΩ
The resistance value of the external resistor connected to the VDD2 pin
can set a variable value of the main LED normal mode current that is
varied with the MICTL pin.
E4
SDA
Serial data signal input pin.
200kΩ
E3
A3
D5
10kΩ
E4
E5
RESET
Reset signal input pin.
Reset state when low.
A3
0.2µA
18kΩ
E5
E7
FLED1
Flash LED driver pins.
F7
FLED2
Feedback is applied so that the current flow through the output
E6
FLED3
transistor becomes equal to the set current value. Each driver output
current value can be adjusted independently with the 0.6 step from
E7
F7
E6
about 0.8mA to 18.6mA through serial setting.
Continued on next page.
No.A1083-9/65
LV5219LG
Continued from preceding page.
Pin No.
Pin name
Pin Description
F3
RLED1
Tricolor LED driver pins.
F4
RLED2
Feedback is applied so that the current flow through the output
G5
GLED1
transistor becomes equal to the set current value. Each driver output
F5
GLED2
current value can be adkusted independently with the 0.6 step from
F6
BLED1
about 0.8mA to 18.6mA through serial setting.
G6
BLED2
These pins are turned ON only when the SCTL pin is set high or the
Equivalent Circuit
F5 F3
F6 F4
G6 G5
serial SCTEN and SCSW2 pins are set to ignore SCTL.
G3
SLED1
Sub-LED backlight LED driver pins.
G2
SLED2
Feedback is applied so that the current flow through the output
G3
G2
transistor becomes equal to the set current value. The driver output
current value can be adjusted independently with the 0.6 step from
about 0.8mA to 18.6mA through serial setting.
G4
LEDGND2
LED driver dedicated GND pin 2.
G7
TEST1
Test signal input pin.
A7
TEST2
Be sure to connect the pin to GND.
G1
TEST3
A3
G7
50kΩ
A7
G1
100kΩ
No.A1083-10/65
LV5219LG
Serial Bus Communication Specifications
I2C serial transfer timing conditions
twH
SCL
th1
twL
th2
tbuf
SDA
th1
ts2
ts1
ts3
Resend start condition
Start condition
ton
Stop condition
tof
Input waveform condition
Standard mode
Parameter
symbol
Conditions
min
ts1
SCL setup time relative to the fall of SDA
4.7
ts2
SDA setup time relative to the rise of SCL
250
ns
ts3
SCL setup time relative to the rise of SDA
4.0
µs
th1
SCL data hold time relative to the rise of SDA
4.0
µs
th2
SDA hold time relative to the fall of SCL
twL
Input waveform conditions
Bus free time
100
unit
Data set up time
Pulse width
0
max
fscl
Data hold time
SCL clock frequency
typ
SCL clock frequency
kHz
µs
0
µs
SCL pulse width for the L period
4.7
µs
twH
SCL pulse width for the H period
4.0
ton
SCL and SDA (input) rise time
1000
ns
tof
SCL and SDA (input) fall time
300
ns
tbuf
Time between STOP and START conditions
µs
µs
4.7
High-speed mode
Parameter
Symbol
Conditions
typ
ts1
SCL setup time relative to the fall of SDA
0.6
ts2
SDA setup time relative to the rise of SCL
100
ns
ts3
SCL setup time relative to the rise of SDA
0.6
µs
th1
SCL data hold time relative to the rise of SDA
0.6
µs
th2
SDA hold time relative to the fall of SCL
twL
Input waveform conditions
Bus free time
400
unit
Data setup time
Pulse width
0
max
fscl
Data hold time
SCL clock frequency
min
SCL clock frequency
kHz
µs
0
µs
SCL pulse width for the L period
1.3
µs
twH
SCL pulse width for the H period
0.6
ton
SCL and SDA (input) rise time
300
tof
SCL and SDA (input) fall time
300
tbuf
Time between STOP and START conditions
1.3
µs
ns
ns
µs
No.A1083-11/65
LV5219LG
I2C bus transmission method
Start and stop conditions
In the I2C bus, SDA must basically be kept in the constant state while SCL is "H" as shown below during data
transfer.
SCL
SDA
ts2
th2
When data transfer is not made, both SCL and SDA are in the "H" state.
When SCL = SDA = "H", change of SDA from "H" to "L" enables the start conditions to start access.
When SCL is "H", change of SDA from "L" to "H" enables the stop conditions to stop access.
Start condition
Stop condition
th1
th3
SCL
SDA
Data transfer and acknowledgement response
After establishment of start conditions, data transfer is made by one byte (8 bits).
Data transfer enables continuous transfer of any number of bytes.
Each time the 8-bit data is transferred, the ACK signal is sent from the receive side to the send side.
The ACK signal is issued when SDA on the send side is released and SDA on the receive side is set "L" immediately
after fall of the clock pulse at the SCL eighth bit of data transfer to "L".
When the next 1-byte transfer is left in the receive state after transmission of the ACK signal from the receive side,
the receive side releases SDA at fall of the SCL ninth clock.
In the I2C bus, there is no CE signal. Instead, 7-bit slave address is assigned to each device and the first byte of
transfer is assigned to the command (R/W) representing the 7-bit slave address and subsequent transfer direction.
The 7-bit address is transferred sequentially from MSB and the second byte is WRITE when the eighth bit is "L" and
READ when the eighth bit is "H".
In LV5219LG, the slave address is specified as (1110100).
Start
M
S
B
Slave address
L
S
B
W
A
C
K
M
S
B
Register address
L
S
B
A
C
K
M
S
B
L
S
B
Data
A
C
K
STOP
A
C
K
STOP
SCL
SDA
(WRITE)
1 1
1
0
1
0
0
0
0 0 1 0 0 0 1 0
0 0
0
0
0
0
0 1
SDA
(READ)
1 1
1
0
1
0
0
1
0 0 0 0 1 0 1 1
0 0
0
1
0
0
0 0
Slave address
L
S
B
W
Start
M
S
B
A
C
K
M
S
B
Data 1
L
S
B
A
C
K
M
S
B
Data 2
L
S
B
No.A1083-12/65
LV5219LG
Data transfer write format
The slave address and Write command must be allocated to the first byte and the register address in the serial map
must be designated in the second byte.
For the third byte, data transfer is carried out to the address designated by the register address which is written in the
second byte. Subsequently, if data continues, the register address value is automatically incremented for the fourth
and subsequent bytes.
Thus, continuous data transfer starting at the designated address is made possible.
After the register address reaches 7Fh, the transfer address for the next byte is set to 00h.
Data write example
1
1
1
1
0
1
0
0
0
A
0
0
Slave address
0
0
0
1
1
1
A
Data 1
Register address set to 07h
A
Write data to address 07h
R/W = 0 written
Data 2
A
Data 3
Write data to address 08h
S
Start condition
P
1
1
1
0
1
0
0
Data 4
Write data to address 09h
Stop condition
Master side transmission
S
A
A
A
P
Write data to address 0Ah
A
ACK signal
A
Sr
Slave side transmission
0
A
0
Slave address
0
1
0
1
1
1
0
1
1
1
Register address set to 2Eh
Restart
A
Read data from address 2Eh
1
0
0
1
A
Slave address
R/W = 0 written
Data 1
0
A
Data 2
R/W = 1 read
P
Read data from address 2Fh
Notify end of read by not sending out ACK
S
Start condition
Master side transmission
P
Stop condition
Slave side transmission
A
Sr
A
A
ACK signal
Restart condition
No.A1083-13/65
LV5219LG
Serial modes setting
address : 00h (LEDCTL) LED Setting 1 Register Write
00h (LEDCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MLED6
MLED5
MLED4
MSW2
MSW1
FXSW
RGSW
STBY
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : STBY
(Standby setting)
0 : Standby state *Default
1 : Active state
D1 : RGSW (Switching regulator operation setting)
0 : Switching regulator forced to OFF *Default
1 : Switching regulator waiting for step-up
D2 : FXSW (Fixed output voltage mode setting)
0 : Auto step-up mode *Default
1 : Fixed voltage step-up mode (fixed at voltage set through serial VD)
D3 : MSW1 (Main LED output setting (dim mode))
0 : Dim mode OFF *Default
1 : Dim mode ON
D4 : MSW2 (Main LED output setting (normal mode))
0 : Normal mode OFF *Default
1 : Normal mode ON
D5 : MLED4 (MLED4 output setting)
0 : OFF *Default
1 : ON
D6 : MLED5 (MLED5 output setting)
0 : OFF *Default
1 : ON
D7 : MLE6
(MLED6 output setting)
0 : OFF *Default
1 : ON
No.A1083-14/65
LV5219LG
address : 01h (LEDCTL2) LED Setting 2 Register Write
01h (LEDCTL2)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
SPM6
SPM5
SPM4
SPS2
S2SW
S1SW
MISW
VOCSW
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : VOCSW (Step-up setting)
0 : Manual setting *Default
1 : Automatic setting
*Sets, when FXSW is set to OFF, whether automatic step-up is to be carried out or not
when LEDs other than the main LEDs are turned on.
In automatic setting mode, stepping-up is carried out when LEDs other than the MLEDs
are turned on.
D1 : MISW
(MICTL setting = Enable brightness adjustment for LEDs other than main LEDs)
0 : MICTL disabled *Default
1 : MICTL enabled
D2 : S1SW
(SLED1 output setting)
0 : OFF *Default
1 : ON
D3 : S2SW
(SLED2 output setting)
0 : OFF *Default
1 : ON
D4 : SPS2
(SLED2 independent control setting)
…Same operation as SLED1 when set to OFF. *Default
0 : OFF
…When set to ON, operate as SLED2 set current, independently of SLED1.
1 : ON
D5 : SPM4
(MLED4 independent control setting)
…Same operation as MLED1, 2, and 3 when set to OFF. *Default
0 : OFF
…When set to ON, operate as MLED4 set current, irrespective of main LEDs.
1 : ON
D6 : SPM5
(MLED5 independent control setting)
…Same operation as MLED1, 2, and 3 when set to OFF. *Default
0 : OFF
…When set to ON, operate as MLED5 set current, irrespective of main LEDs.
1 : ON
D7 : SPM6
(MLED6 independent control setting)
…Same operation as MLED1, 2, and 3 when set to OFF. *Default
0 : OFF
…When set to ON, operate as MLED6 set current, irrespective of main LEDs.
1 : ON
No.A1083-15/65
LV5219LG
address : 02h (LEDCTL3) LED Setting 3 Register Write
02h (LEDCTL3)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
SCSW2
B2SW
G2SW
R2SW
SCSW1
B1SW
G1SW
R1SW
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : R1SW
(RLED1 output setting)
0 : OFF *Default
1 : ON
D1 : G1SW
(GLED1 output setting)
0 : OFF *Default
1 : ON
D2 : B1SW
(BLED1 output setting)
0 : OFF *Default
1 : ON
D3 : SCSW1
(SCTL signal enable 1 RLED1, GLED1, BLED1 setting)
0 : SCTL enabled *Default
1 : SCTL disabled
D4 : R2SW
(RLED2 output setting)
0 : OFF *Default
1 : ON
D5 : G2SW
(GLED2 output setting)
0 : OFF *Default
1 : ON
D6 : B2SW
(BLED2 output setting)
0 : OFF *Default
1 : ON
D7 : SCSW2
(SCTL signal enable 2 RLED2, GLED2, BLED2 setting)
0 : SCTL enabled *Default
1 : SCTL disabled
*SCTL is the external sync pin input used for the synchronization with the sound signal from the
sound source IC. It turns on and off the tricolor LEDs.
*The SCTL signal can be enabled or disabled with the SCT signal enable setting.
The relationships among SCSW, SCTL, and RLED, GLED, and BLED are shown below.
SCSW1
SCTL pin
RLED1, GLED1, BLED1 State
0
L
Turn off
0
H
Turn-on enable
1
L
Turn-on enable
1
H
Turn-on enable
SCSW2
SCTL pin
RLED2, GLED2, BLED2 State
0
L
Turn off
0
H
Turn-on enable
1
L
Turn-on enable
1
H
Turn-on enable
Forced off regardless of the serial command when
set to turn off.
When set to "turn-on enable," the LEDs can be
turned on with the serial command R1SW, G1SW, or
B1SW, or can be automatically turned on and off in
the gradation/pulse mode.
Forced off regardless of the serial command when
set to turn off.
When set to "turn-on enable," the LEDs can be
turned on with the serial command R2SW, G2SW, or
B2SW, or B1SW, or can be automatically turned on
and off in the gradation/pulse mode.
No.A1083-16/65
LV5219LG
address : 03h (LEDCTL4) LED Setting 4 Register Write
03h (LEDCTL4)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MFSW
KESW4
KESW3
KESW2
KESW1
F3SW
F2SW
F1SW
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : F1SW
(FLED1 output setting)
0 : OFF *Default
1 : ON
D1 : F2SW
(FLED2 output setting)
0 : OFF *Default
1 : ON
D2 : F3SW
(FLED3 output setting)
0 : OFF *Default
1 : ON
D3 : KESW1
(KLED1 output setting 1)
0 : Forced *Default
1 : Automatic
D4 : KESW2
(KLED1 output setting 2)
0 : OFF *Default
1 : ON
* Controllable only when KESW1 is set to "Forced."
D5 : KESW3
(KLED2 output setting 1)
0 : Forced *Default
1 : Automatic
D6 : KESW4
(KLED2 output setting 2)
0 : OFF *Default
1 : ON
* Controllable only when KESW3 is set to "Forced."
D7 : MFSW
(Main LED filter ON/OFF setting)
0 : OFF *Default
1 : ON
*Operate for main LED current other that dim mode.
No.A1083-17/65
LV5219LG
address : 04h (MLEDDACTL) MLED Current Setting Register Write
04h (MLEDDACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MAINL [2]
MAINL [1]
MAINL [0]
MAINH [4]
MAINH [3]
MAINH [2]
MAINH [1]
MAINH [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : MAINH [0] to MAINH [4] (MLED1, 2, and 3 current settings (normal mode))
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
D5 to D7 : MAINL [0] to MAINL [2] (MLED current settings (dim mode))
D7
D6
D5
Current value (mA)
0
0
0
0.2
0
0
1
0.4
0
1
0
0.6
0
1
1
0.8
1
0
0
1.0
1
0
1
1.2
1
1
0
1.4
1
1
1
0.0
*Default
LED is turned off when these bits are set to 111. Fade out operation
using this setting is prohibited.
Use of fade out operation is inhibited.
No.A1083-18/65
LV5219LG
address : 05h (M4DACTL) MLED4 Current Setting Register Write
05h (M4DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
M4C [4]
M4C [3]
M4C [2]
M4C [1]
M4C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : M4C [0] to M4C [4] (MLED4 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
No.A1083-19/65
LV5219LG
address : 06h (M5DACTL) MLED5 Current Setting Register Write
06h (M5DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
M5C [4]
M5C [3]
M5C [2]
M5C [1]
M5C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : M5C [0] to M5C [4] (MLED5 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
No.A1083-20/65
LV5219LG
address : 07h (M6_VD_DACTL) MLED6 Current Setting/Output Setting Register Write
07h (M6_VD_DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
VD [2]
VD [1]
VD [0]
M6C [4]
M6C [3]
M6C [2]
M6C [1]
M6C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : M6C [0] to M6C [4] (MLED6 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
D5 to D7 : VD [0] to VD [2] (Output DC settings)
D7
D6
D5
Output Voltage (V)
0
0
0
5.0
0
0
1
4.3
0
1
0
4.5
0
1
1
4.7
1
0
0
4.9
1
0
1
5.1
1
1
0
5.3
1
1
1
5.5
*Default
No.A1083-21/65
LV5219LG
address : 08h (S1DACTL) SLED1 Current Setting Register Write
08h (S1DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
S1C [4]
S1C [3]
S1C [2]
S1C [1]
S1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : S1C [0] to S1C [4] (SLED1 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
No.A1083-22/65
LV5219LG
address : 09h (S2DACTL) SLED2 Current Setting Register Write
09h (S2DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
S2C [4]
S2C [3]
S2C [2]
S2C [1]
S2C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : S2C [0] to S2C [4] (SLED2 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
No.A1083-23/65
LV5219LG
address : 0Ah (R1DACTL) RLED1 Current Setting Register Write
0Ah (R1DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MAXC1 [2]
MAXC1 [1]
MAXC1 [0]
R1C [4]
R1C [3]
R1C [2]
R1C [1]
R1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : R1C [0] to R1C [4] (RLED1 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
D5 to D7 : MAXC1 [0] to MAXC1 [2] (Group 1 (RLED1, GLED1, BLED1) maximum current value settings)
D7
D6
D5
Max. Current value (mA)
0
0
0
18.6 (100%)
0
0
1
16.275 (87%)
0
1
0
13.95 (75%)
0
1
1
11.625 (62.5%)
1
0
0
9.3 (50%)
1
0
1
6.975 (37.5%)
1
1
0
4.65 (25%)
1
1
1
2.325 (12.5%)
*Default
No.A1083-24/65
LV5219LG
address : 0Bh (G1DACTL) GLED1 Current Setting Register Write
0Bh (G1DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
G1C [4]
G1C [3]
G1C [2]
G1C [1]
G1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : G1C [0] to G1C [4] (GLED1 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
No.A1083-25/65
LV5219LG
address : 0Ch (B1DACTL) BLED1 Current Setting Register Write
0Ch (B1DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
B1C [4]
B1C [3]
B1C [2]
B1C [1]
B1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : B1C [0] to B1C [4] (BLED1 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
No.A1083-26/65
LV5219LG
address : 0Dh (R2DACTL) RLED2 Current Setting Register Write
0Dh (R2DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MAXC2 [2]
MAXC2 [1]
MAXC2 [0]
R2C [4]
R2C [3]
R2C [2]
R2C [1]
R2C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : R2C [0] to R2C [4] (RLED2 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
D5 to D7 : MAXC2 [0] to MAXC2 [2] (Group 2 (RLED2, GLED2, BLED2) maximum current value settings)
D7
D6
D5
Max. Current value (mA)
0
0
0
18.6 (100%)
0
0
1
16.275 (87%)
0
1
0
13.95 (75%)
0
1
1
11.625 (62.5%)
1
0
0
9.3 (50%)
1
0
1
6.975 (37.5%)
1
1
0
4.65 (25%)
1
1
1
2.325 (12.5%)
*Default
No.A1083-27/65
LV5219LG
address : 0Eh (G2DACTL) GLED2 Current Setting Register Write
0Eh (G2DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
G2C [4]
G2C [3]
G2C [2]
G2C [1]
G2C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : G2C [0] to G2C [4] (GLED2 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
No.A1083-28/65
LV5219LG
address : 0Fh (B2DACTL) BLED2 Current Setting Register Write
0Fh (B2DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
B2C [4]
B2C [3]
B2C [2]
B2C [1]
B2C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : B2C [0] to B2C [4] (BLED2 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
LED is turned off when these bits are set to 11111. Fade out operation
using this setting is prohibited.
No.A1083-29/65
LV5219LG
address : 10h (F1DACTL) FLED1 Current Setting Register Write
10h (F1DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MAXCF [2]
MAXCF [1]
MAXCF [0]
F1C [4]
F1C [3]
F1C [2]
F1C [1]
F1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : F1C [0] to F1C [4] (FLED1 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
D5 to D7 : MAXCF [0] to MAXCF [2] (Flash LED (FLED1, FLED2, FLED3) maximum current value settings)
D7
D6
D5
Max. Current value (mA)
0
0
0
18.6 (100%)
0
0
1
16.275 (87%)
0
1
0
13.95 (75%)
0
1
1
11.625 (62.5%)
1
0
0
9.3 (50%)
1
0
1
6.975 (37.5%)
1
1
0
4.65 (25%)
1
1
1
2.325 (12.5%)
*Default
No.A1083-30/65
LV5219LG
address : 11h (F2DACTL) FLED2 Current Setting Register Write
11h (F2DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
F2C [4]
F2C [3]
F2C [2]
F2C [1]
F2C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : F2C [0] to F2C [4] (FLED2 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
No.A1083-31/65
LV5219LG
address : 12h (F3DACTL) FLED3 Current Setting Register Write
12h (F3DACTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
-
F3C [4]
F3C [3]
F3C [2]
F3C [1]
F3C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D4 : F3C [0] to F3C [4] (FLED3 current settings)
D4
D3
D2
D1
D0
0
0
0
0
0
Current value (mA)
0.6
0
0
0
0
1
1.2
0
0
0
1
0
1.8
0
0
0
1
1
2.4
0
0
1
0
0
3.0
0
0
1
0
1
3.6
0
0
1
1
0
4.2
0
0
1
1
1
4.8
0
1
0
0
0
5.4
0
1
0
0
1
6.0
0
1
0
1
0
6.6
0
1
0
1
1
7.2
0
1
1
0
0
7.8
0
1
1
0
1
8.4
0
1
1
1
0
9.0
0
1
1
1
1
9.6
1
0
0
0
0
10.2
1
0
0
0
1
10.8
1
0
0
1
0
11.4
1
0
0
1
1
12.0
1
0
1
0
0
12.6
1
0
1
0
1
13.2
1
0
1
1
0
13.8
1
0
1
1
1
14.4
1
1
0
0
0
15.0
1
1
0
0
1
15.6
1
1
0
1
0
16.2
1
1
0
1
1
16.8
1
1
1
0
0
17.4
1
1
1
0
1
18.0
1
1
1
1
0
18.6
1
1
1
1
1
0.0
*Default
No.A1083-32/65
LV5219LG
address : 13h (MFCTL) MLED Fade Time Setting Register Write
13h (MFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
MFOUT [2]
MFOUT [1]
MFOUT [0]
MFIN [2]
MFIN [1]
MFIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : MFIN [0] to MFIN [2] (Fin slope settings (MLED))
D2
D1
D0
MFIN (Normal Mode)
MFIN (Dim Mode)
0
0
0
No slope
No slope
0
0
1
0.050s
0.012s
0
1
0
0.1s
0.025s
0.04s
0
1
1
0.15s
1
0
0
0.2s
0.05s
1
0
1
0.25s
0.065s
1
1
0
0.3s
0.08s
1
1
1
0.5s
0.125s
*Default
D3 to D5 : MFOUT [0] to MFOUT [2] (Fout slope settings (MLED))
D5
D4
D3
MFOUT (Normal Mode)
MFOUT (Dim Mode)
0
0
0
No slope
No slope
0
0
1
0.1s
0.025s
0
1
0
0.15s
0.04s
0
1
1
0.2s
0.05s
0.065s
1
0
0
0.25s
1
0
1
0.3s
0.08s
1
1
0
0.5s
0.125s
1
1
1
1.0s
0.25s
*Default
address : 14h (SFCTL) MLED Fade Time Setting Register Write
14h (SFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
SFOUT [2]
SFOUT [1]
SFOUT [0]
SFIN [2]
SFIN [1]
SFIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : SFIN [0] to SFIN [2] (Fin slope settings (SLED1, SLED2))
D2
D1
D0
SFIN
0
0
0
No slope
0
0
1
0.050s
0
1
0
0.1s
0.15s
0
1
1
1
0
0
0.2s
1
0
1
0.25s
1
1
0
0.3s
1
1
1
0.5s
*Default
D3 to D5 : SFOUT [0] to SFOUT [2] (Fout slope settings (SLED1, SLED2))
D5
D4
D3
SFOUT
0
0
0
No slope
0
0
1
0.1s
0
1
0
0.15s
0
1
1
0.2s
1
0
0
0.25s
1
0
1
0.3s
1
1
0
0.5s
1
1
1
1.0s
*Default
No.A1083-33/65
LV5219LG
address : 15h (R1FCTL) RLED1 Fade Time Setting Register Write
15h (R1FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R1FOUT [2]
R1FOUT [1]
R1FOUT [0]
R1FIN [2]
R1FIN [1]
R1FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : R1FIN [0] to R1FIN [2] (Fin slope settings (RLED1))
D2
D1
D0
R1FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
D3 to D5 : R1FOUT [0] to R1FOUT [2] (Fout slope settings (RLED1))
D5
D4
D3
R1FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
address : 16h (G1FCTL) GLED1 Fade Time Setting Register Write
16h (G1FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G1FOUT [2]
G1FOUT [1]
G1FOUT [0]
G1FIN [2]
G1FIN [1]
G1FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : G1FIN [0] to G1FIN [2] (Fin slope settings (GLED1))
D2
D1
D0
G1FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
D3 to D5 : G1FOUT [0] to G1FOUT [2] (Fout slope settings (GLED1))
D5
D4
D3
G1FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
No.A1083-34/65
LV5219LG
address : 17h (B1FCTL) BLED1 Fade Time Setting Register Write
17h (B1FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B1FOUT [2]
B1FOUT [1]
B1FOUT [0]
B1FIN [2]
B1FIN [1]
B1FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : B1FIN [0] to B1FIN [2] (Fin slope settings (BLED1))
D2
D1
D0
B1FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
D3 to D5 : B1FOUT [0] to B1FOUT [2] (Fout slope settings (BLED1))
D5
D4
D3
B1FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT1 time setting.
No.A1083-35/65
LV5219LG
address : 18h (RGB1GRCTL) RGB1 Gradation Setting Register Write
18h (RGB1GRCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
GHB1
GHG1
GHR1
GR1M1
GRON1
AT1 [2]
AT1 [1]
AT1 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : AT1 [0] to AT1 [2] (Automatic ON/OFF period settings (RED1, GLED1, BLED1))
D2
D1
D0
AT1
0
0
0
0.0625s
0
0
1
0.125s
0
1
0
0.25s
0
1
1
0.5s
1
0
0
1s
1
0
1
2s
1
1
0
4s
1
1
1
8s
*Default
D3 : GRON1
(Automatic ON/OFF function setting (RLED1, GLED1, BLED1))
0 : OFF *Default
1 : ON
D4 : GR1M1
(Execute gradation once (RLED1, GLED1, BLED1))
0 : OFF *Default
1 : ON
D5 : GHR1
(Period mode setting (RLED1))
0 : Standard period *Default
1 : 1/2 period
D6 : GHG1
(Period mode setting (GLED1))
0 : Standard period *Default
1 : 1/2 period
D7 : GHB1
(Period mode setting (BLED1))
0 : Standard period *Default
1 : 1/2 period
No.A1083-36/65
LV5219LG
address : 19h (RGB1PUCTL) RGB1 Pulse Mode Setting Register Write
19h (RGB1PUCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
PRON1
PT1 [2]
PT1 [1]
PT1 [0]
HO1 [2]
HO1 [1]
HO1 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : HO1 [0] to HO1 [2] (Hold time settings (RLED1, GRLD1, BLED1))
D2
D1
D0
0
0
0
HOLD TIME
None
0
0
1
ON/OFF period ×1
0
1
0
ON/OFF period ×2
0
1
1
ON/OFF period ×3
1
0
0
ON/OFF period ×4
1
0
1
ON/OFF period ×5
1
1
0
ON/OFF period ×6
1
1
1
ON/OFF period ×7
*Default
D3 to D5 : PT1 [0] to PT1 [2] (Flashing count settings (RLED1, GRLD1, BLED1))
D5
D4
D3
Pulse Count
0
0
0
ON/OFF period ×1
0
0
1
ON/OFF period ×2
0
1
0
ON/OFF period ×3
0
1
1
ON/OFF period ×4
1
0
0
ON/OFF period ×5
1
0
1
ON/OFF period ×6
1
1
0
ON/OFF period ×7
1
1
1
ON/OFF period ×8
D6 : PRON1
*Default
(Pulse mode setting (RLED1, GRLD1, BLED1))
0 : OFF *Default
1 : Pulse mode
No.A1083-37/65
LV5219LG
address : 1Ah (R1AOFFCTL) RLED1 OFF Position Setting Register Write
1Ah (R1AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R1Aoff [5]
R1Aoff [4]
R1Aoff [3]
R1Aoff [2]
R1Aoff [1]
R1Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : R1Aoff [0] to R1Aoff [5] (RLED1 automatic OFF position settings)
address : 1Bh (R1AONCTL) RLED1 ON Position Setting Register Write
1Bh (R1AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R1Aon [5]
R1Aon [4]
R1Aon [3]
R1Aon [2]
R1Aon [1]
R1Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : R1Aon [0] to R1Aon [5] (RLED1 automatic ON position settings)
*R1Aoff = R1Aon = FF specifies "ON throughout the entire period."
R1Aoff = R1Aon ≠ FF specifies "Off throughout the entire period."
LED control output waveform (RLED1), same for GLED1 and BLED1.
Rise position of clock 0 when D5 - D0 are set to all 0.
Rise position of clock 63 when D5 - D0 are set to all 1.
64 counts
Gradation clock
0
1
2
3
4
63
5 counts
0
59 counts
RLED1
ON period
OFF period
R1off
R1on
When : R1on
R1off
R1AONCTL 00000000 (00h)
R1AOFFCTL 00000101 (05h)
address : 1Ch (G1AOFFCTL) GLED1 OFF Position Setting Register Write
1Ch (G1AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G1Aoff [5]
G1Aoff [4]
G1Aoff [3]
G1Aoff [2]
G1Aoff [1]
G1Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : G1Aoff [0] to G1Aoff [5] (GLED1 automatic OFF position settings)
No.A1083-38/65
LV5219LG
address : 1Dh (G1AONCTL) GLED1 ON Position Setting Register Write
1Dh (G1AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G1Aon [5]
G1Aon [4]
G1Aon [3]
G1Aon [2]
G1Aon [1]
G1Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : G1Aon [0] to G1Aon [5] (GLED1 automatic ON position settings)
*G1Aoff = G1Aon = FF specifies "ON throughout the entire period."
G1Aoff = G1Aon ≠ FF specifies "OFF throughout the entire period."
address : 1Eh (B1AOFFCTL) BLED1 OFF Position Setting Register Write
1Eh (B1AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B1Aoff [5]
B1Aoff [4]
B1Aoff [3]
B1Aoff [2]
B1Aoff [1]
B1Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : B1Aoff [0] to B1Aoff [5] (BLED1 automatic OFF position settings)
address : 1Fh (B1AONCTL) BLED1 ON Position Setting Register Write
1Fh (B1AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B1Aon [5]
B1Aon [4]
B1Aon [3]
B1Aon [2]
B1Aon [1]
B1Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : B1Aon [0] to B1Aon [5] (BLED1 automatic ON position settings)
*B1Aoff = B1Aon = FF specifies "ON throughout the entire period."
B1Aoff = B1Aon ≠ FF specifies "OFF throughout the entire period."
No.A1083-39/65
LV5219LG
address : 20h (R2FCTL) RLED2 Fade Time Setting Register Write
20h (R2FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R2FOUT [2]
R2FOUT [1]
R2FOUT [0]
R2FIN [2]
R2FIN [1]
R2FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : R2FIN [0] to R2FIN [2] (Fin slope settings (RLED2))
D2
D1
D0
R2FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
D3 to D5 : R2FOUT [0] to R2FOUT [2] (Fout slope settings (RLED2))
D5
D4
D3
R2FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
address : 21h (G2FCTL) GLED2 Fade Time Setting Register Write
21h (G2FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G2FOUT [2]
G2FOUT [1]
G2FOUT [0]
G2FIN [2]
G2FIN [1]
G2FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : G2FIN [0] to G2FIN [2] (Fin slope settings (GLED2))
D2
D1
D0
G2FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
D3 to D5 : G2FOUT [0] to G2FOUT [2] (Fout slope settings (GLED2))
D5
D4
D3
G2FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
No.A1083-40/65
LV5219LG
address : 22h (B2FCTL) BLED2 Fade Time Setting Register Write
22h (B2FCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B2FOUT [2]
B2FOUT [1]
B2FOUT [0]
B2FIN [2]
B2FIN [1]
B2FIN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : B2FIN [0] to B2FIN [2] (Fin slope settings (BLED2))
D2
D1
D0
B2FIN
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
D3 to D5 : B2FOUT [0] to B2FOUT [2] (Fout slope settings (BLED2))
D5
D4
D3
R2FOUT
0
0
0
No slope
0
0
1
1/16
0
1
0
1/8
0
1
1
1/4
1
0
0
3/8
1
0
1
1/2
1
1
0
3/4
1
1
1
Slope MAX
*Default
The slope time MAX is 1/2 of the AT2 time setting.
No.A1083-41/65
LV5219LG
address : 23h (RGB2GRCTL) RGB2 Gradation Setting Register Write
23h (RGB2GRCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
GHB2
GHG2
GHR2
GR1M2
GRON2
AT2 [2]
AT2 [1]
AT2 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : AT2 [0] to AT2 [2] (Automatic ON/OFF period settings (RED2, GLED2, BLED2))
D2
D1
D0
AT2
0
0
0
0.0625s
0
0
1
0.125s
0
1
0
0.25s
0
1
1
0.5s
1
0
0
1s
1
0
1
2s
1
1
0
4s
1
1
1
8s
*Default
D3 : GRON2
(Automatic ON/OFF function setting (RLED2, GLED2, BLED2))
0 : OFF *Default
1 : ON
D4 : GR1M2
(Execute gradation once (RLED2, GLED2, BLED2))
0 : OFF *Default
1 : ON
D5 : GHR2
(Period mode setting (RLED2))
0 : Standard period *Default
1 : 1/2 period
D6 : GHG2
(Period mode setting (GLED2))
0 : Standard period *Default
1 : 1/2 period
D7 : GHB2
(Period mode setting (BLED2))
0 : Standard period *Default
1 : 1/2 period
No.A1083-42/65
LV5219LG
address : 24h (RGB2PUCTL) RGB2 Pulse Mode Setting Register Write
24h (RGB2PUCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
PRON2
PT2 [2]
PT2 [1]
PT2 [0]
HO2 [2]
HO2 [1]
HO2 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : HO2 [0] to HO2 [2] (Hold time settings (RLED2, GRLD2, BLED2))
D2
D1
D0
0
0
0
HOLD TIME
None
0
0
1
ON/OFF period ×1
0
1
0
ON/OFF period ×2
0
1
1
ON/OFF period ×3
1
0
0
ON/OFF period ×4
1
0
1
ON/OFF period ×5
1
1
0
ON/OFF period ×6
1
1
1
ON/OFF period ×7
*Default
D3 to D5 : PT2 [0] to PT2 [2] (Flashing count settings (RLED2, GRLD2, BLED2))
D5
D4
D3
PULSE
0
0
0
ON/OFF period ×1
0
0
1
ON/OFF period ×2
0
1
0
ON/OFF period ×3
0
1
1
ON/OFF period ×4
1
0
0
ON/OFF period ×5
1
0
1
ON/OFF period ×6
1
1
0
ON/OFF period ×7
1
1
1
ON/OFF period ×8
D6 : PRON2
*Default
(Pulse mode setting (RLED2, GRLD2, BLED2))
0 : OFF *Default
1 : Pulse mode
No.A1083-43/65
LV5219LG
address : 25h (R2AOFFCTL) RLED2 OFF Position Setting Register Write
25h (R2AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R2Aoff [5]
R2Aoff [4]
R2Aoff [3]
R2Aoff [2]
R2Aoff [1]
R2Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : R2Aoff [0] to R2Aoff [5] (RLED2 automatic OFF position settings)
address : 26h (R2AONCTL) RLED2 ON Position Setting Register Write
26h (R2AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
R2Aon [5]
R2Aon [4]
R2Aon [3]
R2Aon [2]
R2Aon [1]
R2Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : R2Aon [0] to R2Aon [5] (RLED2 automatic ON position settings)
*R2Aoff = R2Aon = FF specifies "ON throughout the entire period."
R2Aoff = R2Aon ≠ FF specifies "OFF throughout the entire period."
LED control output waveform (RLED2), same for GLED2 and BLED2.
Rise position of clock 0 when D5 - D0 are set to all 0.
Rise position of clock 63 when D5 - D0 are set to all 1.
64 counts
Gradation clock
0
1
2
3
4
63
5 counts
0
59 counts
RLED2
ON period
OFF period
R1off
R1on
When : R2on
R2off
R2AONCTL 00000000 (00h)
R2AOFFCTL 00000101 (05h)
address : 27h (G2AOFFCTL) GLED2 OFF Position Setting Register Write
27h (G2AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G2Aoff [5]
G2Aoff [4]
G2Aoff [3]
G2Aoff [2]
G2Aoff [1]
G2Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : G2Aoff [0] to G2Aoff [5] (GLED2 automatic OFF position settings)
No.A1083-44/65
LV5219LG
address : 28h (G2AONCTL) GLED2 ON Position Setting Register Write
28h (G2AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
G2Aon [5]
G2Aon [4]
G2Aon [3]
G2Aon [2]
G2Aon [1]
G2Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : G2Aon [0] to G2Aon [5] (GLED2 automatic ON position settings)
*G2Aoff = G2Aon = FF specifies "ON throughout the entire period."
G2Aoff = G2Aon ≠ FF specifies "OFF throughout the entire period."
address : 29h (B2AOFFCTL) BLED2 OFF Position Setting Register Write
29h (B2AOFFCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B2Aoff [5]
B2Aoff [4]
B2Aoff [3]
B2Aoff [2]
B2Aoff [1]
B2Aoff [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : B2Aoff [0] to B2Aoff [5] (BLED2 automatic OFF position settings)
address : 2Ah (B2AONCTL) BLED2 ON Position Setting Register Write
2Ah (B2AONCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
B2Aon [5]
B2Aon [4]
B2Aon [3]
B2Aon [2]
B2Aon [1]
B2Aon [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D5 : B2Aon [0] to B2Aon [5] (BLED2 automatic ON position settings)
*B2Aoff = B2Aon = FF specifies "ON throughout the entire period."
B2Aoff = B2Aon ≠ FF specifies "OFF throughout the entire period."
No.A1083-45/65
LV5219LG
address : 2Bh (KEYCTL) KLED1, KLED2 Changeover Voltage Setting Register Write
2Bh (KEYCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
KEY2C [3]
KEY2C [2]
KEY2C [1]
KEY2C [0]
KEY1C [3]
KEY1C [2]
KEY1C [1]
KEY1C [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D3 : KEY1C [0] to KEY1C [3] (KEYLED control changeover voltage)
D3
D2
D1
D0
KEY1C
0
0
0
0
Brightness 1
0
0
0
1
Brightness 2
0
0
1
0
Brightness 3
0
0
1
1
Brightness 4
0
1
0
0
Brightness 5
0
1
0
1
Brightness 6
0
1
1
0
Brightness 7
0
1
1
1
Brightness 8
1
0
0
0
Brightness 9
1
0
0
1
Brightness 10
1
0
1
0
Brightness 11
1
0
1
1
Brightness 12
1
1
0
0
Brightness 13
1
1
0
1
Brightness 14
1
1
1
0
Brightness 15
1
1
1
1
Inhibited
Bright→Dark
*Default
*Lit (KLED : ON) when dark if KLED automatic ON is set.
*The setting brightness 2 means that KLED turns on when a changeover from brightness 3 to brightness 2 occurs if
KLED automatic ON is set.
D4 to D7 : KEY2C [0] to KEY2C [3] (KEYLED control changeover voltage)
D7
D6
D5
D4
KEY2C
0
0
0
0
Brightness 1
0
0
0
1
Brightness 2
0
0
1
0
Brightness 3
0
0
1
1
Brightness 4
0
1
0
0
Brightness 5
0
1
0
1
Brightness 6
0
1
1
0
Brightness 7
0
1
1
1
Brightness 8
1
0
0
0
Brightness 9
1
0
0
1
Brightness 10
1
0
1
0
Brightness 11
1
0
1
1
Brightness 12
1
1
0
0
Brightness 13
1
1
0
1
Brightness 14
1
1
1
0
Brightness 15
1
1
1
1
Inhibited
Bright→Dark
*Default
*Lit (KLED : ON) when dark if KLED automatic ON is set.
*The setting brightness 2 means that KLED turns on when a changeover from brightness 3 to brightness 2 occurs if
KLED automatic ON is set.
No.A1083-46/65
LV5219LG
Brightness Levels
Brightness
Threshold Current
Threshold Voltage
(Lx)
(µA)
(V)
25
11
0.25
40
12.8
0.29
60
14.6
Brightness Level
The resistance value of the external reresistor is 22KΩ
Brightness 1
Compatible with LOG type brightness sensor.
Brightness 2
Adjustment of sensor variations sensitivity variance
Brightness 3
When TAU 0.84V is set
When TDA 0.42V is set
0.33
Brightness 4
90
16.3
0.38
140
18
0.42
220
19.7
0.46
Brightness 5
Brightness 6
Brightness 7
350
21.4
0.50
550
23.1
0.55
850
24.8
0.59
1300
26.5
0.63
1900
28.2
0.67
Brightness 8
Brightness 9
Brightness 10
Brightness 11
Brightness 12
3000
30.5
0.72
5000
33
0.76
7000
35.5
0.80
Brightness 13
Brightness 14
Brightness 15
38
0.84
Brightness 16
Relationship between Brightness and Threshold Current
45
40
Threshold current – µA
10000
35
30
25
20
15
10
5
0
1
2 3
5 7
10
2 3
5 7
100
2 3
5 7
2 3
1000
5 7
10000
Brightness – Lx
No.A1083-47/65
LV5219LG
address : 2Ch (PTCTL) Automatic Brightness Control Mode Setting 1 Register Write
2Ch (PTCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
SWCTL
PTSW
KISW
SMPN [1]
SMPN [0]
SMPF [2]
SMPF [1]
SMPF [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : SMPF [0] to SMPF [2] (Brightness sensor sampling period settings)
D2
D1
D0
SMPF
0
0
0
131.072ms
0
0
1
262.144ms
0
1
0
524.288ms
0
1
1
1.049s
1
0
0
2.098s
1
0
1
4.196s
1
1
0
8.392s
1
1
1
20ms
*Default
SMPF
20ms
19ms
18ms
PTEN
Interrupt
PTSW:1
Status change : Flush
* Continuous operation when SMPF is set to 20 ms and intermittent operation otherwise.
D3 to D4 : SMPN [0] to SMPN [1] (Brightness sensor sampling count settings)
D4
D3
0
0
SMPN
1 time
0
1
2 times
1
0
3 times
1
1
4 times
*Default
D5 : KISW
(MLED fixed/automatic current settings)
0 : Fixed *Default
1 : Automatic (brightness control)
D6 : PTSW
(Sensor ON/OFF)
0 : OFF *Default
1 : ON
D7 : SWCTL (Sensor ON/OFF SW polarity)
0 : Standard *Default
1 : Inverted
No.A1083-48/65
LV5219LG
address : 2Dh (INTMASK) INT Mask Setting Register Write
2Dh (INTMASK)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
INTCR
-
INTM6
INTM5
INTM4
INTM3
INTM2
INTM1
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : INTM1
(INT1 mask setting - interrupt source 1 mask)
0 : OFF *Default
1 : ON
D1 : INTM2
(INT2 mask setting - interrupt source 2 mask)
0 : OFF *Default
1 : ON
D2 : INTM3
(INT3 mask setting - interrupt source 3 mask)
0 : OFF *Default
1 : ON
D3 : INTM4
(INT4 mask setting - interrupt source 4 mask)
0 : OFF *Default
1 : ON
D4 : INTM5
(INT5 mask setting - interrupt source 5 mask)
0 : OFF *Default
1 : ON
D5 : INTM6
(INT6 mask setting - interrupt source 6 mask)
0 : OFF *Default
1 : ON
D7 : INTCR
(INT clear)
0 : INT accepted *Default
1 : INT cleared
*This bit is set to 0 to enable interrupts after the interrupt is cleared.
No.A1083-49/65
LV5219LG
address : 2Eh (INTDET) INT Detection Register - Read only
2Eh (INTDET)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
-
INT6
INT5
INT4
INT3
INT2
INT1
R/W
R
R
R
R
R
R
R
R
Default
0
0
0
0
0
0
0
0
D0 : INT1
(interrupt source 1)
0 : OFF *Default
1 : ON
*Brightness detection for KEY-LED (read only)
D1 : INT2
(interrupt source 2)
0 : OFF *Default
1 : ON
*End of white LED fade IN/OUT (read only)
D2 : INT3
(interrupt source 3)
0 : OFF *Default
1 : ON
*End of group 1 (RLED1, GLED1, BLED1) fade IN/OU (read only)
D3 : INT4
(interrupt source 4)
0 : OFF *Default
1 : ON
*End of group 2(RLED2, GLED2, BLED2) fade IN/OU (read only)
D4 : INT5
(interrupt source 5)
0 : OFF *Default
1 : ON
*End of group 1 (RLED1, GLED1, BLED1) gradation (read only)
D5 : INT6
(interrupt source 6)
0 : OFF *Default
1 : ON
*End of group 2(RLED2, GLED2, BLED2) gradation (read only)
Interrupts associated with the 1 bits of the INT Detection Register occur.
No.A1083-50/65
LV5219LG
address : 2Fh (STATUS) Status Detect Register - Read only
2Fh (STATUS)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
XM [3]
XM [2]
XM [1]
XM [0]
-
-
KEYON
DCDC
R/W
R
R
R
R
R
R
R
R
Default
0
0
0
0
0
0
0
0
D0 : DCDC
(DC/DC step-up state (read only))
0 : Through …DC/DC is not stepped-up. *Default
1 : Step-up …DC/DC stepped-up.
D1 : KEYON (KEY ON/OFF(read only))
…Brightness is above or equal to the KEYLED changeover voltage. *Default
0 : OFF
…Brightness is less than the KEYLED changeover voltage.
1 : ON
D4 to D7 : XM [0] to XM [3] (Brightness information (read only))
D7
D6
D5
D4
XM
0
0
0
0
Brightness 1
0
0
0
1
Brightness 2
0
0
1
0
Brightness 3
0
0
1
1
Brightness 4
0
1
0
0
Brightness 5
0
1
0
1
Brightness 6
0
1
1
0
Brightness 7
0
1
1
1
Brightness 8
1
0
0
0
Brightness 9
1
0
0
1
Brightness 10
1
0
1
0
Brightness 11
1
0
1
1
Brightness 12
1
1
0
0
Brightness 13
1
1
0
1
Brightness 14
1
1
1
0
Brightness 15
1
1
1
1
Brightness 16
*Default
No.A1083-51/65
LV5219LG
address : 30h (PTMDACTL0) Automatic Brightness Control Mode Current Settings 0 Register Write
30h (PTMDACTL0)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN0 [6]
YMAIN0 [5]
YMAIN0 [4]
YMAIN0 [3]
YMAIN0 [2]
YMAIN0 [1]
YMAIN0 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN0 [0] to YMIAN0 [6] (MLED current settings (normal mode) * MLED current settings for
brightness 1
D6
D5
D4
D3
D2
D1
D0
Current value (mA)
0
0
0
0
0
0
0
0.15 inhibited
0
0
0
0
0
0
1
0.3 inhibited
0.45 inhibited
0
0
0
0
0
1
0
0
0
0
0
0
1
1
0.6
0
0
0
0
1
0
0
0.75
0
0
0
0
1
0
1
0.90
0
0
0
0
1
1
0
1.05
0
0
0
0
1
1
1
1.20
0
0
0
1
0
0
0
1.35
0
0
0
1
0
0
1
1.50
0
0
0
1
0
1
0
1.65
0
0
0
1
0
1
1
1.80
0
0
0
1
1
0
0
1.95
0
0
0
1
1
0
1
2.10
0
0
0
1
1
1
0
2.25
0
0
0
1
1
1
1
2.40
0
0
1
0
0
0
0
2.55
0
0
1
0
0
0
1
2.70
0
0
1
0
0
1
0
2.85
0
0
1
0
0
1
1
3.00
0
0
1
0
1
0
0
3.15
0
0
1
0
1
0
1
3.30
0
0
1
0
1
1
0
3.45
0
0
1
0
1
1
1
3.60
0
0
1
1
0
0
0
3.75
0
0
1
1
0
0
1
3.90
0
0
1
1
0
1
0
4.05
0
0
1
1
0
1
1
4.20
0
0
1
1
1
0
0
4.35
0
0
1
1
1
0
1
4.50
0
0
1
1
1
1
0
4.65
0
0
1
1
1
1
1
4.80
0
1
0
0
0
0
0
4.95
0
1
0
0
0
0
1
5.10
0
1
0
0
0
1
0
5.25
0
1
0
0
0
1
1
5.40
0
1
0
0
1
0
0
5.55
0
1
0
0
1
0
1
5.70
0
1
0
0
1
1
0
5.85
0
1
0
0
1
1
1
6.00
0
1
0
1
0
0
0
6.15
0
1
0
1
0
0
1
6.30
0
1
0
1
0
1
0
6.45
0
1
0
1
0
1
1
6.60
0
1
0
1
1
0
0
6.75
0
1
0
1
1
0
1
6.90
Continued on next page.
No.A1083-52/65
LV5219LG
Continued from preceding page.
D6
D5
D4
D3
D2
D1
D0
Current value (mA)
0
1
0
1
1
1
0
7.05
0
1
0
1
1
1
1
7.20
0
1
1
0
0
0
0
7.35
0
1
1
0
0
0
1
7.50
0
1
1
0
0
1
0
7.65
0
1
1
0
0
1
1
7.80
0
1
1
0
1
0
0
7.95
0
1
1
0
1
0
1
8.10
0
1
1
0
1
1
0
8.25
0
1
1
0
1
1
1
8.40
0
1
1
1
0
0
0
8.55
0
1
1
1
0
0
1
8.70
0
1
1
1
0
1
0
8.85
0
1
1
1
0
1
1
9.00
0
1
1
1
1
0
0
9.15
0
1
1
1
1
0
1
9.30
0
1
1
1
1
1
0
9.45
0
1
1
1
1
1
1
9.60
1
0
0
0
0
0
0
9.75
1
0
0
0
0
0
1
9.90
1
0
0
0
0
1
0
10.05
1
0
0
0
0
1
1
10.20
1
0
0
0
1
0
0
10.35
1
0
0
0
1
0
1
10.50
1
0
0
0
1
1
0
10.65
1
0
0
0
1
1
1
10.80
1
0
0
1
0
0
0
10.95
1
0
0
1
0
0
1
11.10
1
0
0
1
0
1
0
11.25
1
0
0
1
0
1
1
11.40
1
0
0
1
1
0
0
11.55
1
0
0
1
1
0
1
11.70
1
0
0
1
1
1
0
11.85
1
0
0
1
1
1
1
12.00
1
0
1
0
0
0
0
12.15
1
0
1
0
0
0
1
12.30
1
0
1
0
0
1
0
12.45
1
0
1
0
0
1
1
12.60
1
0
1
0
1
0
0
12.75
1
0
1
0
1
0
1
12.90
1
0
1
0
1
1
0
13.05
1
0
1
0
1
1
1
13.20
1
0
1
1
0
0
0
13.35
1
0
1
1
0
0
1
13.50
1
0
1
1
0
1
0
13.65
1
0
1
1
0
1
1
13.80
1
0
1
1
1
0
0
13.95
1
0
1
1
1
0
1
14.10
1
0
1
1
1
1
0
14.25
1
0
1
1
1
1
1
14.40
1
1
0
0
0
0
0
14.55
1
1
0
0
0
0
1
14.70
Continued on next page.
No.A1083-53/65
LV5219LG
Continued from preceding page.
D6
D5
D4
D3
D2
D1
D0
Current value (mA)
1
1
0
0
0
1
0
14.85
1
1
0
0
0
1
1
15.00
1
1
0
0
1
0
0
15.15
1
1
0
0
1
0
1
15.30
1
1
0
0
1
1
0
15.45
1
1
0
0
1
1
1
15.60
1
1
0
1
0
0
0
15.75
1
1
0
1
0
0
1
15.90
1
1
0
1
0
1
0
16.05
1
1
0
1
0
1
1
16.20
1
1
0
1
1
0
0
16.35
1
1
0
1
1
0
1
16.50
1
1
0
1
1
1
0
16.65
1
1
0
1
1
1
1
16.80
1
1
1
0
0
0
0
16.95
1
1
1
0
0
0
1
17.10
1
1
1
0
0
1
0
17.25
1
1
1
0
0
1
1
17.40
1
1
1
0
1
0
0
17.55
1
1
1
0
1
0
1
17.70
1
1
1
0
1
1
0
17.85
1
1
1
0
1
1
1
18.00
1
1
1
1
0
0
0
18.15
1
1
1
1
0
0
1
18.30
1
1
1
1
0
1
0
18.45
1
1
1
1
0
1
1
18.60
1
1
1
1
1
0
0
0.00
1
1
1
1
1
0
1
0.00
1
1
1
1
1
1
0
0.00
1
1
1
1
1
1
1
0.00
address : 31h (PTMDACTL1) Automatic Brightness Control Mode Current Setting 1 Register Write
31h (PTMDACTL1)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN1 [6]
YMAIN1 [5]
YMAIN1 [4]
YMAIN1 [3]
YMAIN1 [2]
YMAIN1 [1]
YMAIN1 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN1 [0] to YMIAN1 [6] (MLED current settings for brightness 2)
*The current values are the same as those for the MLED current settings.
address : 32h (PTMDACTL2) Automatic Brightness Control Mode Current Setting 2 Register Write
32h (PTMDACTL2)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN2 [6]
YMAIN2 [5]
YMAIN2 [4]
YMAIN2 [3]
YMAIN2 [2]
YMAIN2 [1]
YMAIN2 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN2 [0] to YMIAN2 [6] (MLED current settings for brightness 3)
*The current values are the same as those for the MLED current settings.
No.A1083-54/65
LV5219LG
address : 33h (PTMDACTL3) Automatic Brightness Control Mode Current Setting 3 Register Write
33h (PTMDACTL3)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN3 [6]
YMAIN3 [5]
YMAIN3 [4]
YMAIN3 [3]
YMAIN3 [2]
YMAIN3 [1]
YMAIN3 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN3 [0] to YMIAN3 [6] (MLED current settings for brightness 4)
*The current values are the same as those for the MLED current settings.
address : 34h (PTMDACTL4) Automatic Brightness Control Mode Current Setting 4 Register Write
34h (PTMDACTL4)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN4 [6]
YMAIN4 [5]
YMAIN4 [4]
YMAIN4 [3]
YMAIN4 [2]
YMAIN4 [1]
YMAIN4 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN4 [0] to YMIAN4 [6] (MLED current settings for brightness 5)
*The current values are the same as those for the MLED current settings.
address : 35h (PTMDACTL5) Automatic Brightness Control Mode Current Setting 5 Register Write
35h (PTMDACTL5)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN5 [6]
YMAIN5 [5]
YMAIN5 [4]
YMAIN5 [3]
YMAIN5 [2]
YMAIN5 [1]
YMAIN5 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN5 [0] to YMIAN5 [6] (MLED current settings for brightness 6)
*The current values are the same as those for the MLED current settings.
address : 36h (PTMDACTL6) Automatic Brightness Control Mode Current Setting 6 Register Write
36h (PTMDACTL6)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN6 [6]
YMAIN6 [5]
YMAIN6 [4]
YMAIN6 [3]
YMAIN6 [2]
YMAIN6 [1]
YMAIN6 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN6 [0] to YMIAN6 [6] (MLED current settings for brightness 7)
*The current values are the same as those for the MLED current settings.
address : 37h (PTMDACTL7) Automatic Brightness Control Mode Current Setting 7 Register Write
37h (PTMDACTL7)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN7 [6]
YMAIN7 [5]
YMAIN7 [4]
YMAIN7 [3]
YMAIN7 [2]
YMAIN7 [1]
YMAIN7 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN7 [0] to YMIAN7 [6] (MLED current settings for brightness 8)
*The current values are the same as those for the MLED current settings.
address : 38h (PTMDACTL8) Automatic Brightness Control Mode Current Setting 8 Register Write
38h (PTMDACTL8)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN8 [6]
YMAIN8 [5]
YMAIN8 [4]
YMAIN8 [3]
YMAIN8 [2]
YMAIN8 [1]
YMAIN8 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN8 [0] to YMIAN8 [6] (MLED current settings for brightness 9)
*The current values are the same as those for the MLED current settings.
No.A1083-55/65
LV5219LG
address : 39h (PTMDACTL9) Automatic Brightness Control Mode Current Setting 9 Register Write
39h (PTMDACTL9)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIN9 [6]
YMAIN9 [5]
YMAIN9 [4]
YMAIN9 [3]
YMAIN9 [2]
YMAIN9 [1]
YMAIN9 [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAN9 [0] to YMIAN9 [6] (MLED current settings for brightness 10)
*The current values are the same as those for the MLED current settings.
address : 3Ah (PTMDACTLA) Automatic Brightness Control Mode Current Setting A Register Write
3Ah (PTMDACTLA)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAINA [6]
YMAINA [5]
YMAINA [4]
YMAINA [3]
YMAINA [2]
YMAINA [1]
YMAINA [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIANA [0] to YMIANA [6] (MLED current settings for brightness 11)
*The current values are the same as those for the MLED current settings.
address : 3Bh (PTMDACTLB) Automatic Brightness Control Mode Current Setting B Register Write
3Bh (PTMDACTLB)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAINB [6]
YMAINB [5]
YMAINB [4]
YMAINB [3]
YMAINB [2]
YMAINB [1]
YMAINB [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIANB [0] to YMIANB [6] (MLED current settings for brightness 12)
*The current values are the same as those for the MLED current settings.
address : 3Ch (PTMDACTLC) Automatic Brightness Control Mode Current Setting C Register Write
3Ch (PTMDACTLC)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAINC [6]
YMAINC [5]
YMAINC [4]
YMAINC [3]
YMAINC [2]
YMAINC [1]
YMAINC [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIANC [0] to YMIANC [6] (MLED current settings for brightness 13)
*The current values are the same as those for the MLED current settings.
address : 3Dh (PTMDACTLD) Automatic Brightness Control Mode Current Setting D Register Write
3Dh (PTMDACTLD)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAIND [6]
YMAIND [5]
YMAIND [4]
YMAIND [3]
YMAIND [2]
YMAIND [1]
YMAIND [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIAND [0] to YMIAND [6] (MLED current settings for brightness 14)
*The current values are the same as those for the MLED current settings.
address : 3Eh (PTMDACTLE) Automatic Brightness Control Mode Current Setting E Register Write
3Eh (PTMDACTLE)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAINE [6]
YMAINE [5]
YMAINE [4]
YMAINE [3]
YMAINE [2]
YMAINE [1]
YMAINE [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIANE [0] to YMIANE [6] (MLED current settings for brightness 15)
*The current values are the same as those for the MLED current settings.
No.A1083-56/65
LV5219LG
address : 3Fh (PTMDACTLF) Automatic Brightness Control Mode Current Setting F Register Write
3Fh (PTMDACTLF)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
YMAINF [6]
YMAINF [5]
YMAINF [4]
YMAINF [3]
YMAINF [2]
YMAINF [1]
YMAINF [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D6 : YMIANF [0] to YMIANF [6] (MLED current settings for brightness 16)
*The current values are the same as those for the MLED current settings.
address : 40h (PTCTL2) Automatic Brightness Control Mode Setting 2 Register Write
40h (PTCTL2)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
TUP [3]
TUP [2]
TUP [1]
TUP [0]
TDWN [3]
TDWN [2]
TDWN [1]
TDWN [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D3 : TDWNE [0] to TDWN [3] (MLED current, brightness control change time (when decreasing)
D3
D2
D1
D0
TDWN
0
0
0
0
0.256ms
0
0
0
1
0.512ms
0
0
1
0
1.024ms
0
0
1
1
2.048ms
0
1
0
0
4.096ms
0
1
0
1
8.192ms
0
1
1
0
16.384ms
0
1
1
1
32.768ms
1
0
0
0
65.536ms
1
0
0
1
131.072ms
1
0
1
0
262.144ms
1
0
1
1
524.288ms
1
1
0
0
1.049s
1
1
0
1
2.097s
1
1
1
0
4.194s
1
1
1
1
8.389s
*Default
D4 to D7 : TUP [0] to TUP [3] (MLED current, brightness control change time (when increasing)
D7
D6
D5
D4
TUP
0
0
0
0
0.256ms
0
0
0
1
0.512ms
0
0
1
0
1.024ms
0
0
1
1
2.048ms
0
1
0
0
4.096ms
0
1
0
1
8.192ms
0
1
1
0
16.384ms
0
1
1
1
32.768ms
1
0
0
0
65.536ms
1
0
0
1
131.072ms
1
0
1
0
262.144ms
1
0
1
1
524.288ms
1
1
0
0
1.049s
1
1
0
1
2.097s
1
1
1
0
4.194s
1
1
1
1
8.389s
*Default
No.A1083-57/65
LV5219LG
address : 41h (PTCTL3) Automatic Brightness Control Mode Setting 3 Register Write
41h (PTCTL3)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
-
TAU [2]
TAU [1]
TAU [0]
-
TAD [2]
TAD [1]
TAD [0]
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 to D2 : TAD [0] to TAD [2] (For sensor variation adjustment 1) *MIN setting : Value established when sensor
variation adjustment 2 is set to 0.84V
140 lux
D2
D1
D0
0
0
0
threshold voltage (V)
0.32
0
0
1
0.34
0
1
0
0.37
0
1
1
0.39
1
0
0
0.42
1
0
1
0.44
1
1
0
0.47
1
1
1
0.49
*Default
D4 to D6 : TAU [0] to TAU [2] (For sensor variation adjustment 2) * MAX setting
10000 lux
D6
D5
D4
0
0
0
threshold voltage (V)
0.65
0
0
1
0.71
0
1
0
0.78
0
1
1
0.84
1
0
0
0.90
1
0
1
0.97
1
1
0
1.03
1
1
1
1.10
*Default
No.A1083-58/65
LV5219LG
address : 42h (GPOCTL) GPO Setting Register Write
42h (GPOCTL)
D7
D6
D5
D4
D3
D2
D1
D0
Register name
MFXSW
-
GPO22
GPO12
GPO02
GPO21
GPO11
GPO01
R/W
W
W
W
W
W
W
W
W
Default
0
0
0
0
0
0
0
0
D0 : GPO01
(GPO0 output setting 1)
0 : Low output *Default
1 : High output
D1 : GPO11
(GPO1 output setting 1)
0 : Low output *Default
1 : High output
D2 : GPO21
(GPO2 output setting 1)
0 : Low output *Default
1 : High output
D3 : GPO02
(GPO0 output setting 2)
0 : OPEN *Default
1 : Buffer output
D4 : GPO12
(GPO1 output setting 2)
0 : OPEN *Default
1 : Buffer output
D5 : GPO22
(GPO2 output setting 2)
0 : OPEN *Default
1 : Buffer output
D7 : MFXSW (Step-up mode settings in which LED pins are set to the same level)
0 : Turns on the step-up mode in which the LED pins are set to the predetermined voltage level when
the voltage at the MLED pins goes down and a mode change from through to step-up occurs.
*Default
1 : Turns on the fixed voltage output mode when the voltage at the MLED pins goes down and a mode
change from through to step-up occurs.
No.A1083-59/65
LV5219LG
Precautions for serial transmission and use
* When the switching regulator is to be started, the LED driver must be turned off.
* When the MLED4, 5 and 6 settings are to be turned on as the main LED drivers, either MLED4, MLED5, and
MLED6 must be turned off after fade out, or they must be set to “always ON.”
* When the SLED2 setting is to be turned on as the SLED driver, either SLED2 must be turned off after fade out, or
it must be set to “always ON.”
* When LED pins are not to be used
When no LED is to be connected to the LED pin, the LED driver pin must be connected to VBAT.
* Thermal shutdown operation
At a high temperature, the thermal shutdown is activated. In this case, all of the LEDs are turned off, and the
voltage stepping-up operation is stopped.
The serial registers are held in the HOLD state. When the temperature goes down to the normal level, the IC self restores.
However, the IC will not self-restore if the short-circuit prevention circuit (SCP) is activated when DC/DC circuit
is turned off.
* IN pin H limit operation
When the IN pin becomes approximately 0.6V higher than the DC/DC set voltage, the H limit operation is
triggered.
H limit operation causes DC/DC circuit to stop the voltage stepping-up operation, but when the voltage falls to the
normal level, the the IC self-restores.
The H limit voltage becomes approximately 0.6V higher than the fixed mode set voltage and varies when the set
voltage changes. When the set voltage is 4.3V, the H limit voltage will be approximately 4.9V, and when the set
voltage is approximately 5.0V, the H limit voltage will be approximately 5.6V.
H limit operation is also effective in a mode in which the LED pin voltage is made constant, so in this mode as well
a fixed mode output voltage must be set in consideration of the H limit voltage.
* RESET serial communication
The RESET pin must be cleared (L→H) after VBAT is started.
VDD and VDDD2 are unrelated to the RESET function.
Serial communication does not take place until VDD is started after RESET is released, When voltage VDD does
not exist, no communication can be carried out and RESET is not triggered, either.
The registers hold their state.
* Regarding the switching regulator short-circuit protection circuit (SCP)
When the switching regulator output falls, it is assumed that a short circuit has occurred, and the SCP is activated
to prevent an excessively large current from flowing. When the IN pin voltage falls by about 30mV from the noload output value, an internal timer operates. If the reduced voltage condition continues for 10msec, the
LV5219LG will judge that a short circuit has occurred, and stop the switching regulator control circuit.
After the switching regulator control circuit has stopped, it does not self-restore. However, it can be reset by either
applying a RESET, or sending a command to set the serial control RGSW to 0.
In a mode in which the LED pin voltage is made constant, the same operation takes place when the MLED pin
voltage falls by 60mV, and the SCP is activated. As in the case of the constant voltage mode, a self-restore does
not take place, and the resetting method is also the same.
No.A1083-60/65
LV5219LG
Serial map
Address
00h
01h
02h
03h
Register
name
LEDCTL1
LEDCTL2
LEDCTL3
LEDCTL4
Description
LED Settings 1
Register
LED Settings 2
Register
LED Settings 3
Register
LED Settings 4
Register
Data
R/W
W
W
W
W
D7
D6
D5
D4
D3
D2
D1
D0
MLED6
MLED5
MLED4
MSW2
MSW1
FXSW
RGSW
STBY
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
SPM6
SPM5
SPM4
SPS2
S2SW
S1SW
MISW
VOCSW
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
SCSW2
B2SW
G2SW
R2SW
SCSW1
B1SW
G1SW
R1SW
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
MFSW
KESW4
KESW3
KESW2
KESW1
F3SW
F2SW
F1SW
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
W
W
W
0
0
0
0
MAINL [2 : 0]
04h
05h
06h
MLEDDACCTL
M4DACTL
M5DACTL
MLED Current
Setting Register
MLED4 Current
Setting Register
MLED5 Current
Setting Register
W
W
W
W
W
W
W
W
0
0
0
0
0
-
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
0
0
0
08h
09h
M6_VD_DACTL
S1DACTL
S2DACTL
-
-
-
W
W
W
W
M5C [4 : 0]
0
0
0
0
VD [2 : 0]
MLED6 Current
Output Setting
Register
W
SLED1 Current
Setting Register
W
SLED2 Current
Setting Register
M4C [4 : 0]
W
0
07h
MAINH [4 : 0]
W
MC6 [4 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
-
W
W
W
W
W
S1C [4 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
-
W
W
W
W
W
S2C [4 : 0]
W
W
W
0
0
0
0
0
0
0
0
W
W
W
0
0
0
MAXC1 [2 : 0]
0Ah
0Bh
0Ch
R1DACTL
G1DACTL
B1DACTL
RLED1 Current
Setting Register
GLED1 Current
Setting Register
BLED1 Current
Setting Register
W
W
W
R1C [4 : 0]
W
W
W
W
W
0
0
0
0
0
-
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
G1C [4 : 0]
B1C [4 : 0]
MAXC2 [2 : 0]
0Dh
0Eh
0Fh
R2DACTL
G2DACTL
B2DACTL
RLED2 Current
Setting Register
GLED2 Current
Setting Register
BLED2 Current
Setting Register
W
W
W
R2C [4 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
-
W
W
W
W
W
G2C [4 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
-
W
W
W
W
W
B2C [4 : 0]
W
W
W
0
0
0
0
0
0
0
0
Upper column : Register name, Middle column : Read/Write, Lower column : Default value
Continued on next page.
No.A1083-61/65
LV5219LG
Continued from preceding page.
Address
Register
name
Description
Data
R/W
D7
D6
D5
D4
D3
MAXCF [2 : 0]
10h
11h
12h
13h
14h
15h
16h
17h
18h
19h
1Ah
1Bh
1Ch
1Dh
1Eh
1Fh
F1DACTL
F2DACTL
F3DACTL
MFCTL
SFCTL
R1FCTL
G1FCTL
B1FCTL
RGB1GRCTL
RGB1PUCTL
R1AOFFCTL
R1AONCTL
G1AOFFCTL
G1AONCTL
B1AOFFCTL
B1AONCTL
FLED1 Current
Setting Register
FLED2 Current
Setting Register
FLED3 Current
Setting Register
W
W
W
MLED Fade
Time
Setting Register
W
SLED Fade
Time
Setting Register
W
RLED1 Fade
Time
Setting Register
W
GLED1 Fade
Time
Setting Register
W
BLED1 Fade
Time
Setting Register
RGB1 Gradation
Setting Register
RGB1 Pulse Mode
Setting Register
W
W
W
RLED1 OFF
Position
Setting Register
W
RLED1 ON
Position
Setting Register
W
GLED1 OFF
Position
Setting Register
W
GLED1 ON
Position
Setting Register
W
BLED1 OFF
Position
Setting Register
W
BLED1 ON Position
Setting Register
W
D2
D1
D0
W
W
W
0
0
0
W
W
W
0
0
0
F1C [4 : 0]
W
W
W
W
W
0
0
0
0
0
-
-
-
W
W
W
W
W
0
0
0
0
0
-
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
F2C [4 : 0]
F3C [4 : 0]
-
-
W
W
W
MFOUT [2 : 0]
W
W
W
MFIN [2 : 0]
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
SFOUT [2 : 0]
W
W
W
SFIN [2 :0]
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
R1FOUT [2 : 0]
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
0
0
0
-
-
W
W
W
0
0
0
-
-
W
W
R1FIN [2 : 0]
G1FOUT [2 : 0]
G1FIN [2 : 0]
B1FOUT [2 : 0]
W
W
W
W
0
0
B1FIN [2 : 0]
W
W
0
W
W
0
0
0
0
0
0
0
GHB1
GHG1
GHR1
GR1M1
GRON1
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
PRON1
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
AT1 [2 : 0]
PT1 [2 : 0]
HO1 [2 : 0]
-
-
W
W
W
W
W
R1Aoff [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
R1Aon [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
G1Aoff [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
G1Aon [5 : 0]
B1Aoff [5 : 0]
-
-
W
W
W
W
W
B1Aon [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
Upper column : Register name, Middle column : Read/Write, Lower column : Default value
Continued on next page.
No.A1083-62/65
LV5219LG
Continued from preceding page.
Address
20h
21h
22h
23h
24h
25h
26h
27h
28h
29h
2Ah
2Bh
2Ch
2Dh
2Eh
Register
name
R2FCTL
G2FCTL
B2FCTL
RGB2GRCTL
RGB2PUCTL
R2AOFFCTL
R2AONCTL
G2AOFFCTL
G2AONCTL
B2AOFFCTL
B2AONCTL
KEYCTL
PTCLT1
INTMASK
INTDET
Description
Data
R/W
D7
RLED2 Fade
Time
Setting Register
GLED2 Fade
Time
Setting Register
W
W
BLED2 Fade
Time
Setting Register
W
RGB2 Gradation
Setting Register
W
RGB2 Pulse Mode
Setting Register
W
RLED2 OFF
Position
Setting Register
W
RLED2 ON
Position
Setting Register
W
GLED2 OFF
Position
Setting Register
GLED2 ON
Position
Setting Register
W
W
BLED2 OFF
Position
Setting Register
W
BLED2 ON Position
Setting Register
W
KLED1, KLED2
Changeover
Voltage
Setting Register
W
Automatic
Brightness Control
Mode Settings 1
Register
W
INT Mask
Setting Register
INT Detection
Register
D6
D5
D4
D3
D2
W
W
W
0
0
0
R2FOUT [2 : 0]
R
-
W
W
0
0
0
-
-
STATUS
Status
Detection Register
R2FIN [2 : 0]
W
W
W
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
GHB2
GHG2
GHR2
GR1M2
GRON2
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
G2FOUT [2 : 0]
R
W
W
0
0
G2FIN [2 : 0]
W
W
W
0
0
0
B2FOUT [2 : 0]
W
W
0
0
B2FIN [2 : 0]
AT2 [2 : 0]
-
PRON2
W
W
W
PT2[2:0]
W
W
W
W
W
0
0
0
0
0
0
0
0
HO2[2:0]
-
-
W
W
W
W
W
R2Aoff [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
R2Aon [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
G2Aoff [5 : 0]
G2Aon [5 : 0]
B2Aoff [5 : 0]
-
-
W
W
W
W
W
B2Aon [5 : 0]
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
SWCTL
PTSW
KISW
W
W
W
KEY1C [3 : 0]
SMPN [1 : 0]
W
W
SMPF [2 : 0]
W
W
W
0
0
0
0
0
0
0
0
INTCR
-
INTM6
INTM5
INTM4
INTM3
INTM2
INTM1
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
INT6
INT5
INT4
INT3
INT2
INT1
R
R
R
R
R
R
R
R
0
0
0
0
0
0
0
0
-
-
KEYON
DCDC
R
R
R
R
R
R
R
R
0
0
0
0
0
0
0
0
XM [3 : 0]
2Fh
D0
W
KEY2C [3 : 0]
W
D1
Upper column : Register name, Middle column : Read/Write, Lower column : Default value
Continued on next page.
No.A1083-63/65
LV5219LG
Continued from preceding page.
Address
30h
31h
32h
33h
34h
35h
36h
37h
38h
39h
3Ah
3Bh
3Ch
3Dh
3Eh
3Fh
Register
name
Description
D7
PTMDACTL0
Automatic
Brightness Control
Mode Settings
Current Settings 0
PTMDACTL1
Automatic
Brightness Control
Mode Settings
Current Settings 1
PTMDACTL2
Automatic
Brightness Control
Mode Settings
Current Settings 2
W
PTMDACTL3
Automatic
Brightness Control
Mode Settings
Current Settings 3
W
PTMDACTL4
Automatic
Brightness Control
Mode Settings
Current Settings 4
W
Automatic
Brightness Control
Mode Settings
Current Settings 5
W
PTMDACTL6
Automatic
Brightness Control
Mode Settings
Current Settings 6
W
PTMDACTL7
Automatic
Brightness Control
Mode Settings
Current Settings 7
PTMDACTL8
Automatic
Brightness Control
Mode Settings
Current Settings 8
PTMDACTL5
W
W
W
W
0
0
0
0
W
W
W
W
0
0
0
0
Automatic
Brightness Control
Mode Settings
Current Settings C
W
PTMDACTLD
Automatic
Brightness Control
Mode Settings
Current Settings D
0
0
0
W
W
W
W
0
0
0
0
W
W
W
W
W
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
0
0
0
0
YMAIN3 [6 : 0]
YMAIN4 [6 : 0]
YMAIN5 [6 : 0]
YMAIN6 [6 : 0]
YMAIN7 [6 : 0]
W
W
W
W
0
0
0
0
YMAIN8 [6 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
YMAIN9 [6 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
YMAINA [6 : 0]
YMAINB [6 : 0]
YMAINC [6 : 0]
-
W
0
0
-
Automatic
Brightness Control
Mode Settings
Current Settings F
W
W
-
W
W
0
-
Automatic
Brightness Control
Mode Settings
Current Settings E
W
W
-
W
W
0
-
PTMDACTLC
D0
W
-
W
D1
YMAIN2 [6 : 0]
-
W
D2
YMAIN1 [6 : 0]
-
W
D3
YMAIN0 [6 : 0]
-
Automatic
Brightness Control
Mode Settings
Current Settings B
PTMDACTLF
W
-
W
PTMDACTLE
D4
-
Automatic
Brightness Control
Mode Settings
Current Settings A
PTMDACTLB
D5
-
W
PTMDACTLA
D6
W
Automatic
Brightness Control
Mode Settings
Current Settings 9
PTMDACTL9
Data
R/W
YMAIND [6 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
YMAINE [6 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
YMAINE [6 : 0]
Upper column : Register name, Middle column : Read/Write, Lower column : Default value
Continued on next page.
No.A1083-64/65
LV5219LG
Continued from preceding page.
Address
40h
41h
42h
43h
Register
name
Contents
PTCLT2
Automatic
Brightness Control
Mode Settings 2
Register
PTCLT3
Automatic
Brightness Control
Mode Settings 3
Register
W
GPO Register
W
GPOCTL
TEST
For Testing
Inhibited
Data
R/W
D7
D6
W
W
0
0
D5
D4
D3
D2
W
W
W
W
0
0
0
0
TUP [3 : 0]
W
-
D1
D0
TDWN [3 : 0]
TAU [2 : 0]
-
W
W
0
0
TAD [2 : 0]
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
MFXSW
-
GPO22
GPO12
GPO02
GPO21
GPO11
GPO01
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
-
-
-
-
-
-
-
-
W
W
W
W
W
W
W
W
0
0
0
0
0
0
0
0
Upper column : Register name, Middle column : Read/Write, Lower column : Default value
SANYO Semiconductor Co.,Ltd. assumes no responsibility for equipment failures that result from using
products at values that exceed, even momentarily, rated values (such as maximum ratings, operating condition
ranges, or other parameters) listed in products specifications of any and all SANYO Semiconductor Co.,Ltd.
products described or contained herein.
SANYO Semiconductor Co.,Ltd. strives to supply high-quality high-reliability products, however, any and all
semiconductor products fail or malfunction with some probability. It is possible that these probabilistic failures or
malfunction could give rise to accidents or events that could endanger human lives, trouble that could give rise
to smoke or fire, or accidents that could cause damage to other property. When designing equipment, adopt
safety measures so that these kinds of accidents or events cannot occur. Such measures include but are not
limited to protective circuits and error prevention circuits for safe design, redundant design, and structural
design.
In the event that any or all SANYO Semiconductor Co.,Ltd. products described or contained herein are
controlled under any of applicable local export control laws and regulations, such products may require the
export license from the authorities concerned in accordance with the above law.
No part of this publication may be reproduced or transmitted in any form or by any means, electronic or
mechanical, including photocopying and recording, or any information storage or retrieval system, or otherwise,
without the prior written consent of SANYO Semiconductor Co.,Ltd.
Any and all information described or contained herein are subject to change without notice due to
product/technology improvement, etc. When designing equipment, refer to the "Delivery Specification" for the
SANYO Semiconductor Co.,Ltd. product that you intend to use.
Information (including circuit diagrams and circuit parameters) herein is for example only; it is not guaranteed
for volume production.
Upon using the technical information or products described herein, neither warranty nor license shall be granted
with regard to intellectual property rights or any other rights of SANYO Semiconductor Co.,Ltd. or any third
party. SANYO Semiconductor Co.,Ltd. shall not be liable for any claim or suits with regard to a third party's
intellctual property rights which has resulted from the use of the technical information and products mentioned
above.
This catalog provides information as of January, 2009. Specifications and information herein are subject
to change without notice.
PS No.A1083-65/65