ETC MSM38S0000

DATA SHEET
O
K
I
A
S
I
C
P
R
O
D
U
C
T
S
0.8µm Mixed 3-V/5-V
MSM38S0000 Sea of Gates and
MSM98S000 Customer Structured Arrays
February 1995
TRADEMARKS
AIX, DOS, PC, and Windows are trademarks, and IBM is a registered trademark of IBM Corporation
Apollo, Domain, and DomainOS are trademarks of Apollo Computer, a subsidiary of Hewlett-Packard
AutoLogic, IDEA, QuickFault, QuickGrade, QuickPath, QuickSim, and Mentor Graphics are
trademarks of Mentor Graphics Corporation
Composer, Concept, HDL, Leapfrog, PLI, Veritime, and VHDL are trademarks, and Cadence, DRACULA, TestScan,
Verifault, and Verilog are registered trademarks of Cadence Design Systems, Inc.
Design Compiler, HDL/VHDL Compiler, Test Compiler, and VSS are trademarks of Synopsys, Inc.
HP and HP-UX are trademarks of Hewlett-Packard Company
Alchemy and IKOS are trademarks of IKOS Systems, Inc.
Powerview, Viewlogic, ViewRetargeter, ViewSim, ViewSynthesis, and Workview are
trademarks of Viewlogic Systems, Inc.
Solaris, Sun, Sun-3, Sun-4, and SunOS are trademarks of Sun Microsystems, Inc.
UNIX is a registered trademark of UNIX System Laboratories, Inc.
All other products or services mentioned in this document are identified by the trademarks, service marks,
or product names as designated by the companies who market those products. Inquiries concerning such
trademarks should be made directly to those companies.
OKI Semiconductor reserves the right to make changes in specifications at anytime and without notice. This information furnished by
OKI Semiconductor in this publication is believed to be accurate and reliable. However, no responsibility is assumed by OKI
Semiconductor for its use; nor for any infringements of patents or other rights of third parties resulting from its use. No license is
granted under any patents or patent rights of OKI.
OKI SEMICONDUCTOR
MSM38S0000/MSM98S000
0.8µm Mixed 3-V/5-V Sea of Gates and Customer Structured Arrays
DESCRIPTION
OKI’s 0.8µm ASIC products, specially designed for mixed 3-V/5-V applications, are now available in both
Sea Of Gates (SOG) and Customer Structured Array (CSA) architectures. Both the SOG-based MSM38S
Series and the CSA-based MSM98S Series use a three-layer-metal process on 0.8µm drawn (0.6µm L-effective) CMOS technology. The semiconductor process is adapted from OKI’s production-proven 16-Mbit
DRAM manufacturing process.
Ideal for low-power portable applications, the MSM38S/98S are constructed with separate power busses
for internal core logic and configurable I/O functions. Altogether, the architecture provides maximum
flexibility, meeting the needs of all 3-V, 5-V, and mixed 3-V/5-V signal requirements.
The MSM38S SOG Series is available in seven sizes with up to 420 I/O pads and over 135,000 usable gates.
SOG array sizes are designed to fit the most popular quad flat pack (QFP) packages, such as 100-, 136-,
160-, and 208-pin QFPs. MSM38S SOG-based designs are therefore ideal for pad-limited circuits that
require rapid prototyping turnaround times.
The MSM98S CSA Series is an all-mask-level superset of the SOG series, available in 29 sizes. The CSA
offerings combine the SOG architecture’s logic flexibility with the higher integration yielded by optimized
diffusion for faster and more compact memory blocks. The MSM98S is ideal for core-limited applications
or circuits with large and/or multiple memory functions. Customer modification to the structure of any
of the 29 predefined masterslices, rather than creation of a new masterslice every time, improves the prototyping turnaround time over cell-based manufacturing techniques.
Both product families are supported by OKI’s proprietary MEMGEN tool which quickly and easily generates SOG memories (for the MSM38S) as well as optimized memories for the MSM98S Series. The families also feature floorplanning to control pre-layout timing, clock-skew management software that
guarantees worst-case clock skew of 1 ns or less, and scan-path design techniques that support ATVG for
fault coverage approaching 100%.
FEATURES
• 0.8µm drawn three-layer metal CMOS
• Mixed 3-V/5-V operation for low power and high
speed
• SOG and CSA architecture availability
• Clock tree cells with ≤ 1.0-ns clock skew, worst-case
(fan-out = 2000 at 70 MHz)
• Usable density from 6.5k to 135k gates
• I/Os may be VSS, 3 V, 5 V, VDD, CMOS, TTL, and 3state, with 2-mA to 48-mA drive
• I/O level shifter cells, allowing any buffer (input,
output, or bidirectional) to interface with 3 V or 5 V
• Slew-rate-controlled outputs for low radiated noise
• User-configurable single and multi-port memories
• Specialized 3-V and 5-V macrocells, including phaselocked loop, and PCI cells
• Floorplanning for front-end simulation and back-end
layout controls
• JTAG boundary scan and scan-path ATVG
OKI SEMICONDUCTOR
1
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
MSM38S/98S FAMILY LISTING
CSA Part #
MSM...
SOG Part #
MSM...
I/O Pads
Rows[1]
Columns
Raw Gates
98S020x020
—
80
44
148
6,512
4,689
Usable Gates
98S023x023
—
92
51
176
8,976
6,463
—
38S0110
100
56
194
10,752
7,741
98S026x026
—
104
59
200
11,800
8,496
98S029x029
—
116
66
228
15,048
10,835
98S032x032
—
128
74
252
18,648
13,427
—
38S0210
136
79
270
21,172
15,244
98S035x035
—
140
81
276
22,356
16,096
98S038x038
—
152
89
304
27,056
19,480
—
38S0300
160
94
322
30,080
21,658
98S041x041
—
164
96
328
31,488
22,671
98S044x044
—
176
104
356
37,024
25,917
98S047x047
—
188
111
380
42,180
29,526
98S050x050
—
200
119
408
48,552
33,986
98S053x053
—
212
126
432
54,432
38,102
—
38S0570
216
129
442
56,760
39,732
98S056x056
—
224
134
456
61,104
42,162
98S059x059
—
236
141
484
68,244
47,088
98S062x062
—
248
149
508
75,692
51,471
98S065x065
—
260
156
536
83,616
56,859
98S068x068
—
272
164
560
91,840
62,451
—
38S0980
280
169
580
97,344
66,194
98S071x071
—
284
171
588
100,548
67,367
98S074x074
—
296
179
612
109,548
72,302
98S077x077
—
308
186
636
118,296
75,709
98S080x080
—
320
194
664
128,816
82,442
98S083x083
—
332
201
688
138,288
88,504
98S086x086
38S1500
344
209
716
149,644
95,772
98S089x089
—
356
216
740
159,840
99,101
98S092x092
—
368
224
768
172,032
103,219
98S095x095
—
380
231
792
182,952
109,771
98S098x098
—
392
239
816
195,024
117,014
98S101x101
—
404
246
844
207,624
124,574
98S104x104
—
416
254
868
220,472
132,283
—
38S2250
420
256
880
224,256
134,554
[2]
[1] Row and column numbers are used to evaluate the number and size of mega macrocells that may be included into each array. For
example, a 7,600-gate mega macrocell with a size and aspect ratio of 36 rows by 245 columns can be used on the
MSM98S032x032 or any larger array base, but not on the MSM98S029x029.
[2] Usable gate count is design dependent and varies based upon the number of fan-outs per net, internal busses, floor plan,
RAM/ROM blocks, etc.
2
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
ARRAY ARCHITECTURE
The primary components of a 0.8µm MSM38S/98S circuit include:
•
•
•
•
•
•
•
I/O base cells
Configurable I/O pads for VDD, VSS, or I/O (I/O in both 3V and 5V)
VDD and VSS pads dedicated to wafer probing
Separate power bus for output buffers
Separate power bus for internal core logic and input buffers
Core base cells containing N-channel and P-channel pairs, arranged in column of gates
Isolated gate structure for reduced input capacitance and increased routing flexibility
Each array has 16 dedicated corner pads for power and ground use during wafer probing, with four pads
per corner. The arrays also have separate power rings for the internal core functions (VDDC and VSSC) and
output drive transistors (VDDO for 3 V and VSSO).
I/O cells include
level shifter
Separate power bus
for internal core logic
Column
of Gates
Configurable I/O pads for
VDD (3.3 V), VDD (5 V), VSS,
I/O (3.3 V), or I/O (5 V)
Core Area
VDD = 3.3 or 5 V
Four-transistor
basic core cell
VDD, VSS pads in
each corner for
wafer probing only
VDDO (5 V)
VDDO (3.3 V)
VSSO
Separate power bus over
I/O cell for output buffers
(VDDO (3.3 V), VDDO (5 V), VSSO)
Figure 1. MSM38S/98S Array Architecture
MSM98S000 CSA Layout Methodology
The procedure to design, place, and route a CSA follows.
1. Select suitable base array frame from the available predefined sizes. To select an array size:
- Identify the macrocell functions required and the minimum array size to hold the macrocell
functions.
OKI SEMICONDUCTOR
3
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
- Add together all the area occupied by the required random logic and macrocells and select
the optimum array.
2. Make a floor plan for the design’s megacells.
- OKI Design Center engineers verify the master slice and review simulation.
- OKI Design Center engineers floorplan the array using OKI’s proprietary floorplanner and
customer performance specifications.
- Using OKI CAD software, Design Center engineers remove the SOG transistors and replace
them with diffused memory macrocells to the customer’s specifications.
Figure 2 shows an array base after placement of the optimized memory macrocells.
Early mask high-density ROM
Mega macrocell
High-density RAM
Multi-port RAM
Figure 2. Optimized Memory Macrocell Floor Plan
3. Place and route logic into the array transistors.
- OKI Design Center engineers use layout software and customer performance specifications
to connect the random logic and optimized memory macrocells.
Figure 3 marks the area in which placement and routing is performed with light shading.
Figure 3. Random Logic Place and Route
4
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
ELECTRICAL CHARACTERISTICS
Absolute Maximum Ratings
Parameter
Symbol
Power supply voltage
Conditions
VDD
[1]
Tj = 25° C
VSS = 0 V
Value
Unit
-0.5 to +6.5
V
-0.5 to VDD+0.5
V
Input voltage
VI
Output voltage
VO
-0.5 to VDD+0.5
V
Output current per I/O base cell
IO
-24 to + 24
mA
Current per power PAD
IPAD
-90 to +90
mA
Storage temperature
Tstg
-65 to +150
°C
–
[1] Permanent device damage may occur if ABSOLUTE MAXIMUM RATINGS are exceeded. Functional operation should be restricted to the
conditions as detailed in the other sections of this data sheet. Exposure to absolute maximum rating conditions for extended periods may affect
device reliability.
Recommended Operating Conditions (VSS = 0 V)
Rated Value
Parameter
Symbol
Min
Typ
Max
Unit
Power supply voltage
VDD
2.7
3.3
3.6
V
4.5
5.0
5.5
V
Operating temperature
Ta
-40
+25
+85
°C
trA, tfA
–
2
500
ns
trB, tfB
–
2
500
ns
trC, tfC
–
–
60
µs
trD, tfD
–
–
200
µs
Input rise/fall time (normal type)[1][2]
[3][4]
Input rise/fall time (Schmitt Trigger type)
[1]
[2]
[3]
[4]
trA, tfA – TTL interface, normal input buffer.
trB, tfB – CMOS interface, normal input buffer.
trC, tfC – TTL interface, Schmitt Trigger input buffer.
trD, tfD – CMOS interface, Schmitt Trigger input buffer.
Operating Range (VSS = 0 V)
Parameter
Symbol
Rated Value
Unit
Supply voltage
VDD
2.7 to 5.5
V
Ambient temperature
Ta
-40 to +85
°C
Oscillation frequency [1]
fOSC
30 k to 50 M
Hz
[1] 50-MHz oscillator frequency for VDD is 4.5 ~ 5.5 V.
OKI SEMICONDUCTOR
5
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
DC Characteristics
(VDD = 4.5 ~ 5.5 V, VSS = 0 V, Tj = -40° C ~ +85° C)
Rated Value
Parameter
High-level input voltage
Symbol
Conditions
Min
Typ[1]
Max
Unit
VIH
TTL input
2.2
–
VDD+0.5
V
CMOS input
0.7xVDD
–
VDD+0.5
V
TTL input
-0.5
–
0.8
V
CMOS input
-0.5
–
0.3xVDD
V
–
–
1.7
2.2
V
Low-level input voltage
VIL
TTL-level Schmitt Trigger input threshold voltage
Vt+
Vt-
–
0.8
1.3
–
V
∆VT
Vt+ - Vt-
0.2
0.4
–
V
Vt+
–
–
3.1
0.76xVDD
V
Vt-
–
0.24xVDD
1.8
–
V
∆VT
Vt+ - Vt-
0.6
1.3
–
V
High-level output voltage
VOH
IOH = 2, 4, 8, 12, 16, 24 mA
3.7
–
–
V
Low-level output voltage
VOL
IOL = 2, 4, 8, 12, 16, 24 mA
–
–
0.4
V
IOL = 48 mA
–
–
0.5
V
VIH = VDD
–
0.01
10
µA
VIH = VDD(50 kΩ pull down)
20
100
250
µA
CMOS-level Schmitt Trigger input threshold
voltage
High-level input current
Low-level input current
3-state output leakage current
IIH
IIL
IOZH
IOZL
Stand-by current[2]
IDDS
[1] Typical condition is VDD = 5.0 V and Tj = 25° C for a typical process.
[2] RAM/ROM should be in power-down mode.
6
OKI SEMICONDUCTOR
VIL = VSS
-10
-0.01
–
µA
VIL = VSS (50 kΩ pull up)
-250
-100
-20
µA
VIL = VSS (3 kΩ pull up)
-5
-1.6
-0.5
mA
VOH = VDD
–
0.01
10
µA
VOL = VSS
-10
-0.01
–
µA
VOL = VSS (50 kΩ pull up)
-250
-100
-20
µA
VOL = VSS (3 kΩ pull up)
-5
-1.6
-0.5
mA
Output open
VIH = VDD, VIL = VSS
–
0.1
100
µA
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
DC Characteristics
(VDD = 2.7 ~ 3.6 V, VSS = 0 V, Tj = -40° C ~ +85° C)
Rated Value
Symbol
Conditions
Min
Typ[1]
Max
High-level input voltage
VIH
CMOS input
0.7xVDD
–
VDD+0.5
V
Low-level input voltage
VIL
CMOS input
-0.5
–
0.3xVDD
V
CMOS-level Schmitt Trigger input threshold
voltage
Vt+
–
–
2
0.76xVDD
V
V
Parameter
Unit
Vt-
–
0.24xVDD
1
–
∆VT
Vt+ - Vt-
0.1xVDD
1
–
V
High-level output voltage
VOH
IOH = 1, 2, 4, 6, 8, 12 mA
2.2
–
–
V
Low-level output voltage
VOL
IOL = 1, 2, 4, 6, 8, 12, 24 mA
–
–
0.4
V
High-level input current
IIH
VIH = VDD
–
0.01
1
µA
VIH = VDD
(100 kΩ pull down)
5
35
120
µA
VIL = VSS
-1
-0.01
–
µA
VIL = VSS (100 kΩ pull up)
-120
-35
-5
µA
VIL = VSS (6 kΩ pull up)
-2
-.55
-.120
mA
Low-level input current
3-state output leakage current
Stand-by current[2]
IIL
IOZH
VOH = VDD
–
0.01
1
µA
IOZL
VOL = VSS
-1
-0.01
–
µA
VOL = VSS (100 kΩ pull up)
-120
-35
-5
µA
VOL = VSS (6 kΩ pull up)
-2
-.55
-.12
mA
Output open
VIH = VDD, VIL = VSS
–
0.1
10
µA
IDDS
[1] Typical condition is VDD = 3.3 V and Tj = 25° C for a typical process.
[2] RAM/ROM should be in power-down mode.
OKI SEMICONDUCTOR
7
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
AC Characteristics
(Core VDD = 5 V, VSS = 0 V, Tj = 25° C)
Driving Type
Conditions
Rated Value [1][2]
Unit
Inverter
2-input NAND
2-input NOR
1x
1x
1x
Input tr/tf = VDD /1.0 ns
Output loading:
FO = 1, L = 0 mm
0.20
0.25
0.28
ns
Inverter
1x
2x
4x
0.47
0.35
0.22
ns
0.57
0.36
0.25
ns
0.69
0.53
0.51
ns
1.63
1.5
0.1[3]
ns
500
MHz
Parameter
Internal gate delay times
2-input NAND
1x
2x
4x
2-input NOR
Flip-flop (FD1A)
Delay time:
Set-up time:
Hold time:
1x
2x
4x
Input tr/tf = VDD /1.0 ns
Output loading:
FO = 2, L = 2 mm
L = Metal length
CLK↑ to Q
D to CLK↑
CLK↑ to D
Toggle frequency of flip-flop
FO = 1, L = 0 mm
[1] For the purpose of this table, Rated Value is calculated as an average of the LH and HL delay times of each macro type.
[2] Characteristics are quoted for a typical process.
[3] thL (C,D) ≥ 0.1 ns. For I/O information, please refer to the AC Characteristics listed in the I/O table.
AC Characteristics
(Core VDD = 3.3 V, VSS = 0 V, Tj = 25° C)
Driving Type
Conditions
Rated Value [1][2]
Unit
Inverter
2-input NAND
2-input NOR
1x
1x
1x
Input tr/tf = VDD /1.0 ns
Output loading:
FO = 1, L = 0 mm
0.31
0.38
0.43
ns
Inverter
1x
2x
4x
0.72
0.54
0.34
ns
0.87
0.55
0.38
ns
1.06
0.81
0.78
ns
2.66
2.29
0.15[3]
ns
327
MHz
Parameter
Internal gate delay times
2-input NAND
2-input NOR
Flip-flop (FD1A)
Delay time:
Set-up time:
Hold time:
Toggle frequency of flip-flop
1x
2x
4x
1x
2x
4x
Input tr/tf = VDD /1.0 ns
Output loading:
FO = 2, L = 2 mm
L = Metal length
CLK↑ to Q
D to CLK↑
CLK↑ to D
FO = 1, L = 0 mm
[1] For the purpose of this table, Rated Value is calculated as an average of the LH and HL delay times of each macro type
[2] Characteristics are quoted for a typical process.
[3] thL (C,D) ≥ 0.15 ns. For I/O information, please refer to the AC Characteristics listed in the I/O table.
8
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
AC Characteristics
(I/O VDD = 3.3 V or 5 V, VSS = 0 V, Tj = 25° C)
Rated Values For V
DD Conditon
[1][2]
LL
3-V Ext
3-V Core
HL
3-V Ext [3]
5-V Core
LH
5-V Ext [3]
3-V Core
HH
5-V Ext
5-V Core
Unit
Parameter
Type
Conditions
Input buffer delay
times
TTL input
Input
tr, tf = 0.2 ns/3.3 V
FO = 2,
L = 2 mm[4]
–
–
–
0.82
ns
Input
tr, tf = 0.3 ns/5 V
(LH, HH)
tr, tf = 0.2 ns/3.3 V
(LL, HL)
FO = 2,
L = 2 mm [4]
0.95
1.78
0.96
0.71
ns
4 mA
8 mA
16 mA
24 mA
CL = 20 pF
CL = 50 pF
CL = 100 pF
CL = 150 pF
–
–
–
–
–
–
–
–
2.90
3.86
3.87
3.69
1.39
1.86
2.03
2.51
ns
2 mA
4 mA
8 mA
12 mA
CL = 20 pF
CL = 50 pF
CL = 100 pF
CL = 150 pF
2.30
3.11
3.34
3.76
1.53
1.99
2.18
2.58
–
–
–
–
–
–
–
–
ns
–
–
–
–
3.38 (r)
3.59 (f)
2.66 (r)
3.04 (f)
ns
–
–
–
–
9.20 (r)
7.86 (f)
3.60 (r)
3.62 (f)
ns
CMOS input
Output buffer
delay times
Push-pull for HH
& LH
(tin = 0.3 ns/5 V
for LH & HL or tin
Push-pull for LL &
= 0.2 ns/3.3 V for
HL
LL & HL)
Output buffer
transition time
(20-80%)
[1]
[2]
[3]
[4]
[5]
Push-pull
Push-pull with slew rate control
CL = 150 pF for 24 mA
buffer [5]
Rated values are calculated as an average of the L-H and the H-L delay times for each macro type.
Characteristics are quoted for a typical process.
Parameters include level shifter cell where appropriate.
For L = 2 mm, metal capacitance value of 0.304 pF has been chosen.
Output rising and falling times are specified.
OKI SEMICONDUCTOR
9
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
MACRO LIBRARY
Examples
Basic macrocells
Basic macrocells
w/ Scan test
NANDs
NORs
EXORs
Latches
Flip-flops
Combinational logic
Flip-flops
Clock tree driver
macrocells
Macrocells
Output macrocells
MSI macrocells
Mega macrocells
3-State outputs
Push-pull outputs
Open drain outputs
Slew rate control outputs
PCI Outputs
Counters
Shift registers
RTC
SCSI
UART, 82Cxx
PCI, PCMCIA
Inputs
Inputs w/pull-ups
Inputs w/pull-downs
I/O
I/O w/pull-ups
I/O w/pull-downs
PCI I/O
Macro Library
Input macrofunctions
Bi-directional
macro-functions
Macro-functions
MSI macrofunctions
Oscillator macrofunctions
Memory
macrocells
74199
74163
74151
Gated oscillators
SOG RAMs
(single- and
multi-port)
SOG ROMs
Optimized diffused RAMs
(Single- and multi-port)
Optimized diffused ROMs
Figure 4. OKI Macro Library
MACROCELLS FOR DRIVING CLOCK TREES
OKI offers clock-tree drivers that guarantee a skew time of less than 1.0 ns. The advanced layout software
uses dynamic driver placement and sub-trunk allocation to optimize the clock-tree implementation for a
particular circuit. Features of the clock-tree driver-macrocells include:
• Clock skew ≤ 1.0 ns
• Automatic fan-out balancing
10
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
•
•
•
•
•
•
Dynamic sub-trunk allocation
Single clock tree driver logic symbol
Single-level clock drivers
Automatic branch length minimization
Dynamic driver placement
Up to four clock trunks
The clock-skew management scheme is described in detail in the 0.8µm Technology
Clock Skew Management
Application Note
.
Clocked Cell
Main Trunk
Sub Trunk
Branch
Clock Drivers
Pad
Input Buffer
Clock Tree
Driver Macrocell
Figure 5. Clock Tree Structure
OUTPUT DRIVER MACROCELLS FOR SLEW RATE CONTROL
The slew-rate-control output driver macrocells reduce both simultaneous-switching noise and outputringing noise. The output transistors are split into two sets; first, one set of output transistors drive the
output pads, then, after the output passes the threshold, the second set of output transistors drive the I/O
pads.
Figure 6below shows output drivers configured for slew-rate control. All outputs with a drive of 8 mA or
more are available with slew-rate control.
First Set of
Output Transistors
Output Pad
From Internal Node
Switch
Second Set of
Output Transistors
Figure 6. Slew Rate Control Output Buffer
OKI SEMICONDUCTOR
11
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
AUTOMATIC TEST VECTOR GENERATION
OKI’s 0.8µm ASIC technologies support Automatic Test Vector Generation (ATVG) using full scan-path
design techniques, including the following:
•
•
•
•
•
•
•
•
•
Increases fault coverage ≥ 95%
Uses Synopsys Test Compiler
Automatically inserts scan structures
Connects scan chains
Traces and reports scan chains
Checks for rule violations
Generates complete fault reports
Allows multiple scan chains
Supports vector compaction
Combinational Logic
A
FD1AS
Scan Data In
D
C
SD
SS
B
FD1AS
Q
QN
D
C
SD
SS
Q
QN
Scan Select
Figure 7. Full Scan Path Configuration
12
OKI SEMICONDUCTOR
Scan Data Out
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
DESIGN PROCESS
Level 1 [4]
Schematics
VHDL/HDL Description
Test Vectors
CAE Front-End
CDC [1]
Floorplanning
Simulation
Level 2
Netlist Conversion
(EDIF 200)
Test Vector Conversion
(OKI TPL [3])
Scan Insertion (Optional)
TDC [2]
CDC [1]
Floorplanning
Pre-Layout Simulation
(Cadence Verilog)
Level 2.5 [4]
Layout
(Silvar Lisco Gards)
Fault Simulation [5]
(Cadence Verifault or IKOS)
OKI Interface
Automatic Test
Vector Generation
(Synopsys Test Compiler)
Verification
(Cadence DRACULA)
Post-Layout Simulation
(Cadence Verilog)
Level 3 [4]
Manufacturing
Prototype
Test Program
Conversion
[1]
[2]
[3]
[4]
[5]
OKI Circuit Data Check program (CDC) verifies logic design rules
OKI Test Data Check program (TDC) verifies test vector rules
OKI Test Pattern Language (TPL)
Alternate Customer-OKI design interfaces available in addition to standard level 2
Standard design process includes fault simulation
Figure 8. OKI Design Process
OKI SEMICONDUCTOR
13
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
OKI ADVANCED DESIGN CENTER CAD TOOLS
• Floorplanning for front-end simulation and back-end layout controls
• Clock tree structures improve first-time silicon success by eliminating clock skew problems
• Power calculation which predicts circuit power under simulation conditions to accurately model
package requirements
Design Kits
Vendor
Platform
[2]
Cadence
Sun
Operating System
[1]
Vendor Software
[1]
Description
SunOS
Solaris [3]
Composer
Verilog
Veritime
Verifault
Synergy
Concept
Leapfrog
Design capture
Simulation
Timing analysis
Fault grading
Design synthesis
Design capture
VHDL simulation
HP9000, 7xx
HP-UX
Composer
Verilog
Veritime
Verifault
Synergy
Design capture
Simulation
Timing analysis
Fault simulation
Design synthesis
IBM RS6000
AIX
Composer
Verilog
Synergy
Design capture
Simulation
Design synthesis
Sun [2]
SunOS
Solaris [3]
Alchemy
Simulation
Fault grading
HP9000, 7xx
HP-UX
Sun [2]
SunOS
Solaris [3]
IDEA
QuickVHDL
QuickSim II
QuickPath
QuickFault
QuickGrade
AutoLogic
DFT Advisor
Design capture
VHDL simulation
Logic simulation
Timing analysis
Fault grading
Fault grading
Design synthesis
Test synthesis
Synopsys
(Interface to Mentor
Graphics, VIEWLogic)
Sun [2]
HP9000, 7xx
IBM RS6000
SunOS
Solaris [3]
HP-UX
AIX
Design Compiler
HDL/VHDL Compiler
Test Compiler
VSS
Compilation
Design synthesis
Test synthesis
VHDL simulation
VIEWLogic
Sun [2]
SunOS
Solaris [3]
PC
DOS
Windows
Windows NT[3]
Workview Plus
Powerview
Vantage Optium
ViewTime/Motive [3]
ViewRetargeter
ViewSynthesis
ViewSim with VSO
Design capture
Simulation
VHDL simulation
Timing analysis
Design migration
Design synthesis
Simulation
IKOS
Mentor Graphics
[1] Contact OKI Application Engineering for current software versions.
[2] Sun® or Sun-compatible.
[3] In development.
14
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
PACKAGE OPTIONS
MSM38S0000 42-Alloy QFP Package Menu
Master
Slice
MSM38S...
QFP (42-Alloy)
I/O
Pads[1]
44
60
80
100
0110
100
●
●
●
●
0210
136
0300
160
0570
0980
128
136
●
●
●
●
●
●
●
●
216
●
●
●
●
280
●
●
●
●
1500
344
2250
420
144
160
●
●
●
Body Size (mm)
9.5 x 10.5
15 x 19
14 x 20
14 x 20
28 x 28
28 x 28
28 x 28
28 x 28
Lead Pitch (mm)
0.8
1
0.8
0.65
0.8
0.65
0.65
0.65
[1] I/O pads can be used for input, output, bidirectional, power, or ground signals.
● = Available now
MSM38S0000 Cu-Alloy QFP and TQFP Package Menu
QFP (Cu-Alloy)
Master Slice
MSM38S...
I/O Pads [1]
0110
0210
TQFP
44[2]
64 [2]
80 [2]
100 [2]
100
●
●
●
●
136
●
●
●
●
●
●
●
●
●
●
●
●
176
208
240
272
304
144[3]
0300
160
●
0570
216
●
●
0980
280
●
●
●
1500
344
●
●
●
●
420
●
●
●
●
●
Body Size (mm)
24 x 24
28 x 28
32 x 32
36 x 36
40 x 40
10 x 10
10 x 10
12 x 12
14 x 14
20 x 20
Lead Pitch (mm)
0.5
0.5
0.5
0.5
0.5
0.8
0.5
0.5
0.5
0.5
2250
●
●
[1] I/O Pads can be used for input, output, bidirectional, power, or ground signals.
[2] 1.0mm thick
[3] 1.4mm thick
● = Available now
OKI SEMICONDUCTOR
15
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
MSM38S0000 PLCC and CPGA Package Menu
PLCC
Master Slice
MSM38S...
I/O Pads[1]
44
0110
100
●
0210
136
●
0300
160
●
0570
216
0980
CPGA
84
132
176
208
401
●
●
●
●
●
●
●
●
●
●
280
●
●
●
1500
344
●
●
●
2250
420
●
●
Body Size (mm)
17x17
28x28
33x33
35x35
38x38
44x44
50x50
Lead Pitch (mm)
1.27
1.27
2.54
2.54
2.54
2.54
1.27
[1] I/O Pads can be used for input, output, bi-directional, power or ground.
● = Available now
16
88
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
MSM98S000 QFP Package Menu
Master
Slice
MSM98S...
I/O
Pads
020x020
PQFP (42-Alloy)
[1]
44
60
80
80
❍
❍
❍
100
128
PQFP (Cu-Alloy)
136
144
160
176
208
240
272
TQFP
304
44
64
80
❍
❍
❍
100
023x023
92
●
❍
❍
❍
❍
●
026x026
104
●
❍
❍
❍
●
❍
❍
●
029x029
116
❍
❍
❍
❍
●
❍
●
●
032x032
128
❍
❍
❍
❍
●
❍
❍
●
❍
●
035x035
140
❍
❍
❍
❍
●
●
038x038
152
❍
❍
●
❍
●
●
❍
041x041
164
❍
❍
●
●
●
●
●
❍
044x044
176
❍
❍
❍
❍
●
●
●
❍
❍
●
●
●
❍
●
❍
●
❍
❍
●
047x047
188
❍
❍
❍
●
●
●
●
●
❍
●
●
050x050
200
❍
❍
❍
❍
❍
●
●
●
❍
●
❍
053x053
212
❍
❍
❍
❍
●
●
●
●
❍
❍
●
❍
056x056
224
❍
❍
❍
❍
●
●
●
●
❍
●
●
●
059x059
236
❍
❍
❍
❍
●
❍
●
●
●
●
❍
●
062x062
248
❍
❍
❍
●
●
●
●
●
❍
❍
❍
●
065x065
260
❍
❍
❍
❍
●
●
●
●
❍
●
❍
❍
●
068x068
272
❍
❍
❍
❍
❍
●
●
●
●
●
●
❍
❍
❍
071x071
284
●
●
●
●
❍
❍
074x074
296
●
●
●
●
❍
❍
❍
077x077
308
●
●
●
●
❍
●
❍
080x080
320
●
●
●
●
❍
●
❍
083x083
332
●
●
●
●
❍
●
❍
086x086
344
❍
❍
●
❍
●
●
●
●
089x089
356
❍
●
❍
❍
●
●
●
❍
092x092
368
●
●
●
❍
❍
●
●
❍
095x095
380
●
❍
●
●
❍
●
❍
❍
098x098
392
●
❍
●
●
❍
❍
❍
❍
101x101
404
❍
●
❍
●
❍
❍
❍
❍
104x104
416
❍
●
❍
●
●
●
❍
●
●
Body Size
9.5
x
10.5
15
x 19
14
x 20
14
x 20
28
x 28
28
x 28
28
x 28
28
x 28
28
x 28
24
x 24
32
x 32
36
x 36
40
x 40
10
x 10
10
x 10
12
x 12
14
x 14
Lead Pitch (mm)
0.8
1
0.8
0.65
0.8
0.65
0.65
0.65
0.5
0.5
0.5
0.5
0.5
0.8
0.5
0.5
0.5
[1]
I/O pads can be used for input, output, bidirectional, power, or ground connections.
● = Available now
❍ = In development
OKI SEMICONDUCTOR
17
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
MSM98S000 PLCC and CPGA Package Menu
PLCC
Master Slice
MSM98S...
I/O Pads
[1]
44
CPGA
84
72
88
132
020x020
80
❍
❍
023x023
92
❍
❍
❍
026x026
104
❍
❍
❍
029x029
116
●
●
❍
●
032x032
128
●
●
❍
●
❍
035x035
140
●
●
❍
●
❍
176
208
038x038
152
●
❍
●
❍
041x041
164
●
❍
●
❍
044x044
176
●
❍
●
●
047x047
188
●
❍
●
●
❍
050x050
200
●
●
●
●
❍
053x053
212
●
●
●
●
❍
056x056
224
●
●
❍
●
❍
❍
059x059
236
●
●
❍
●
❍
❍
062x062
248
●
●
❍
●
❍
❍
065x065
260
●
●
❍
●
❍
❍
068x068
272
●
●
❍
●
●
❍
071x071
284
●
●
❍
●
●
❍
074x074
296
●
❍
●
●
❍
077x077
308
❍
❍
●
●
❍
080x080
320
❍
❍
●
●
●
083x083
332
❍
❍
●
●
●
086x086
344
❍
❍
●
●
●
089x089
356
❍
❍
●
●
●
092x092
368
❍
❍
●
●
●
095x095
380
❍
❍
●
●
●
098x098
392
❍
❍
●
❍
●
101x101
404
❍
❍
●
❍
●
104x104
416
❍
❍
●
❍
●
Body Size
Lead Pitch (mm)
17 x 17
28 x 28
28 x 28
33 x 33
35 x 35
38 x 38
44 x 44
1.27
1.27
2.54
2.54
2.54
2.54
2.54
[1] I/O pads can be used for input, output, bidirectional, power, or ground signals.
● = Available now
❍ = In development
18
OKI SEMICONDUCTOR
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– ■ MSM38S/98S Data Sheet ■
OKI SEMICONDUCTOR
19
■ MSM38S/98S Data Sheet ■ ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––
20
OKI SEMICONDUCTOR
OKI REGIONAL SALES OFFICES
Northwest Area
Eastern Area
785 N. Mary Avenue
Sunnyvale, CA 94086
Tel: 408/720-8940
Fax: 408/720-8965
Shattuck Office Center
138 River Road
Andover, MA 01810
Tel: 508/688-8687
Fax: 508/688-8896
Southwest Area
Automotive Electronics
2302 Martin Street
Suite 250
Irvine, CA 92715
Tel: 714/752-1843
Fax: 714/752-2423
17177 N. Laurel Park Drive
Suite 433
Livonia, MI 48152
Tel: 313/464-7200
Fax: 313/464-1724
Central Area
2007 N. Collins Blvd.
Suite 303
Richardson, TX 75080
Tel: 214/690-6868
Fax: 214/690-8233
Southeast Area
1590 Adamson Parkway
Suite 220
Morrow, GA 30260
Tel: 404/960-9660
Fax: 404/960-9682
FOR OKI LITERATURE:
Call toll free 1-800-OKI-6388
(6 a.m. to 5 p.m. Pacific Time)
OKI Stock No: 010400-002
Corporate Headquarters
785 N. Mary Avenue
Sunnyvale, CA 94086-2909
Tel: 408/720-1900
Fax: 408/720-1918