ETC INTEGRAL

SEMICONDUCTOR
PRODUCTS
SHORT FORM CATALOG
INTEGRAL
2010-2011
INTEGRAL JSC reserves the right to make changes in device design,
specifications and other information identified in this publication without notice
and assumes no responsibility for the use of any device described herein.
INTEGRAL JSC advices its customers to obtain the latest version of
relevant information to verify before placing orders, that the information being
relied upon is current.
Reference to products of other manufacturers are solely for convenience
and do not imply total equivalency of design, performance, or otherwise.
Copyright © 2010, INTEGRAL JSC, Republic of Belarus
CONTENTS
Introduction ...................................................................................................................................................... 5
Quality .................................................................................................................................................................. 6
SEMICONDUCTOR DEVICES FACTORY .......................................................................................... 7
Integrated Circuits ........................................................................................................................................... 7
Memories .......................................................................................................................................... 8
2
EEPROM with I C Bus.............................................................................................................................................. 8
EEPROM with 3-wire Bus......................................................................................................................................... 9
EEPROM with SPI Bus............................................................................................................................................. 9
Microcontrollers, Drivers, Peripherals IC ................................................................................................... 10
Single-Chip Microcontrollers .................................................................................................................................... 10
Display Driver IC....................................................................................................................................................... 11
LED Driver Circuits .................................................................................................................................................. 11
Interface Integrated Circuits .................................................................................................................................... 12
Real Time Clock ....................................................................................................................................................... 12
TV and Audio IC ............................................................................................................................................ 14
Vision and Sound IF Demodulation IC ..................................................................................................................... 14
Video Amplifiers........................................................................................................................................................ 14
EEPROM with I2C Bus ............................................................................................................................................. 15
Vertical Deflection Circuits........................................................................................................................................ 15
IС for Remote Control Systems ................................................................................................................................ 16
Power Supply IC ....................................................................................................................................................... 16
SAW Filters for TV.................................................................................................................................................... 17
ICs for Audio Systems .............................................................................................................................................. 18
Telecommunications IC ............................................................................................................................... 19
Switches and DTMF Receivers ................................................................................................................................ 19
Pulse and Tone/Pulse Dialers .................................................................................................................................. 19
Switching Lines IС .................................................................................................................................................... 20
Single Chip Telephone IC......................................................................................................................................... 21
Speaker Integrated Circuits ...................................................................................................................................... 21
Tone Telephone Ringers .......................................................................................................................................... 22
IC for Smart Cards.................................................................................................................................................... 23
IСs for Systems of Identification ............................................................................................................................... 24
Power Electronics, Standard Analog IC ..................................................................................................... 25
ICs for Control and Power Electronics ..................................................................................................................... 25
Automotive................................................................................................................................................................ 26
Sensors .................................................................................................................................................................... 27
Timers....................................................................................................................................................................... 27
Timers (Reference Data) .......................................................................................................................................... 28
Comparators ............................................................................................................................................................. 29
Comparators (Reference Data) ................................................................................................................................ 29
Operational Amplifiers .............................................................................................................................................. 29
Operational Amplifiers (Reference Data).................................................................................................................. 30
μp Supervisory Circuits............................................................................................................................................ 30
μp Supervisory Circuits (Reference Data) ............................................................................................................... 31
Voltage Regulators .................................................................................................................................................. 31
Switching Regulators ............................................................................................................................................... 32
Switching Regulators (Reference Data) ................................................................................................................... 32
PWM Controllers ..................................................................................................................................................... 33
Voltage Regulators (Reference Data) ...................................................................................................................... 34
Voltage Detectors ..................................................................................................................................................... 35
Standard Digital Logic IC ............................................................................................................................. 36
IW4000A Series ....................................................................................................................................................... 36
IW4000B series ....................................................................................................................................................... 36
IN74ACXXXX series ................................................................................................................................................ 38
IN74ACTXXXX series .............................................................................................................................................. 39
IN74VHCXXX series ................................................................................................................................................ 40
IN74VHCTXXX series ............................................................................................................................................. 40
IN74HCXXXX series ................................................................................................................................................ 41
IN74HCTXXX series ................................................................................................................................................ 42
IN74LVXXX series ................................................................................................................................................... 43
IN74XXX series ....................................................................................................................................................... 44
IN74LSXXX series.................................................................................................................................................... 44
N74ALSXXXX series ............................................................................................................................................... 44
Functional selection ................................................................................................................................................. 47
Family Characteristics .............................................................................................................................................. 52
3
Clock/Watch IC.............................................................................................................................................. 55
Digital watch ............................................................................................................................................................. 55
Analog - Digital watch............................................................................................................................................... 56
Analog watch and clock............................................................................................................................................ 56
Analog clock ............................................................................................................................................................. 56
LED watch ................................................................................................................................................................ 56
Watch with Vacuum – Luminescent Display ............................................................................................................ 56
Electronic Thermometer IC .......................................................................................................................... 57
Discrete Semiconductors ............................................................................................................................. 58
Transistors .................................................................................................................................................... 58
Power N-Channel MOSFETs ................................................................................................................................... 58
Diodes ........................................................................................................................................................... 59
Fast (Ultrafast) Rectifying Diode (FRD, UFRD)........................................................................................................ 59
Photo-diode
59
Power Zener Diodes ................................................................................................................................................ 59
Diode Arrays ................................................................................................................................................. 59
Fast (Ultrafast) Rectifying Diode Arrays (FRDA, UFRDA) ....................................................................................... 59
Systems of Information Display .................................................................................................................. 60
Foundry-business ................................................................................................................................ 62
Base Technology Process........................................................................................................................................ 63
Services................................................................................................................................................... 69
Mask Making ............................................................................................................................................................ 69
Development and Production ................................................................................................................................... 70
TRANSISTOR
................................................................................................................................................... 71
Transistors ................................................................................................................................................... 72
Bipolar Transistors .................................................................................................................................................. 72
Power Bipolar Darlington Transistors ...................................................................................................................... 76
Unijunction Transistors ............................................................................................................................................ 76
Low Power N-Channel MOSFETs ........................................................................................................................... 77
Low Power P-Channel MOSFETs ........................................................................................................................... 77
Logic Level N-Channel MOSFETs .......................................................................................................................... 77
Power N-Channel MOSFETs .................................................................................................................................. 78
Power P-Channel MOSFETs .................................................................................................................................. 79
Diodes, Diodes Arrays.................................................................................................................................. 80
Variable Capacitance Diodes ................................................................................................................................... 80
Switching Diode Arrays ............................................................................................................................................ 80
Variable Capacitance Diode Arrays ......................................................................................................................... 80
Power Diode Arrays ................................................................................................................................................. 80
Power Schottky Diode .............................................................................................................................................. 81
Thyristors and Triacs ................................................................................................................................... 82
Power Thyristors and Triacs..................................................................................................................................... 82
Integrated Circuits ........................................................................................................................................ 83
Voltage Regulators................................................................................................................................................... 83
Precision Low Voltage Reference ............................................................................................................................ 84
Voltage Detectors..................................................................................................................................................... 84
Melody IC ................................................................................................................................................................. 84
ICs for Television...................................................................................................................................................... 85
TSVETOTRON
................................................................................................................................................. 87
Diodes............................................................................................................................................................ 88
Silicon Epitaxial Planar Switching Diodes ............................................................................................................... 88
Zener Diodes............................................................................................................................................................ 88
Package Outlines
.......................................................................................................................................... 89
Contacts............................................................................................................................................................... 110
4
INTRODUCTION
«INTEGRAL» JSC develops, manufactures and exports microelectronic components and
electronic products. «INTEGRAL» JSC provides a full cycle of design and manufacture: from silicon
substrates up to integrated circuits and semiconductor devices, from microelectronic components up to
electronic.
The structure of «INTEGRAL» JSC affdiates:
«SEMICONDUCTOR DEVICES FACTORY» subsidiary of INTEGRAL JSC (city of Minsk)
«DC BELMICROSYSTEMS» subsidiary of INTEGRAL JSC (city of Minsk)
«TRANSISTOR» subsidiary of INTEGRAL JSC (city of Minsk)
«KAMERTON» subsidiary of INTEGRAL JSC (city of Pinsk)
«INTEGRAL» JSC has a representative office in China.
Total labour is more than 6 thousand persons.
Since 2009 Mr. Vitaly A. Solodukha has been the General Director of «INTEGRAL» JSC.
The main line of activity of «INTEGRAL» JSC is design and manufacture of microelectronic
products - over 70 % of the total production volume - for the branches manufacturing goods of
household and consumer electronics.
«INTEGRAL» JSC exports more than 70 % of the volume of manufactured goods to the markets
of the Russian Federation, Sout - East Asia, India and Western Europe.
The goods are exported to 30 countries of the world.
In 2009 «INTEGRAL» JSC designed and implemented 153 types of new products: 71 integrated
circuits, 55 discrete semiconductor devices, 27 products of electronics.
Manufacture of 0,35 µт design rule integrated circuits on Ø200 mm (8 inch) wafers has been set
up.
The main lines of the development of «INTEGRAL» JSC are as follows: design and
implementation of microelectronic components of power electronics, microsensorics and
optoelectronics.
Development of production of finished electronics is to be carried out in the following lines:
displays; automotive electronics and electronics and equipment for health application; cashless
payment systems, payment terminals, commercial and bank equipment, smart cards, identification and
record keeping systems.
«INTEGRAL» JSC is open for cooperation both in design and deliveries of products, and in
terms of joint realization of long-term investment projects.
5
QUALITY ASSURANCE SYSTEM
Quality Assurance System of Joint Stock Company «INTEGRAL» has been created 15 years ago. In
1999 it was certified by «КEMA», the International Certification Center, and «BelGISS», The Scientific
and Production Republican Unitary Enterprise “Belarusian State Institute for Standardization
&Certification” for the conformance to ISO 9001.
Basic purpose of Quality Assurance System is integrating efforts of all the employees in order to
carry out design, manufacture and sales of high-quality, competitive and easily producible integrated
circuits, semiconductor devices, liquid crystal displays and other products matching to the utmost the
requirements of the customers and fulfilling their demands.
At present Quality Assurance Systems of JSC «INTEGRAL» Affiliates (Research and Production
Center «Belmicrosystems», «Semiconductor Devices Factory», «Transistor») are certified for the
conformance to ISO 9001-2009 standard in the National System of the Republic of Belarus and to DIN
EN ISO 9001:2008 standard of TGA, foreign Certification Organization in Germany, as regards to
design and manufacture of integrated circuits, semiconductor devices, liquid crystal displays. Quality
Assurance System for single-crystal silicon wafer manufacture of «Kamerton» complies with the
requirements of ISO 9001:2001 Standard of Belarus.
6
SEMICONDUCTOR DEVICES
FACTORY
INTEGRATED CIRCUITS
Memories
• EEPROM with I2C Bus
Part
Density, Bit
Voltage
Supply,V
Maximum
Bus Speed,
kHz
Operating
Current
Read/Write,
mA
Standby
Current
max, μA
24AA02
2K
(256x8)
1.8 - 5.5
100/400
1.0/3.0
1
24AA02
2K
(256x8)
8K
(1024x8)
32K
(4096x8)
64K
(8192x8)
2K
(256x8)
2K
(256x8)
4K
(512x8)
8K
(1024x8)
16K
(2048x8)
8K
(1024x8)
2K
(256x8)
4K
(512x8)
1.8 - 5.5
100/400
1.0/3.0
1
1.8 - 5.5
100/400
1.0/3.0
100
1.8 - 5.5
100/400
0.4/3.0
1
1.7-5.5
100/400
1.0/3.0
1
2.5 - 5.5
100/400
1.0/3.0
100
2.5 - 5.5
100/400
1.0/3.0
100
2.5 - 5.5
100/400
1.0/3.0
100
2.5 - 5.5
100/400
1.0/3.0
100
2.5 - 5.5
100/400
1.0/3.0
100
4.75 - 5.25
100
20
-
DIP-8
4.5 - 5.5
100
1.6/2.5
10
DIP-8
4.5 - 5.5
100
0.2/2.5
10
DIP-8
Pin to Pin
Compatibility
IN24AA02AN*
(A0,A1,A2 are used)
IN24AA02AD*
(A0,A1,A2 are used)
IN24AA02BN*
IN24AA02BD*
IN24AA08BN*
IN24AA08BD*
IN24AA32AN
IN24AA32AD
IN24AA64N*
IN24AA64D*
IN24LC02BN
IN24LC02BD
IN24LC02N
IN24LC02D
IN24LC04BN
IN24LC04BD
IN24LC08BN
IN24LC08BD
IN24LC16BN
IN24LC16BD
24AA08B
24AA32A
24AA64
24LC02B
24LC02
24LC04B
24LC08B
24LC16B
INA2586N
SDA2586
INF8582EN-2
PCF8582E
INF8594EN
PCF8594E-2
* Pilot Production
8
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
INTEGRATED CIRCUITS
Memories
• EEPROM with 3-wire Bus
Part
IN93AA46AN
IN93AA46AD
IN93AA46BN
IN93AA46BD
IN93AA46CN
IN93AA46CD
IN93AA56AN
IN93AA56AD
IN93AA56BN
IN93AA56BD
IN93AA56CN
IN93AA56CD
IN93AA66AN
IN93AA66AD
IN93AA66BN
IN93AA66BD
IN93AA66CN
IN93AA66CD
IN93AA86AN
IN93AA86AD
IN93AA86BN
IN93AA86BD
IN93AA86CN
IN93AA86CD
Pin to Pin
Compatibility
93AA46A
93AA46B
93AA46C
Voltage Maximum
Supply, Bus Speed,
V
MHz
Density, Bit
1K
(128х8)
1K
(64х16)
1K
(128х8 or 64х16)
Operating
Current
Read/Write,
mA
Standby
Current
max, μA
1.8 - 5.5
1
0.5/3
5
1.8 - 5.5
1
0.5/3
1
1.8 - 5.5
1
0.5/3
5 or 1
93AA56A
2K (256х8)
1.8 - 5.5
1
0.5/3
5
93AA56B
2K
(128х16)
2K
(256х8 or 128х16)
4K
(512х8)
4K
(256х16)
4K
(512х8 or 256х16)
16K
(2048х8)
16K
(1024х16)
16K
(2048х8 or 1024х16)
1.8 - 5.5
1
0.5/3
1
1.8 - 5.5
1
0.5/3
5 or 1
1.8 - 5.5
1
0.5/3
5
1.8 - 5.5
1
0.5/3
1
1.8 - 5.5
1
0.5/3
5 or 1
1.8 - 5.5
1
0.5/3
5
1.8 - 5.5
1
0.5/3
1
1.8 - 5.5
1
0.5/3
5 or 1
93AA56C
93AA66A
93AA66B
93AA66C
93AA86A
93AA86B
93AA86C
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
• EEPROM with SPI bus
Part
IN25AA020N
IN25AA020D
IN25AA040N
IN25AA040D
IN25AA080N
IN25AA080D
IN25AA160N
IN25AA160D
Density,
Bit
Clock
Frequency,
fCLK, MHz,
Vcc=4.5-5.5 V
Supply Current,
Read/Write,
ICCRD/WR, mA,
Vcc=2.5 V
Standby Current,
ICCS, μA,
Vcc=2.5 V
25AA020
2K (256x8)
3
0.5/3.0
1
25AA040
4K (512x8)
3
0.5/3.0
1
25AA080
8K
(1024x8)
16K
(2048x8)
3
0.5/3.0
1
3
0.5/3.0
1
Pin to Pin
Compatibility
25AA160
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
9
SEMICONDUCTOR DEVICES FACTORY
Internal memory
Part
Pin to Pin
Compatibiliti
Data
RAM/
EEPRAM
Program
ROM
Max F, MHz
Supply, V
16 bit
timer
I/0
pins
Interrupt
sources
Package
2Kх8
12
2.7 ÷ 6.0
2
15/15
5
SO-20
4Kx8
24
2.7 ÷ 6.0
2
15/15
6
SO-20
1Kх16
10
2.7 ÷ 6.0
1(16bit)
1(8bit)
15/15
6
SO-20
FLASH
byte
IN89C2051DW
89C2051
128 х 8
IN89C4051DW**
89С4051
128 x 8
IN90S2313DW
90S2313
128 х 8/128 х 8
-
IZ7008
40 x 8
1.5K x 8
0.032
1.5 ± 20%
3.0 ± 20%
LCD driver 128 segments
Chip
IZ7010
96 x 4
2K x 12
0.032
1.5 ± 20%
3.0 ± 20%
LCD driver 87 segments
Chip
IZ7012
128 x 8
3Kx16
0.032
2.4 ÷ 5.5
LCD driver 136 segments
Chip
IZ7013
72 x 8
2.5Kx16
0.032
2.4 ÷ 5.5
LCD driver 136 segments
Chip
** Under Development
1Kx16
INTEGRATED CIRCUITS
Microcontrollers, Drivers, Peripherals IC
SEMICONDUCTOR DEVICES FACTORY
•Single-Chip Microcontrollers
10
INTEGRATED CIRCUITS
Microcontrollers, Drivers, Peripherals IC
• Display Driver IC
Part
Supply
LCD
RAM ROM Column Com- FrePin to Pin
Pins
Voltage, Voltage, Duty
mon quency,
Compatibility
Lines
(Pads)
Bit
V
V
Lines kHz
LCD Controllers and Drivers
INF8577CN
(LCD direct/duplex PCF8577CP
driver)
IZ1621
(LCD direct/duplex HT1621
driver)
IZ6570AA
IZ6570OA
2.5…6.0 2.5…6.0
3…5.0
3-Ucc
NJU6570AA
2.4…5.5 2.4…13
SED1520DAA
NJU6570OA
2.4…5.5 2.4…13
SED1520DOA
IZ6450
NJU6450A
2.4…5.5 3.5…10
IZ6451
NJU6451A
2.4…5.5 3.5…10
IZ7065
KS0065
2.7…5.5
3…13
IZ7066
KS0066
4.5…5.5
3…13
1/1
1/2
1/1
1/2
1/3
1/4
1/16
1/ 32
1/16
1/32
1/16
1/32
1/16
1/32
1/8
1/16
1/8
1/11
1/16
Notes
2
2х32
32
2
100
40
32x4
32
4
256
(48)
80x32
61
16
2
(100)
80x32
61
16
18
(100)
80x32
61
16
18
(100)
80x32
72
8
18
(100)
max400
(59)
350
(80)
40
40
80x8
16
I C-bus interface
Package:DIP-40
• LED Driver Circuits
Part
IL9910N
IL9910D
IL9910DH
IZ9910
IZ9921
IZ9922
IZ9923
IL7150N*
IL7150D*
IZ1937
* Pilot Production
Pin to Pin Compatibility
Function
HV9910
Universal High Brightness LED Driver
HV9921
HV9922
HV9923
20mA/50mA Switch-Mode LED Lamp Driver IC
AMC7150
Power LED Driver, 1,5 A
LT1937
White LED Step-up Converter
Package
DIP-8
SO-8
SO-16
Chip
Chip
DIP-8
SO-8
Chip
11
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Microcontrollers, Drivers, Peripherals IC
ILX485N
ILX485D
ILX3221N
ILX3226N
ILX3232N
ILX3232D
ILX3483N
ILX3485N
ILX3486N
4
4
4
4
4
4
4
3…5.5
3…5.5
3…5.5
3…3.6
3…3.6
3…3.6
4.5…5.5
No, of TX/RX
3/5
0/4
4//0
No, of TX/RX on Bus
Supply Current (mA)
30
22
0.05
RS-232
●
Standard RS-485/RS-422
RS-422/RS-423
●
●
AutoShutdown Plus,
AutoShutdown
Date Rate (bps)
External Caps (µF)
4x0.1 4x0.1
Operating Temperature
0 ÷ +75
-40 ÷ +85
Range (°С)
2
4.75…5.25
2
ILX232N
ILX232D
ILX208N
ILX208D
2
1/1
1/1
2/2
1/1
1/1
1/1
4.5…5.5
ILX207N
ILX207DW
2
4.5…5.5
ILX202N
ILX202D
0.2
4.75…5.25
IN1489AN
IN1489AD
0.2
4.5…5.5
IN1488N
N1488D
2
4.75…5.25
IL34C87N
IL34C87D
2
4.75…5.25
IL34C86N
IL34C86D
Power Supply Voltage
(V)
0.5
4.5…5.5
ESD Voltage (kV)
IL75232N
IL75232DW
Parameter
±9…±15
for TX
5 for RX
• Interface Integrated Circuits (Reference Date)
4/0
0/4
2/2
5/3
4/4
2/2
20
●
26
●
10
●
20
●
20
●
10
●
1/1
32
0.9
0.001 0.001
●
●
●
1
●
0.001 0.001 0.001
●
●
●
12M
-
2.5M
-
●
64K 120K 120K 120K 2.5M 250K 250K 120K 250K
4x0.1 4x0.1 4x0.1 4x1.0
4x0.1 4x0.1 4x0.1
-10 ÷ +70
●
-40 ÷ +85
• Real Time Clock
Part
Pin to Pin
Compatibility
Function
Package
Digital timers
IN1307N
IN1307D
IN1356D
IN1363D
INA8583N
IZ1325*
DS1307N/ZN
64 x 8 Serial Real Time Clock
M41T56
PCF8563
PCF8583P
RX8025
512 bit (64 bit x 8) Serial Access Timekeeper SRAM
Real Time Clock / Calendar
Clock Calendar with 256x8 Bit Static RAM with I2C Bus
Real Time Clock / Calendar with I2C Bus
* Pilot Production
12
SEMICONDUCTOR DEVICES FACTORY
DIP-8
SO-8
SO-8
SO-8
DIP-8
Chip
INTEGRATED CIRCUITS
Microcontrollers, Drivers, Peripherals IC
• Real Time Clock (Reference Date)
Parameter
Supply Voltage, Ucc
Battery Supply Voltage, VBAT
Standby Current, Iccs (max)
Active Supply Current, IccA, (max)
Battery Current, IBAT1 (max)
Clock Frequency, fscl (max)
Programmable Signal
Symbol
IN1307N/D
IN1356D
IN1363D
INA8583N
V
V
µА
µА
nА
kHz
Hz
4.5….5.5
2.0….3.5
200
1500
500
100
1; 4096; 8192;
32768
4.5….5.5
2.5….3.5
100 (typ)
300
550
100
512
1.8.…5.5
2.5….6.0
0.55
800
50
200
400
1; 32; 1024;
32768
●
●
●
●
●
●
●
●
100
32; 1024;
32768
- 20 ÷ + 70
●
●
●
●
●
●
●
●
●
●
Operating Temperature, TA
°C
seconds
minutes
clock
hours
alarm
weekday
date of the month
calendar
month
Functions
years
century
programmable alarm, timer and interrupt
function
software clock calibration
automatic power-fail detect and switch
circuitry
interface
●
●
●
●
●
●
●
- 40 ÷ + 85
●
●
●
●
●
●
●
●
●
●
●
I²C
I²C
●
I²C
I²C
13
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
TV and Audio IC
• Vision and Sound IF Demodulation IC
Part
Pin to Pin
Compatibility
Function
ILA8842NS
ILA8844NS
TDA8842
TDA8844
I2C BUS Controlled
PAL/NTSC/Secam
TV processor
ILA8362ANS
ILA8362BNS
ILA8362NS
TDA8362A
TDA8362B
TDA8362
Multistandard TV
Processor
ILA8890H**
ILA8891H**
TDA8890H
TDA8891H
TV Processor
Features
Package
Vcc=7.2...8.8 V
Icc=110 mA
… Vision IF circuit with PLL demodulator
… Nigament-free multi-standard FM sound demodulator (4.5 MHz
to 6.5 MHz)
… Audio switch
… Flexible source selection with CVBS switch and Y(CVBS)/C
input so that a comb filter can be applied
… Vertical count-down circuit
… Low power dissipation 850 mW
… Vcc=7.2…8.8 V
… Icc ≤ 120 mA
… Multistandard vision IF circuit (positive and negative modulation)
… Multistandard FM sound demodulator (4.5…6.5 MHz)
… PAL/NTSC colour decoder with automatic search system
… RGB control circuit with lines RGB inputs and fast blanking
… Horizontal synchronization with two control loops and alignment
free horizontal oscillator
… Vertical count-down circuit and vertical preamplifier
… Low power dissipation
600 mW
… Ucc=5.0 ± 0.3 V
… Icc ≤ 100 mA
… Video signal and sound IF processing
… Line and frame synchronization (for ILA8891H)
… Decoding of signals of color TV systems PAL, NTSC, SECAM
(for ILA8891H)
… RGB signal processing
… Delay of signal by one line duration (for ILA8891H)
… Y signal conditioner in output signal PrPb bus for "picture in
picture" function (for ILA8891H)
… Separate IF sound input
… Input sound signal switchboard system with seven broadband
stereo inputs
SDIP-56
…
…
SDIP-52
QFP-80
** Under Development
• Video Amplifiers
Part
ILA6107Q
Pin to Pin
Compatibility
TDA6107Q
Function
Triple Video Output
Amplifier
Features
Package
Single supply voltage of 200 V
Internal reference voltage of 2.5 V
… High slew rate of 900V/μs
… Bandwidth of 5.0MHz typical for output signal
of 60 V (peak-to-peak value)
DBS 9MPF
…
…
14
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
TV and Audio IC
• EEPROM with I2C Bus
Part
IN24LC08BN
IN24LC08BD
Pin to Pin
Compatibility
24LC08B
Function
Features
1024x8-Bit
CMOS
…
…
…
…
IN24LC16BN
IN24LC16BD
24LC16B
IN24AA08BN*
IN24AA08BD*
24AA08B
2048x8 -Bit
CMOS
…
…
…
1024x8-Bit
CMOS
…
…
…
…
IN24AA32AN
IN24AA32AD
24AA32A
INF8582EN-2
PCF8582E
4096x8 -Bit
CMOS
…
…
…
256x8-Bit Static CMOS
EEPROM,
2
I C Bus Interface
…
…
…
…
…
INF8594EN
PCF8594E
512x8 CMOS EEPROM,
2
I C Bus Interface
…
…
…
…
fCLK=100/400 kHz
Operating Current max, mA 1.0/3.0
Standby Current max, mA 0.1
Vcc=2.5…5.5 V
fCLK=100/400 kHz
Operating Current max, mA 1.0/3.0
Standby Current max, mA 0.1
fCLK=100/400 kHz
Operating Current max, mA 1.0/3.0
Standby Current max, mA 0.1
Vcc=1.8…5.5 V
fCLK=100/400 kHz
Operating Current max, mA 0.4/3.0
Standby Current max, μA 1.0
Vcc=4.5…5.5 V
Icc ≤ 1.6 mA
Serial I/O bus
Internal timer for writing
Minimum of 105 write/erase cycles
Vcc=4.5…5.5 V
Icc max active 2.5 mA
standby 10 μA
Internal timer for writing
Minimum of 105 write/erase cycles
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
DIP-8
* Pilot Production
• Vertical Deflection Circuits
Part
ILA3654
ILA3654Q
Pin to Pin
Compatibility
TDA3654Q
Function
Vertical Deflection and
o
Guard Circuit (110 )
ILA8351
TDA8351
Vertical Deflection and
Guard Amplifier
ILA8356
TDA8356
Vertical Deflection and
Guard Amplifier
ILA8357
ILA8359
TDA8357
TDA8359
Full bridge vertical
defection output circuit
Features
Package
Vcc=20…30 V
Direct drive to the deflection coils
o
o
… 90 and 110 deflection system
… Internal voltage stabilizer
o
o
… 90 and 110 deflection system with line
unrolling frequency from 50 to 120 Hz
… Operated by direct current output cascade
of vertical deflection
… Vertical fiyback switch
… Output current
1.5 A
o
o
… 90 and 110 deflection system with line
unrolling frequency from 50 to 120 Hz
… Operated by direct current output cascade
of vertical deflection
… Vertical flyback switch
… Output current
2.0 A
o
o
… 90 and 110 colour deflection system for 25
to 200 Hz field frequency
… 4:3 and 16:9 picture tubes
… Operated by direct current output cascade
of vertical deflection
… Vertical fiyback switch
… Built in guard circuit
… Thermal protection circuit
… Output current 2.0 A (ILA8357)
… Output current 3.2 A (ILA8359)
SIL-9P
DBS-9P
…
…
SIL-9P
SIL-9P
SOT523-1
15
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
TV and Audio IC
• IС for Remote Control Systems
ILOP1836
ILOP1838
Pin to Pin
Compatibility
TSOP1836
TSOP1838
Photo Module for PCM
Remote Control Systems
ILOP1836SS
ILOP1838SS
TSOP1836SS
TSOP1838SS
Photo Module for PCM
Remote Control Systems
INA3010N
INA3010DW
SAA3010
Infrared Remote Control
Transmitter (RC-5)
Part
Function
Features
Vcc=4.5…5.5 V
Carrier frequency 36/38 kHz
… Photo detector and preamplifier in one
package
… Internal filter for PCM frequency
… TTL and CMOS compatibility
… Vcc=3.0…6.0 V
… Carrier frequency 36/38 kHz
… Photo detector and preamplifier in one
package
… Internal filter for PCM frequency
… TTL and CMOS compatibility
… Vcc=2.0…7.0 V
… Icc ≤ 10 μA
… IC can generate 2048 different commands
…
Package
SIL-3P
…
SIL-3P
DIP-28
SO-28
• Power Supply IC
Part
ILA8133А
Pin to Pin
Function
Compatibility
TDA8133А
5.1 V + 8 V Regulator
with Disable and Reset
ILA8138A
TDA8138A
Dual 5.1 V + 12 V
Regulator
with Disable and Reset
IL44608N40
IL44608N75
IL44608N100
MC44608P40 SMPS Controller
MC44608P75
MC44608P100
Features
Output currents up to 0.75 A
Fixed precision output 1 voltage
5.1 V±2%
… Fixed precision output 2 voltage
8 V±2%
… Output 1 with reset facility
… Output 2 with disable by TTL input
… Short circuit protection at both outputs
… Thermal protection
… Low drop output voltage
… Output currents up to 1 A
… Fixed precision output 1 voltage
5.1 V±2%
… Fixed precision output 2 voltage
12 V±2%
… Output 1 with reset facility
… Output 2 with disable by TTL input
… Short circuit protection at both outputs
… Thermal protection
… Low drop output voltage
… Integrated Start-Up Source
… Lossless Off-Line Start-Up
… Direct Off-Line Operation
… Fast Start-Up
… Flexibility
… Duty Cycle Control
… Undervoltage Lockout with Hysteresis
… On Chip Oscillator Switching Frequency
40, 75, 100 kHz
… Secondary Control with Few External
Components
…
TO-220 AB/7
…
16
SEMICONDUCTOR DEVICES FACTORY
Package
TO-220 AB/7
DIP-8
INTEGRATED CIRCUITS
TV and Audio IC
• SAW Filters for TV
Part
Pin to Pin
Compatibility
Function
Features
Package
РБ1ФПА2955
К2955М
SAW IF Filter for
Intercarrier Applications
f=38.9 MHz
SIP-5
РБ1ФПА3958
РБ1ФПА9356
РБ1ФПА9650
РБ1ФПА3953
К3958M
К9356М
К9650М
К3953М
IF Filter for Video
Application
f=38.9 MHz
f=38.9 MHz
f=33.90/38.90 MHz
f=33.90/38.90 MHz
SIP-5
• IC for Audio Systems
Part
IL34119N
IL34119D
Pin to Pin
Compatibility
MC34119
Function
Features
0.25 W Low Power Mono
Audio Amplifier
IL386N
IL386D
LM386
1 W Low Power Mono
Audio Amplifier
ILA1519B1
ILA1519B1Q
TDA1519B
2 x 6 W Stereo Power
Amplifier
ILA2003
TDA2003
10 W Audio Amplifier
ILA7056
TDA7056
3 W BTL Mono Audio
Output Amplifier
ILA7056B
TDA7056B
5 W Mono BTL Audio
Amplifier with DC Volume
Control
ILA7496Q
TDA7496Q
2 x 5 W Stereo Power
Amplifier with linear
volume adjustment
Vcc=2…16 V
Low Quiescent Supply Current for Battery
Powered Applications
… Chip Disable Input to Power Down the IC
… Drives a Wide Range of Speaker Loads
(8-100 Ω)
… Output Power Exceed 250 mW with 32 Ω
Speaker
… Gain Adjustable from 0 dB to 46 dB for
Voice Band
… Requires Few External Components
… Vcc=4…12 V
… Battery Operation
… Low Quiescent Current Drain: 4 mA
… Voltage Gains from 20 to 200 dB
… Ground Referenced Input
… Self-Centering Output Quiescent Voltage
… Low Distortion
… Few external components
… Fixed gain
… Good ripple rejection
… Mute/stand-by switch
… Thermally protected
… Protected against electrostatic discharge
… Vcc=8…18 V
… Low number of external components
… High peak output current (up to 3.5 A)
… DC and AC short protection circuit
… Vcc=3…18 V
… DC volume control
… Few external components
… Mute mode
… Thermal protection
… Short-circuit proof
… Low power consumption
… Vcc=4.5…18 V
… DC volume control
… Few external components
… Mute mode
… Thermal protection
… Short-circuit proof
… No switch-on and of clicks
… Low HF radiation
… Low power consumption
… Vcc=11…35 V
… DC volume control
… Few external components
…
…
Package
DIP-8
SO-8
DIP-8
SO-8
SIL-9P
DBS-9P
P-TO-220-511
SIL-9MPF
SIL-9MPF
SIL-15P
17
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
TV and Audio IC
• IC for Audio Systems
Pin to Pin
Compatibility
TDA1308Т
Part
ILA1308Т**
(continued)
Function
2 x 0.075 W Class AB
Stereo Audio Amplifier
Features
…
…
…
…
…
…
…
Package
Vcc=3.0…7.0 V
Wide temperature range
Excellent power supply ripple rejection
Low power consumption
Short-circuit resistant
High performance
– high signal-to-noise ratio
– high slew rate
– low distortion
SO-8
Large output voltage swing
** Under Development
• IC for Audio Systems (Reference Data)
IC’s Class
Pin to Pin
Compatibility
Part
IL34119N, D Mono
MC34119
IL386N, D
LM386
Mono
Gain,
dB
Load
resistance,
Ω
Package
2...16
0.25
80
8; 16; 32
DIP-8, SO-8
1.0
26…42
8.0 (4.0; 16)
DIP-8, SO-8
TDA7050
1.6...6.0
1 х 0.140
2 x 0.075
32 (mono)
26 (stereo)
32 (16; 64)
DIP-8
Mono
TDA7052
3.0...18.0
1.2
38…40
8.0
DIP-8
ILA7053N
Stereo BTL
TDA7053
3.0...18.0
2 x1.0
38…40
8.0 (16; 25)
DIP-16
ILA1308Т**
Class AB, Stereo
TDA1308Т
3.0...7.0
2 x 0.075
70
8;16; 32; 5K
SO-8
ILA7056
TDA7056
3.0...18.0
3
39…42
16.0
SIL-9MPF
ILA7056B
Mono
with DC Control
TDA7056B
4.5...18.0
5.0
39.5…41.5
16.0
SIL-9MPF
ILA1519B1,B1Q
Mono/Stereo
TDA1519B
6.0...18.0
4.0
8.0
SIL-9P
DBS-9P
ILA2003
TDA2003
Low Power ILA7052N
Large
Power
Maximum
Power,
W
4.0...12.0
ILA7050N
Mono/Stereo BTL
Middle
Power
Supply
Voltage,
V
Mono
Mono
ILA7496Q Stereo
with Linear Volume
Adjustment
TDA7496Q
12 (mono) 45…47 (mono)
2 x 6 (stereo) 39…41 (stereo)
8...18
10
39.3...40.3
2.0; 4.0
P-TO-220-5-11
10…32
2х5
28.5…31.5
8.0
SIL-15P
** Under Development
18
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Telecommunications IC
• Switches and DTMF Receivers
Part
К561КП6
Pin to Pin
Compatibility
KT8592
Function
4x4 Crosspoint
Switch with Control
Memory
IL9170N
IL9170DW
HM9170
DTMF Receiver
IL567N
IL567D
LM567
Tone Decoder
IL9200N
IL9200D
HM9200
DTMF generators
Features
Vcc=5.0…15.0 V
Icc max=20 mA (Vcc=15.0 V)
… Low of resistance (Typ: 75 Ω at Vcc=12 V)
… Internal control latches
… 2Vcc analog signal capability
… Vcc=2.5…5.5 V
… Icc max=9.0 mA
… Power consumption 15 mW
… Quartz generator
3.58 MHz
… Decoding of 16 DTMF tones-pairs
… 4-bit parallel output
… PWDN
… 20 to 1 frequency range with an external resistor
… Logic compatible output with 100 mA current sinking
capability
… Bandwidth adjustable from 0 to 14%
… High rejection of out of band signals and noise
… Immunity to false signals
… Highly stable center frequency
… Center frequency adjustable from 0.01 Hz to 500 kHz
… Vcc=2.5…5.5 V
… Low standby current
… Low total distortion 3.58 MHz crystal or ceramic
resonator
…
Package
DIP-16
…
DIP-18
SO-18
DIP-8
SO-8
DIP-8
SO-8
• Pulse and Tone/Pulse Dialers
Part
IL5851N
Pin to Pin
Compatibility
KS5851
Function
Pulse Dialer with
Redial
IL9151-3N
UM9151-3
Pulse Dialer
IL91531N
UM91531
Parallel Input
Tone/Pulse Dialer
Features
Vcc=2.0…6.0 V
Icc max=150 μA
… Generator frequency 2.4 kHz
… Output frequency 10/20 Hz
… 32-digit redial memory (31 digits in tone mode)
… Selectable Make/Break ratio
… Inter digital pause
800 ms
… Vcc=2.0…5.5 V
… Direct telephone line operation
… 4x3 matrix keyboard interface
… 22-digit redial memory
… Selectable Make/Break ratio
… Inter digital Pause
800 ms
… High speed test capacity
… Vcc=2.5…5.5 V
… Quartz generator
3.58 MHz
… Output signal: pulse
10 Hz or DTMF
… 4-bit parallel data input from microcomputer
… Selectable Make/Break ratio
… Inter digital pause
800 ms
…
Package
DIP-18
…
DIP-16
DIP-16
19
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Telecommunications IC
• Pulse and Tone/Pulse Dialers
Part
IL91214AN
IL91214AD
IL91214BN
IL91214BDW
Pin to Pin
Compatibility
UMS91214A
UMS91214B
(continued)
Function
Tone/Pulse Dialer
with Handfree
Control and Flash
Function
Features
Vcc=2.0…5.5 V
Quartz generator 3.58 MHz
… 32-digit redial memory
… Tone/Pulse switchable
… Output signal: pulse 10 Hz(20Hz) or DTMF
… Flash Function
… 4x4 keyboard
… 09 - mode output pin (IL91214BN/BDW)
… 10 – key in tone output (IL91214BN/BDW)
…
…
Package
DIP-16
SO-16
DIP-18
SO-18
• Switching Lines IC
Part
IL145567N
IL145567DW
Pin to Pin
Compatibility
MC145567
IL145557DW
MC145557
ILF3866N
TFF3866
Function
PCM Codec with
Filter
Features
Fully Differential Analog Circuit Design for Lowest Noise
Performance Specified for Extended Temperature Range of
–40 to +850C
… Transmit Band- Pass and Receive Low-Pass Filters
On-Chip
… Active R-C Pre-Filtering and Post- Filtering
… On-Chip Precision Voltage Reference (2.5 V)
… Typical Power Dissipation of 40 mW, power Down of
1.0 mW at ±5 V
… Push-Pull Power Drivers with External Gain Adjust
… Analog Loopback
PCM Codec with … Fully Differential Analog Circuit Design for Lowest Noise
Filter
… Performance Specified for Extended Temperature Range of
–40 to +850C
… Transmit Band- Pass and Receive Low-Pass Filters
On-Chip
… Active R-C Pre-Filtering and Post- Filtering
… On-Chip Precision Voltage Reference (2.5 V)
… Typical Power Dissipation of 40 mW, power
Down of
1.0 mW at ±5 V
Subscriber Line … Vcc=-5 V; +5 V
Interface Circuit … IRINBRLY=30 mA
(SLIC)
… Battery feed characteristics programmable via external
resistor
… Feed characteristics independent of supply voltage
variations
… Integrated ring relay driver
… Internal ring relay disconnection for ring trip
… Loop current, ground key and ring trip detection functions
… Programmable detector threshold of loop current
… Hybrid function in connection with CODEC / FILTER
… Programmable line terminating impedance, complex or real
… On hook transmission
… High longitudinal balance specification
… Tip - ring open circuit state for subscriber loop power denial
…
…
20
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-20
SO-20
SO-16
DIP-22
INTEGRATED CIRCUITS
Telecommunications IC
• Single Chip Telephone IC
Part
IL2533N
IL2533DW
Pin to Pin
Compatibility
AS2533
Function
Multi-Standart
CMOS Single Chip
Telephone IC with
Dual Soft Clipping
Features
Line/speech circuit, LD/MF repertory dialler and tone
ringer on one 28 pin CMOS chip
… Operating range from 13 to 100 mA (down to 5mA with
reduced performance)
… Soft clipping to avoid harsh distortion
… Volume control of receive signal
… Line loss compensation selectable by pin option
… Low noise (max. - 72 dBmp)
… Real or complex impedance
… NET 4 compatible.
… LD/MF switchable dialling
… Pacifier tone during programming
… 31 digit last number redial
… Sliding cursor protocol with comparison
… Pause key for access pause or wait function
… 3 flash keys, 100 ms, 280 ms and 375/600 ms
… On chip MF filter (CEPT CS 203 compatible)
… Ring frequency discrimination
… 3-tone melody generator
… Oscillator Frequency (Resonator: Murata CSA
3.58MG312AM)-3.58 MHz
… 4х4…4х8 Keyboard
…
Package
DIP-28
SO-28
• Speaker Integrated Circuits
Part
IL34118N
IL34118DW
IL34119N
IL34119D
(IL8602N,
IL8602D)
Pin to Pin
Compatibility
MC34118
MC34119
(КА8602)
Function
Voice Switched
Speakerphone
Circuit
Telephone Audio
Amplifier
Features
Ucс=3.0…6.5 V
Icc=5.0 mA
… Improved Attenuator Gain Range: 52 dB Between
Transmit and Receive
… Low Voltage Operation for Line-Powered Applications
(3.0-6.5 V)
… 4-Point Signal Sensing for Improved Sensitivity
… Background Noise Monitors for Both Transmit and
Receive Paths
… Microphone Amplifier Gain Set by External Resistors –
Mute Function Included
… Chip Disable for Active/Standby Operation
… On Board Filter Pinned-Out for User Defined Function
… Dial Tone Detector to Inhibit Receive Idle Mode During
Dial Tone Presence
… Standard 28-Pin Plastic Dip Package and SOIC
Package Available
… Compatible with IL34119 Speaker Amplifier
… Vcc=2.0…16.0 V
… Icc=2.7 mA
… Drives a wide range of speaker loads
(8…100 Ω)
… Output power exceeds 250 mW with 32 Ω Speaker
… Low total harmonic distortion
… Gain adjustable 0…46 dB for voice band
… Requires few external components
…
…
Package
DIP-28
SO-28
DIP-8
SO-8
21
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Telecommunications IC
• Speaker Integrated Circuits
Part
IL3726/18N
IL3726/18DW
ILA1062AN
ILA1062AD
ILA1062N
ILA1062D
Pin to Pin
Function
Compatibility
PBL3726/18
Speaker
Integrated Circuit
TEA1062A
TEA1062
(continued)
Features
VLN=3.3…4.1 V (IL=15 mA)
VLN=11.0… 15.0 V (IL=100mA)
… 7 Capacitors & Resistors
… Low Voltage Operating
… DTMF signal input with confidence tone
… Mute input for DTMF dialing
… Line loss compensation (line current dependent) for
microphone and earpiece amplifiers
… Gain control curve adaptable to exchange supply
… DC line voltage adjustment facility
Low Voltage
… Low DC line voltage; operates down to 1.6 V
Transmission
… Line operation current range 10…140 mA
Circuit with Dialer … Icc ≤1.35 mA
Interface
… Voltage gain range:
microphone amplifier 11…52 dB
telephone amplifier
20…31 dB
… Voltage regulator with adjustable static resistance
… Provides supply for external circuits
… Symmetrical high-impedance inputs
… (64 kΩ) for dynamic, magnetic or piezoelectric
microphones
… Asymmetrical high-impedance inputs
(32 kΩ) for electret microphones
… DTMF signal input with confidence tone
… Mute input for pulse or DTMF dialing
… Receiving amplifier for dynamic, magnetic or piezoelectric
earpieces
… Large gain setting range on microphone and earpiece
amplifiers
…
…
Package
DIP-18
SO-20
SO-18
DIP-16
SO-16
DIP-16
SO-16
• Tone Telephone Ringers
Part
IL2410N
IL2411N
IL2410D
IL2411D
IL2418N
IL2418D
Pin to Pin
Function
Compatibility
Two-Tone
KA2410
Telephone Ringer
KA2411
KA2410
KA2411
KA2418
Features
Vcc=13…29 V
Icc=1.4…4.2 mA
… Activation voltage
17…21 V
… Sustaining voltage
9.7…12 V
… Adjusted 2-frequency tone
… Built-in hysteresis prevents false triggering and rotary dial
“Chirps”
… External Triggering or Ringer Disable
Two-Tone
… Vcc=26 V
Telephone Ringer … Icc max=1.8 mA
with Diode Bridge … Activation voltage 12.2…13 V
… Sustaining voltage
8.0…8.8 V
… Internal Zener diodes to protect against over voltages
… High noise immunity due to built-in voltage-current
hysteresis
… Ringer impedance adjustable with external components
… Output F =2100…2550 Hz
1
F2=1500…1850 Hz
…
DIP-8
…
22
SEMICONDUCTOR DEVICES FACTORY
Package
SO-8
DIP-8
SO-8
INTEGRATED CIRCUITS
Telecommunications IC
• IС for Smart Cards
Part
IZE4406C
Pin to Pin
Compatibility
SLE4406C
Function
IC for Prepaid Cards
Features
Vcc=4.5...5.5 V
104x1 bit organization
… 3 memory areas with special characteristics (ROM, PROM,
EEPROM)
… Maximum of 20480 count units
… Special security features
… Minimum of 100000 write/erase cycles
… Data retention for minimum of 10 years
… Contact configuration and serial interface in accordance to
ISO standard 7816-3
Intelligent 1024 byte … EEPROM 1024 byte
EEPROM with write … Security code (working as transport code during delivery)
protect function &
… Byte protection
security logic
… Write/ Erase time (min)2.5 ms
… Supply Voltage, 5V
o
… Ambient temperature, 0 … + 70 C
… Retention time, 5years
… Health insurance card
… Access control
… Electronic tickets
Intelligent 256 byte
… EEPROM 256 byte
EEPROM with write … Security code (working as transport code during delivery)
protect function &
… Byte protection
security logic
… Write/ Erase time (min) 2.5 ms
… Supply Voltage, 5V
o
… Ambient temperature, 0 … + 70 C
… Retention time, 5 years
… Health insurance card
… Access control
… Electronic tickets
IC for Prepaid Cards … Internally Organized Memory 256 x 8
… Two-wire Serial Interface
… Bidirectional Data Transfer Protocol
… Byte Write Modes
… 8-byte Page Write Modes
… Write Protection Memory
… Self-timed Write/Erase Cycle (20 ms max)
… Endurance: 100000 Cycles
… Data Retention: 10 years
… On-chip Charge Pump for Programming
… Answer to Reset
… Operation Range from -40°C to +70°C
IC for Prepaid Cards … Internally Organized Memory 64 x 8
… Two-wire Serial Interface
… Bidirectional Data Transfer Protocol
… Byte Write Modes
… 2-byte Page Write Modes
… Write Protection Memory
… Self-timed Write/Erase Cycle (20 ms max)
… Endurance: 100000 Cycles
… Data Retention: 10 years
… On-chip Charge Pump for Programming
… Answer to Reset
… Operation Range from -40°C to +70°C
…
Pads
5
…
IZE4428
SLE4428
IZE4442
SLE4442
IZ2814
MC2814
IZ2814A
5
5
5
5
23
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Telecommunications IC
• IС for Smart Cards
Part
IZ2815A-03
Pin to Pin
Compatibility
SLE4436E
(continued)
Function
IC for Prepaid Cards
Features
Vcc=4.5...5.5 V
Icc=5 mA
… 221-bit EEPROM and 16 bit mask-programmable ROM
… 104 bit user memory fully compatible with IZ4406:
- 64 bit identification area
- 40 bit counter area including 1 bit for
personalization
… 133 bit additional memory for advanced
features
- 4 bit counter backup (anti-tearing flags)
- 1 bit initiation flag for authentication key 2
- 16 bit data area 1 for free user access
- 48 bit authentication key 1
- either 64 bit data area 1 for user defined
data or 48 bit authentication key 2
… EEPROM programming time
5 ms
… Endurance minimum of 100000 write/erase cycles per bit
… Data retention for minimum of 10 years
… Contact configuration and serial interface in accordance to
ISO standard 7816-3
…
Pads
5
…
• IС for Systems of Identification
Part
IZ2802A
Pin to Pin
Compatibility
H4102, H4100
IZ2817*
SL2ICS20
IZ2822
MF0ICU11
IZ2823-5
MF1ICS50
IZ1990
DS1990A
IZ1991
DS1991
Function
Read Only
Contactless
Identification Device
Contactless
Identification Device
Features
fcoil = 100…150 kHz
Cres = 490 pF
… ROM 64 bit
… fcoil = 13.56 MHz
… Cres = 23.5 pF
… EEROM 1024 bit
… Data retention of 10 years
… ISO 15693
Read/Write
… fcoil = 13.56 MHz
Contactless
… Cres =50 pF
Identification Device … EEROM 512 bit, organized in 16 pages with 4 bytes each
… Data retention of 10 years
… Write endurance 100000 cycles
Read/Write
… fcoil = 13.56 MHz
Contactless
… Cres = 100 pF
Identification Device … EEROM 1 Kbyte, organized in 16 sectors with 4 blocks of 16
bytes each
… Data retention of 10 years
… Write endurance 100000 cycles
IC for automatic
… Unique 64-bit registration Number
identification with
… Built-In Multidrop Controller for 1-Wire Net
1-Wire protocol
… Digital identification by momentary Contact
… Economically Communicates to Bus
Master with a Single Digital Signal at 16.3 kbps
… Operating temperature range: -40°C to +85°C
Multikey IC with
… Unique 64-bit registration Number
1-Wire protocol
… 1 152-bit secure read/write memory
… Secure memory cannot be deciphered without matching
64-bit password
… Memory is partitioned into 3 blocks of 384 bits each
… 64-bit password and ID field for each memory block
… 512-bit scratchpad ensures data transfer integrity
… Economically Communicates to Bus
Master with a Single Digital Signal at 16.3 kbps
… Operating temperature range: -40°C to +70°C
…
4
…
* Pilot Production
24
SEMICONDUCTOR DEVICES FACTORY
Pads
2
4
4
2
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
IC for Control and Power Electronics
Part
IZ4206
IL33035N
IL33035DW
IL33153PN
IL9010N
IL9010D
IL2010BN
IL2010BD
ILA1185AN
ILA1185AAN
ILA1185AD
IL33091AN
IL33091AD
IL33262N
IL33262D
IL34262N
IL34262D
ILA3354N
IL7101N
IL7101AN
IL7101D
IL4145AN
ILN2003AN
ILN2004AN
ILN2004AD
ILN62083N
ILN62083D
ILN62084N
ILN62084D
ILN62783N
ILN62783D
ILN62784N
ILN62784D
IZ6B595
Part
Pin to Pin
Compatibility
TLE4206G
1 A DC Motor Driver for Serve Driver Applications
MC33035
Brushless DC Motor Controller
MC33153P
TDA1185A
with build-in
comparator
Single IGBT Gate Driver
Function
Package
DIP-14
SO-14
Triac Phase Angle Controller
U2010B
Triac Phase Angle Controller
TDA1185A
Triac Phase Angle Controller
(ILA1185AAN - TA=-45...+85°C)
MC33091A
High-Side MOS Driver
MC33262
Power Factor Controller (TA= -40...+105°C)
MC34262
Power Factor Controller
TFA3354
IC for Electronic Ballast’s
GL7101
Earth Leakage Current Detector (UT= 4..9 mV for AN)
(UT= 9..18 mV for N/D)
RV4145A
ULN2003A
Low Power Ground Fault Interrupter
High-Voltage High-Current Darlington Transistor Arrays
ULN2004A
High-Voltage High-Current Darlington Transistor Arrays
TD62083AFN
TD62084AFN
8CH Darlington Sink Driver
TD62783AFN
TD62784AFN
Power logic 8-bit shift register for control of relays solenoids
and other medium current or high voltage loads
TPIC6B595
Topr
(°С)
7CH High-Voltage Drivers
ILN2003AN
- 20 ÷ +85
ILN2004AN
- 20 ÷ +85
ILN2004AD
8CH High-Voltage Drivers
ILN62083N
- 40 ÷ +85
ILN62083D
ILN62084N
- 40 ÷ +85
ILN62084D
ILN62783N
- 40 ÷ +85
ILN62783D
ILN62784N
- 40 ÷ +85
ILN62784D
8-bit shift register
IZ6B595
- 40 ÷ +125
Chip
DIP-24
SO-24
DIP-8
DIP-16
SO-16
DIP-14
DIP-14
SO-14
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
DIP-8
DIP-8
SO-8
DIP-8
DIP-16
DIP-16
SO-16
DIP-18
SO-18
DIP-18
SO-18
DIP-18
SO-18
DIP-18
SO-18
Chip
IOUT
(max)
(mA)
VCE
(max)
(V)
IIN
(max)
(mA)
VIN
(max)
(V)
VF /VR
(max)
(V/V)
Designation
Package
500
50
1.35
30
2/50
TTL, 5V CMOS
500
50
1.35
30
2/50
6 ~ 15V PMOS, CMOS
DIP-16
DIP-16
SO-16
500
50
1.35
30
2/50
TTL, 5V CMOS
500
50
0.50
30
2/50
6 ~ 15V PMOS, CMOS
-500
50
0.26
30
2/50
TTL, 5V CMOS
-500
50
0.13
30
2/50
6 ~ 15V PMOS, CMOS
-500
50
1 μA
7
1/50
DIP-18
SO-18
DIP-18
SO-18
DIP-18
SO-18
DIP-18
SO-18
DIP-8
25
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
• Automotive
Part
Pin to Pin
Compatibility
IL33193N
IL33193D
IL33193N-01
IL33193D-01
MC33193
IL33193N-02
IL33193D-02
IL33193N-03
IL33193D-03
IL33197AN
IL33197AD
MC33197A
IL33197AN-01
IL33197AD-01
IL6083N
IL6083N-01
IN9014N
IL8190N
IL8190DW
IL33290AD
ILA82C251D
IL33091AN
IL33091AD
IL1815N
IL1815D
IL1055DW
ILE4250G**
ILE4260
ILE4260-2
ILE4264G
IZE4264-2
ILE4266G
IZE4266-2
ILE4267G
ILE4267S
ILE4268GDW
ILE4270G
ILE4270S
ILE4270Q
IL4270
ILE4271G
ILE4271S
ILE4274**
U6083B
Function
Automotive Direction Indicator RS=20 mΩ, Fn=2.2,
Duty Cycle (Normal Operation) 45÷55%,
Duty Cycle (One 21 W Lamp Defect) 35÷45%,
Defect Lamp Detector Threshold 42.5÷56 mV, RSS=220 Ω
Automotive Direction Indicator RS=30mΩ, Fn=2.5,
Duty Cycle (Normal Operation) 45÷55%,
Duty Cycle (One 21 W Lamp Defect) 35÷45%,
Defect Lamp Detector Threshold 75÷95 mV, RSS=220 Ω
Automotive Direction Indicator RS=30 mΩ, Fn=2.5,
Duty Cycle (Normal Operation) 45÷60%,
Duty Cycle (One 21 W Lamp Defect) 40÷60%,
Defect Lamp Detector Threshold 75÷95 mV, RSS=470 Ω
Automotive Direction Indicator RS=30 mΩ, Fn=2.5,
Duty Cycle (Normal Operation) 45÷55%,
Duty Cycle (One 21 W Lamp Defect) 35÷45%,
Defect Lamp Detector Threshold 75÷95 mV, RSS=220 Ω,
Short Circuit Detector Threshold
Automotive Wash Wiper Timer
Output Clamp Voltage (Iout=20 mА) 19.5÷22 V,
Internally incorporated Zener diode 20 V
Automotive Wash Wiper Timer
Output Clamp Voltage (Iout=20mA) 27÷32V,
Internally incorporated Zener diode 30V
Power Control With Interference Suppression
(for N-01: Duty cycle 10… 100%, VS1=24.5…28.0 V, VS2=18.5…22.0 V,
VBatt1=16.7…21.0 V (switched on),
VBatt1=18.3…22.5 V (switched off), VTS=10.1…10.7 V, IS=5…17 mA)
For light control relay IC
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
MC33091A
High-Side MOS Driver
LM1815M
Adaptive Variable Reluctance Sensor Amplifier
TLE4250G
Two-channel ignition controller
Low-Drop Voltage Tracker (2÷36 V); 50 mA: Reverse Polarity
Protection
DIP-8
DIP-16
SO-20
SO-8
SO-8
DIP-8
SO-8
DIP-14
SO-14
SO-16
P-TO-263-5-1
TO-220AB/5
TLE4260
Low-Drop Voltage Regulator 5 V; 500 mA; Reverse Polarity Protection
P-TO-220-5-12
CS8190ENF16
Precision Air-Core Tach/Speedo Driver with Return to Zero
CS8190EDWF20
MC33290
ISO K Line Serial Link Interface
PCA82C251T
CAN transceiver for 24 V systems
TLE4264G
TLE4264-2G
TLE4266G
TLE4266-2G
TLE4267G
TLE4267S
TLE4268G
TLE4270G
TLE4270S
Low-Drop Voltage Regulator 5 V; 100 mA; Reverse Polarity Protection
Low-Drop Voltage Regulator 5 V; 100 mA; Reverse Polarity Protection
Low-Drop Voltage Regulator 5 V; 400 mA; Reverse Polarity Protection
Low-Drop Voltage Regulator 5 V; 150 mA; Reverse Polarity Protection
Low-Drop Voltage Regulator 5 V; 550 mA; Reverse Polarity Protection
IL4270 - without “RESET”
TLE4271G
TLE4271S
TLE4274
Low-Drop Voltage Regulator 5 V; 550 mA; Reverse Polarity Protection
Low-Drop Voltage Regulator 5 V/8.5 V/10 V; 400 mA: Reverse Polarity
Protection
** Under Development
26
SEMICONDUCTOR DEVICES FACTORY
P-SOT223-4-1
Chip
P-SOT223-4-2
Chip
P-TO-220-7-180
P-TO-220-7-230
P-DSO-20-6
P-TO-263-5-1
P-TO-220-5-12
P-TO-220-5-11
TO-220AB/3
P-TO-220-7-180
P-TO-220-7-230
TO-220AB/3
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
• Automotive
Part
ILE4275G**
ILE4276G**
IZE4278
IZ4206
14IVR-11
14IVR-12
14IVR-21
14IVR-22
KB1088EP1-4
KB1088EP1-01-4
KB1088EP1-03-4
KB1088EP1-11-4
KB1088EP1-12-4
KB1088EP1-12А-4
KB1088EP1-12Б-4
KB1088EP1-13-4
KB1088EP1-16-4
KB1088EP1-15-4
KB1088EP1-02-4
KB1088EP1-04-4
KB1088EP1-14-4
KB1088EP1-17-4
KB1088NR3-4
(continued)
Pin to Pin
Function
Package
Compatibility
TLE4275G
Low-Drop Voltage regulator 5 V; 400 mA: Reverse Polarity Protection P-TO-263-5-1
TO-220AB/5
P-TO-263-5-1
TLE4276
Low-Drop Voltage Regulator 5 V/8.5 V/10 V; 400 mA: Reverse
TO-220AB/5
Polarity Protection
TLE4278
Low-Drop Voltage Regulator 5 V; 150 mA: Reverse Polarity
Chip
Protection
TLE4206G
1 A DC Motor Driver for Serve Driver Applications
Chip
9RC6066
Monolithic Voltage Regulator for Alternator VR=14,1 V; KT=-7,0 mV/oC
TO-3 Jumbo
9RC6066
TO-3 Jumbo
Monolithic Voltage Regulator for Alternator VR=14,1 V; KT=-10,0
o
mV/ C
9RC6066
TO-3 Jumbo
Monolithic Voltage Regulator for Alternator
o
VR=14,5 V; KT=-7,0 mV/ C
9RC6066
TO-3 Jumbo
Monolithic Voltage Regulator for Alternator
o
VR=14,5 V; KT=-10,0 mV/ C
Driver of Power Bipolar Transistor for Hybrid Voltage Regulator for
DIP-8
Alternator
Driver of Power MOSFET for Hybrid Voltage Regulator for Alternator
DIP-8
Resistor Array for Hybrid Voltage Regulator for Alternator
** Under Development
• Sensors
Part
IL235Z
IL135Z
IL1815N
IL1815D
IZ7011
Pin to Pin
Compatibility
LM235Z
LM135Z
Temperature sensor
Temperature sensor
LM1815M
Adaptive Variable Reluctance Sensor Amplifier
Function
Analog IC for inertial sensor
Package
TO-92
TO-92
DIP-14
SO-14
Chip
• Timers
Part
Digital timers
IN555N
IN555D
ILC555N
ILC555D
IN556N
IN556D
ILC556N
IN558N
ILC558N
IN82C54N
Pin to Pin
Compatibility
Function
NE555
Timer
GLC555
Timer
NE556
Dual Timer
GLC556
NE558
GLC558
82С54
Dual Timer
Quad Timer
Quad Timer
Programmable Timer
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-14
SO-14
DIP-14
DIP-16
DIP-16
DIP-24
27
SEMICONDUCTOR DEVICES FACTORY
CMOS TIMERS (ILC555N/D, ILC556N, ILC558N)
Parameter
Test Condition
Vcc
Supply Voltage, Vcc
Supply Current, ICC
- 20°C ≤ TA ≤ + 70°C
ILC555
ILC556
ILC558
Timing Error
Initial Accuracy, tA
Drift With Temperature,
ΔtA/ΔT
2
18
2
18
2
18
Min
2
—
—
—
—
—
—
R=1– 100 kΩ,
C = 0.1 μF
60
120
120
240
240
480
2.0
50
5
10
15
5
Drift With Supply Voltage,
ΔtA/ΔVs
Threshold Voltage, VTH
Value
Type
BIPOLAR TIMERS (IN55N/D, IN556N/D, IN558N)
Unit
Max
18
200
300
400
600
800
1200
1.0
5.0
200
300
600
3.0
V
μA
%
ppm /°C
0.65xVcc
0.67xVcc
0.7xVcc
V
Trigger Voltage, VTRIG
5
0.31xVcc
0.33xVcc
0.36xVcc
V
Trigger Current, ITRIG
18
5
2
18
5
2
18
5
2
18
2
50
10
1.0
50
10
1.0
100
20
2.0
0.4
0.4
0.65xVcc
Reset Current, IRST
VRESET = Ground
Reset Voltage, VRST
28
Control Voltage Lead, VCV
Output Voltage Low, VOL
IO = 20 mA
IO = 3.2 mA
15
5
Output Voltage High, VOH
IO = 0.8 mA
IO = 0.8 mA
15
5
Rise (Fall) Time of Output,
tTLH, tTHL
Guaranteed Max Osc Freq,
fmax
Operating Temperature, Topr
Note:
RL = 10 МΩ,
СL = 10 pF
Astable Operation
5
14.3
4.0
35
pA
0.7
0.7
0.67xVcc
1.0
1.0
0.69xVcc
3000
10000
6000
16000
—
16000
36000
R=1– 100kΩ,
C = 0.1μF
2.25
150
5
15
5
15
0.4
0.2
1.0
0.4
14.6
4.3
40
V
V
75
500
- 20 to + 70
TA = 25°C, Vcc = + 2 – + 15V unless other specified
IO = 10mA
IO = 50mA
IO = 8mA
IO = 5mA
IO = 100mA
IO = 200mA
IO = 100mA
15
5
15
15
5
5
15
15
5
3.33
10
1.67
5.0
0.5
V
2.2
5.6
2.0
µA
0.1
0.25
µA
0.1
0.4
mA
0.4
0.7
1.0
V
9.0
2.6
10
3.33
0.1
0.4
0.3
0.25
13.3
12.5
3.3
11
4.0
0.25
0.75
0.4
0.35
V
1.1
4.5
12.75
2.75
ns
kHz
ºC
%
ppm /°C
%/V
VRESET = 0V
V
V
μA
0.3
VTRIG = 0V
V
Unit
Max
16
6000
15000
12000
30000
pA
pA
Value
Type
Min
4.5
—
—
—
—
%/V
5
Threshold Current, ITH
Test Condition
Vcc
- 10°C ≤ TA ≤ + 70°C
IN555
5
15
IN556
5
15
IN558
15
100
500
V
V
V
ns
kHz
- 10 to + 70
ºC
TA = 25°C, Vcc = + 5 – + 15V unless other specified
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
SEMICONDUCTOR DEVICES FACTORY
• Timers (Reference Date)
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
• Comparators
Pin to Pin
Compatibility
Part
IL311AN
IL311AD
IL311ANM
IL339N
IL339D
IL293N
IL293D
IL393N
IL393D
Function
Package
LM311, LM211
Highly Flexible Voltage Comparators (TA= -45...+85°C)
LM339
Quad Comparator
LM293
Dual Comparator (TA= -40...+85°C)
LM393
Dual Comparator
DIP-8
SO-8
DIP-14
DIP-14
SO-14
DIP-8
SO-8
DIP-8
SO-8
• Comparators (Reference Data)
Part
Topr
(°С)
IIB
(nA)
Max
Vio
(mV)
Max
Iio
(nA)
Max
Av
(V/mV)
Min
Response
Time
(ns)
Typ
Supply
Voltage
250
3.0
50
150
300
+15, -15
DIP-14
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
Package
(V)
Single Comparators
IL311ANM
IL311AN
IL311AD
-45 ÷ +85
Dual Comparators
IL293N
IL293D
IL393N
IL393D
-40 ÷ +85
250
5.0
50
50
300
±2.5 ÷ ±15
or
5.0 ÷ 30
250
5.0
50
200
300
±2.5 ÷ ±15
or
5.0 ÷ 30
0 ÷ +70
Quad Comparators
IL339N
IL339D
0 ÷ +70
DIP-14
SO-14
• Operational Amplifiers
Part
IL258N
IL258D
IL224N
IL224D
IL324N
IL324D
IL358N
IL358D
IL1776CN, CAN
IL1776CD, CAD
IL4558N
IL4558D
IZ4560
IZ4580
IL9002N
IL9002AN
Pin to Pin
Compatibility
Function
Package
LM258
Dual Operational Amplifier (TA= -40 ÷ +85°C)
LM224
Quad Operational Amplifier (TA= -40 ÷ +85°C)
LM324
Quad Operational Amplifier
LM358
Dual Operational Amplifier
MC1776C
Micropower Programmable Operational Amplifier
(CAN, CAD TA=-40÷+85°C)
GL4558
Dual Operational Amplifier
NJM4560
NJM4580
OP-07A
OP-07
Dual Operational Amplifier (TA= -25 ÷ +75°C)
Dual Operational Amplifier (TA= -40 ÷ +85°C)
Low bias оperational аmplifier
Low bias оperational аmplifier
DIP-8
SO-8
DIP-14
SO-14
DIP-14
SO-14
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
Chip
Chip
DIP-8
DIP-8
29
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
• Operational Amplifiers (Reference Data)
Part
Topr
(°С)
IIB
(nA)
Max
Vio
(mV)
Max
Micropower Programmable Operational Amplifier
IL1776CN
0 ÷ +70
IL1776CD
10
6.0
IL1776CAN
-40 ÷ +85
IL1776CAD
Dual Operational Amplifier
IL258N
-40 ÷ +85
IL258D
250
7.0
IL358N
0 ÷ +70
IL358D
IL4558N
0 ÷ +70
500
5.0
IL4558D
IZ4560
-25 ÷ +75
500
6.0
IZ4580
-40 ÷ +85
500
3.0
Quad Operational Amplifier
IL224N
-40 ÷ +85
IL224D
250
7.0
IL324N
0 ÷ +70
IL324D
Precision Operational Amplifier
IL9002N
2.5
0.055
-60 ÷ +125
IL9002AN
4
0.105
TСvio
(µV/°C)
Type
Iio
(nA)
Max
6.0
7.0
Avol
(V/mV)
Min
Supply
Voltage
(V)
Min
Package
Max
DIP-8
SO-8
DIP-8
SO-8
25
±3.0
±15
50
25
±2.5
+5.0
±15
+30
200
20
200
200
86 dB
90 dB
±4
±2
±15
±15
±15
+30
DIP-14
SO-14
DIP-14
SO-14
±18
DIP-8
±16
7.0
50
25
±2.5
+5.0
0.6
1.3
2.5
3.5
250
150
+3.0
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
Chip
Chip
• µP Supervisory Circuits
Part
IN1232N
IN1232D
IN1705N
IN1705D
IN1705RN
IN1705RD
IN1706N
IN1706D
IN1706SRN
IN1706SRD
IN1708N
IN1708D
IL809LW
IL809MW
IL809TW
IL809SW
IL809RW
Pin to Pin Compatibility
Function
DS1232
Micro Monitor
DS1705
Micro Monitor (RN,RD – Push-Pull Reset Output)
DS1706S
Micro Monitor (SRN, SRD - Push-Pull Reset Output)
DS1708
Micro Monitor
STM809/810LW
STM809/810MW
STM809/810TW
STM809/810SW
STM809/810RW
Reset Circuit
SOT-23-3
30
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
IN1232N
IN1232D
IN1705N
IN1705D
IN1705RN
IN1705RD
IN1706N
IN1706D
IN1706SRN
IN1706SRD
IN1708N
IN1708D
4.5…5.5
1.2…5.5
1.2…5.5
1.2…5.5
1.2…5.5
1.2…5.5
1.2…5.5
Nominal Reset Threshold, V
4.37
4.65
4.65
2.93
2.93
4.40
4.63
4.38
3.08
2.93
2.63
IL809LW
IL809MW
IL809TW
IL809SW
IL809RW
• µP Supervisory Circuits (Reference Data)
Minimum Reset Pulse Width, ms
Push-Pull RESET Output
Watchdog
Nominal Watchdog Timeout
Period (s), if available
Separate Watchdog Output
Power-Fail Comparator/Reset
Input
Manual-Reset Input
Supply Current in Operating
Mode, µA, max (typ)
Operating Temperature, °C
250
L, H
●
100
L
●
100
H
●
130
L
●
130
H
●
130
L, H
140
L, H
0.15
1.6
1.6
1.6
1.6
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
2000 (500)
350 (100)
350 (100)
50
50
50
- 10 ÷ +70
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
DIP-8
SO-8
PARAMETER
Supple Voltage, V
Package
- 40 ÷ +85
DIP-8
SO-8
-
15 (7)
- 40 ÷ +85
SOT-23-3
• Voltage Regulators
Part
Pin to Pin
Compatibility
Output Voltage,
V
Output
Output
Current,
Voltage
A
Tolerance, %
Tested Operating
Junction Temp.
Range, °C
Package
Low Dropout Voltage Regulators
ILE4250**
ILE4260
ILE4260-2
ILE4264G
IZE4264-2
ILE4266G
IZE4266-2
ILE4267G
ILE4267S
ILE4268GDW
ILE4270G
ILE4270S
ILE4270Q
ILE4270
TLE4250G
2 ÷ 36
0.05
TLE4260S
5
0.5
TLE4264G
TLE4264-2G
TLE4266G
TLE4266-2G
TLE4267G
TLE4267S
TLE4268G
TLE4270G
TLE4270S
5
5
5
5
0.5
Tj= -40…+150
P-TO-263-5-1
TO-220AB/5
Tj= -40…+125
P-TO-220-5-12
0.10
0.10
0.10
0.10
5
2
2
3
2
3
Tj= -40…+125
Tj= -40…+125
Tj= -40…+125
Tj= -40…+125
5
0.4
2
Tj= -40…+125
5
0.15
2
Tj= -40…+125
5
0.55
2
Tj= -40…+125
5
0.55
2
Tj= -40…+125
P-SOT223-4-1
Chip
P-SOT223-4-2
Chip
P-TO-220-7-180
P-TO-220-7-230
SO-20
P-TO-263-5-1
P-TO-220-5-12
P-TO-220-5-11
TO-220AB/3
5
0.55
2
Tj= -40…+125
0.4
0.4
0.4
0.15
0.3
0.3
0.5
0.5
4
2
4
2
2
2
2
2
Tj= -40…+150
Tj= -40…+150
Tj= -40…+150
Tj= -40…+150
P-TO-220-7-180
P-TO-220-7-230
TO-220AB/3
P-TO-263-5-1
TO-220AB/5
Chip
Tj= -40…+125
Chip
(without "RESET")
ILE4271G
ILE4271S
ILE4274**
ILE4275G**
ILE4276G**
IZE4278
IZ1734-33
IZ1734-50
IZ1735-33
IZ1735-50
TLE4271G
TLE4271S
TLE4274
TLE4275G
TLE4276
TLE4278
SSAIC1734-33
SSAIC1734-50
SSAIC1735-33
SSAIC1735-50
5; 8.5; 10
5
5; 8.5; 10
5
3.3
5
3.3
5
** Under Development
31
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
• Voltage Regulators
Part
Pin to Pin
Compatibility
(continued)
Output Voltage,
V
IL5212G
1.2
IL5218G
1.8
IL5225G
2.5
CS5201
IL5228G
2.85
LD1117S
IL5230G
3.0
IL5233G
3.3
IL5250G
5.0
Dual Positive Voltage Regulators
ILA8133A
TDA8133A
5.1;8
ILA8138A
TDA8138A
5.1; 12
Output
Output
Current,
Voltage
A
Tolerance, %
0.8
5
0.8
2
0.8
2
0.8
2
0.8
2
0.8
2
0.8
2
0.75
1.0
2
2
Tested Operating
Junction Temp.
Range, °C
Tj=
0…+125
Tj= 0…+130
Tj= 0…+130
Package
P-SOT-223-4-1
TO-220AB/7
TO-220AB/7
Adjustable Voltage Regulators
Pin to Pin
Compatibility
Part
IL317
LM317T
IZ317L
LM317L
IL2931CD
LM2931C
IL5200G
CS5201
LD1117S
Switching Regulators
Pin to Pin
Part
Compatibility
IL2576 – 3.3
LM2576 – 3.3
IL2576 – 5
LM2576 – 5
IL2576 – 12
LM2576 – 12
IL2576 – 15
LM2576 – 15
IL2576 – ADJ
LM2576 – ADJ
IL2596 – 3.3
LM2596 – 3.3
IL2596 – 5
LM2596 – 5
IL2596 – 12
LM2596 – 12
IL2596 – ADJ
LM2596 – ADJ
IL1501 – 33
AP1501 – 3.3V
IL1501 – 50
AP1501 – 5V
IL1501 – 12
AP1501 – 12V
IL1501
AP1501 – ADJ
IZ9261 – 15
RT9261 – 15
IZ9261 – 25
RT9261 – 25
IZ9261 – 33
RT9261 – 33
IZ9261 – 50
RT9261 – 50
IL34063AN
MC34063A
IL34063AD
IZ1583**
MP1583
IZ1591**
MP1591
Function
Adjustable Output Positive Voltage Regulator 1.5 A; (1.2…37 V)
Tj=-40…+125°C
Adjustable Output Positive Voltage Regulator 0.1 A; (1.2…37 V)
Tj=-40…+125°C
Adjustable Dropout Voltage Regulator 0.1 A; (3…24 V)
Tj= -40…+125°C
Adjustable Dropout Voltage Regulator 0.8 А; (1.25 … 13.5 V)
Tj= 0…+125°C
Function
Package
TO-220AB/3
Chip
SO-8
P-SOT-223-4-1
Package
3.0 A, 15 V, Step-Down Switching Regulator
TO-220 AB/5
Power Converter 150 kHz 3 A Step-Down Voltage Regulator
TO-220 AB/5
150 kHz, 3 A PWM Buck DC/DC Converter
TO-220 AB/5
VFM Step-up DC/DC Converter
Step-Up /Down/inverting Switching Regulator
Step-Down Switching Regulator
Step-Down Switching Regulator
** Under Development
32
SEMICONDUCTOR DEVICES FACTORY
Chip
Dip-8
SO-8
Chip
Chip
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
Switching Regulators (Reference Data)
Part
T
(°С)
Step-Down (Buck)
IL2576
- 40 ÷ +125
IL2596
- 40 ÷ +125
IL1501
- 40 ÷ +125
IZ1583**
- 40 ÷ +85
IZ1591**
- 40 ÷ +85
Step-Up
IZ9261
- 25 ÷ +85
Step-Up /Down/Inverting
IL34063AN
- 0 ÷ +70
IL34063AD
ViN
(V)
Min Max
IOUT
(A)
VOUT
(V)
FSW (type)
(kHz)
ISTBY
(type)
(µA)
Package
3
3
3
3
2
6.0
4.5
4.5
4.75
6.5
40
40
40
23
32
3.3, 5, 12, 15, Adj (1.23 to 37)
3.3, 5, 12, Adj (1.23 to 37)
3.3, 5, 12, Adj (1.23 to 37)
Adj (1.22 to 21)
Adj (1.2 to 21)
52
150
150
385
330
80
80
150
TO-220AB/5
TO-220AB/5
TO-220AB/5
Chip
Chip
0.250
1
4.5
1.5, 2.5, 3.3, 5
120
0.5
Chip
1.5
3.0
40
Adj
10
2.5 mA
Dip-8
SO-8
** Under Development
• PWM Controllers
Part
IL494N
IL6083N
IL6083N-01
IL44608N40
IL44608N75
IL44608N100
Pin to Pin
Compatibility
TL494IN
U6083B
MC44608P40
MC44608P75
MC44608P100
Function
Package
Pulse-Width-Modulation Control Circuit
Power Control With Interference Suppression
(for IL6083N N-01: Duty cycle 10… 100%, VS1=24.5…28.0 V,
VS2=18.5…22.0 V, VBatt1=16.7…21.0 V (switched on),
VBatt1=18.3…22.5 V (switched off), VTS=10.1…10.7 V, IS=5…17 mA)
DIP-16
DIP-8
DIP-8
DIP-8
DIP-8
SMPS Controller
33
SEMICONDUCTOR DEVICES FACTORY
5
≤0.5
2
≤0.5
3
2
≤0.5
2
≤150
45
45
45
45
5
5
5
≤0.6
2
≤0.5
2
≤0.7
2
≤0.7
2
≤60
≤20
≤75
≤75
45
5; 8.5;
5
10
≤0.5 ≤0.5
4
2
●
●
●
●
●
●
●
●
●
●
●
●
●
≤0.5
2
≤12
5
≤30
≤22
≤25
0.22
0.20
0.22
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
●
- 40 ÷
+125
TO-220AB/3
P-TO-263-5-1
P-TO-220-5-12
P-TO-220-5-11
- 40 ÷ +150
SO-20
P-TO-220-7-180
P-TO-220-7-230
Chip
P-SOT223-4-2
- 40 ÷ +125
Chip
- 40 ÷ +150
P-SOT223-4-1
5; 8.5;
10
≤0.5
4
≤4
●
●
TO-220AB/5
Package
●
●
●
●
●
●
≤400
≤0.07
-
≤4
P-TO-263-5-1
TO-220AB/5
34
Junction Temperature, °С
≤15
≤0.07
0.4
≤400
Chip
≤15
≤400
P-TO-263-5-1
TO-220AB/5
2
≤550
42
65
(≤400ms)
≤550
42
65
(≤400ms)
P-TO-263-5-1
TO-220AB/5
≤0.5
5
IZE4278
5
ILE4276G**
5
ILE4275G**
5
ILE4274**
45
ILE4271G/S
45
IL4270
45
ILE4270G/S/Q
ILE4260-2
45
0.15
≤3
●
●
≤0.5
3
2
≤100
≤65
●
5
≤100
IQ = 50 mA
Shot-circuit proof
Overvoltage protection
Reverse polarity protection
Overtemperature protection
Time
Adjustable Reset
Threshold
On/off logic
Watchdog
Inhibit Input
5
≤100
TO-220AB/3
≤0.3
0.5
IQ max
IQ = 50 mA
IQ = max
IQ = 0.1 mA
Current consumption, IQ = 1 mA
mA
IQ ≤ 30 mA
≤150
≤500
42
60
(≤400ms)
P-TO-220-7-180
P-TO-220-7-230
Drop voltage, V
Output voltage
tolerance, %
ILE4268GDW
2÷36
ILE4267G/S
Output voltage, V
IZE4266-2
45
≤400
42
60
(≤400ms)
ILE4266G
Input voltage (max), V
≤100
IZE4264-2
≤50
ILE4264G
Output current, mA
ILE4260
ILE4250G**
Parameter
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
SEMICONDUCTOR DEVICES FACTORY
• Voltage Regulators (Reference Date)
IL5230G
IL5233G
IL5250G
IL5200G
IZ1734-33
IZ1734-50
IZ1735-33
IZ1735-50
IL2931СD
IL317
IZ317L
≤800
≤800
≤800
≤800
≤800
≤800
≤800
≤300
≤300
≤500
≤500
100
1500
100
15
8
10
10
12
15
15
15
12
12
12
12
40
40
1.2
≤1.2
1.8
≤1.2
2.5
≤1.2
2.85
≤1.2
3
≤1.2
3.3
≤1.2
5
≤1.2
3.3
0.47
5
0.4
3.3
0.65
5
0.51
3-24
≤0.6
1.2-37
≤2.5
5
2
2
2
2
2
2
2
2
2
2
2
5
0.07%/V
10
●
10
●
10
●
10
●
10
●
10
●
10
●
10
●
0.08
●
0.08
●
0.09
●
0.09
●
0.1 (Io=0.5А)
●
●
●
●
●
●
●
●
●
6
●
●
●
●
●
●
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Power Electronics, Standard Analog IC
35
Chip
- 40 ÷ +125
ТО-220АВ/3
0 ÷ +125
SO-8
1.25-13.5
≤1.2
Chip
35
Package
≤800
P-SOT223-4-1
Output voltage, V
Drop voltage, V
Output voltage tolerance,
IQ max
%
Current consumption, mA
IQ = max
Shot-circuit proof
Overvoltage protection
Reverse polarity protection
Overtemperature protection
On/off logic
Junction Temperature, °С
IL5228G
Input voltage (max), V
IL5225G
Output current, mA
IL5218G
Parameter
IL5212G
• Voltage Regulators (Reference Date)
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IW4000AN, D(DW) Series
Part
IW4001AN,AD
IW4002AN,AD
IW4011AN,AD
IW4012AN,AD
IW4013AN,AD
IW4015AN,AD
IW4017AN,AD
IW4019AN,AD
IW4020AN,AD
IW4023AN,AD
IW4025AN,AD
IW4028AN,AD
IW4029AN,AD
IW4030AN,AD
IW4034AN,ADW
IW4043AN,AD
IW4049AN,AD
IW4050AN,AD
IW4051AN,AD
IW4052AN,AD
IW4066AN,AD
IW4069AN,AD
IW4093AN,AD
IW4502AN,AD
IW4516AN,AD
IW4520AN,AD
Pin to Pin
Compatibility
CD4001AN,AD
CD4002AN,AD
CD4011AN,AD
CD4012AN,AD
CD4013AN,AD
CD4015AN,AD
CD4017AN,AD
CD4019AN,AD
CD4020AN,AD
CD4023AN,AD
CD4025AN,AD
CD4028AN,AD
CD4029AN,AD
CD4030AN,AD
CD4034AN,AD
CD4043AN,AD
CD4049AN,AD
CD4050AN,AD
CD4051AN,AD
CD4052AN,AD
CD4066AN,AD
CD4069AN,AD
CD4093AN,AD
CD4502AN,AD
CD4516AN,AD
CD4520AN,AD
Function
Quad 2-Input NOR Gate
Dual 4-Input NOR Gate
Quad 2-Input NAND Gate
Dual 4-Input NAND Gate
Dual D-Type Flip-Flop
Dual 4-Bit Shift Register
Decade Counter/Driver
Quad AND-OR Gate
14-Bit Binary Divide Counter
Triple 3-Input NAND Gate
Triple 3-Input NOR Gate
BCD-to-Decimal Decoder
Binary or BCD-Decade Counter
Quad Exclusive-OR Gate
8-Bit Shift Register
Quad NOR R-S Latch (3-State)
Hex Buffer/Converter
Hex Buffer/Converter
Single 8-Channel Multiplexer/Demultiplexer
Differential 4-Channel Multiplexer/Demultiplexer
Quad Bilateral Switch
Hex Inverter
Quad 2-Input NAND Schmitt Trigger
Hex Inverter/Buffer
Presettable Binary Up/Down Counter
Dual Binary Up Counter
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-24, SO-24
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
• IW4000BN, D(DW) Series
Part
IW4001BN,BD
IW4002BN,BD
IW4006BN,BD
IW4008BN,BD
IW4011BN,BD
IW4012BN,BD
IW4013BN,BD
IW4015BN,BD
IW4016BN,BD
IW4017BN,BD
IW4018BN,BD
IW4019BN,BD
IW4020BN,BD
IW4021BN,BD
IW4022BN,BD
Pin to Pin
Compatibility
CD4001BN,BD
CD4002BN,BD
CD4006BN,BD
CD4008BN,BD
CD4011BN,BD
CD4012BN,BD
CD4013BN,BD
CD4015BN,BD
CD4016BN,BD
CD4017BN,BD
CD4018BN,BD
CD4019BN,BD
CD4020BN,BD
CD4021BN,BD
CD4022BN,BD
Function
Quad 2-Input NOR Gate
Dual 4-Input NOR Gate
18-Bit Static Shift Register
4-Bit Full Adder
Quad 2-Input NAND Gate
Dual 4-Input NAND Gate
Dual D-Type Flip-Flop
Dual 4-Bit Static Shift Register
Quad Bilaterial Switch
Decade Counter/Driver
Presettable Divide-by-N Counter
Quad AND-OR Gate
14-Bit Binary Divide Counter
8-Bit Shift Register
Divide-by-8 Counter/Divider
36
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IW4000BN, D(DW) Series
IW4023BN,BD
IW4025BN,BD
IW4027BN,BD
IW4028BN,BD
IW4029BN,BD
IW4030BN,BD
IW4034BN,BDW
IW4035BN,BD
IW4040BN,BD
IW4042BN,BD
IW4043BN,BD
IW4049BN,BD
IW4050BN,BD
IW4051BN,BD
IW4052BN,BD
IW4053BN,BD
IW4059AN,ADW
IW4060BN,BD
IW4066BN,BD
IW4068BN,BD
IW4069UBN,UBD
IW4070BN,BD
IW4071BN,BD
IW4072BN,BD
IW4073BN,BD
IW4075BN,BD
IW4077BN,BD
IW4081BN,BD
IW4093BN,BD
IW4098BN,BD
IW40107BN,BD
IW4502BN,BD
IW4503BN,BD
IW4511BN,BD
IW4516BN,BD
IW4518BN,BD
IW4519BN,BD
IW4520BN,BD
IW4528BN,BD
IW4531BN,BD
IW4541BN,BD
Pin to Pin
Compatibility
CD4023BN,BD
CD4025BN,BD
CD4027BN,BD
CD4028BN,BD
CD4029BN,BD
CD4030BN,BD
CD4034BN,BD
CD4035BN,BD
CD4040BN,BD
CD4042BN,BD
CD4043BN,BD
CD4049BN,BD
CD4050BN,BD
CD4051BN,BD
CD4052BN,BD
CD4053BN,BD
CD4059AN,AD
CD4060BN,BD
CD4066BN,BD
CD4068BN,BD
CD4069UBN,UBD
CD4070BN,BD
CD4071BN,BD
CD4072BN,BD
CD4073BN,BD
CD4075BN,BD
CD4077BN,BD
CD4081BN,BD
CD4093BN,BD
CD4098BN,BD
CD40107BN,BD
CD4502BN,BD
CD4503BN,BD
CD4511BN,BD
CD4516BN,BD
CD4518BN,BD
CD4519BN,BD
CD4520BN,BD
CD4528BN,BD
NEF4531BN,BD
CD4541BN,BD
IW4543BN,BD
CD4543BN,BD
IW4585BN,BD
CD4585BN,BD
Part
(continued)
Function
Triple 3-Input NAND Gate
Triple 3-Input NOR Gate
Dual J-K Flip-Flop
BCD-to-Decimal Decoder
Binary or BCD-Decade Counter
Quad Exclusive-OR Gate
8-Bit Shift Register
4-Bit Parallel-In/Parallel-Out Shift Register
12-Bit Binary Counter
Quad Clocked D-Latch
Quad NOR R-S Latch (3-State)
Hex Buffer/Converter
Hex Buffer/Converter
8-Channel Analog Multiplexer/Demultiplexer
Dual 4-Channel Analog Multiplexer/Demultiplexer
Triple 2-Channel Analog Multiplexer/Demultiplexer
Programmable Counter
14-Bit Binary Divide/ Counter
Quad Bilateral Switch
8-Input NAND Gate
Hex Inverter
Quad Exclusive-OR Gate
Quad 2-Input OR Gate
Dual 4-Input OR Gate
Triple 3-Input AND Gate
Triple 3-Input OR Gate
Quad Exclusive-NOR Gate
Quad 2-Input AND Gate
Quad 2-Input NAND Schmitt Trigger
Dual Monostable Multivibrator
Dual 2-Input NAND Buffer/Driver
Hex Inverter/Buffer
Hex Buffer
BCD-to-7-Segment Latch Decoder/Driver
Presettable Binary Up/Down Counter
Dual BCD Up Counter
Quad AND/OR Select Gate
Dual Binary Up Counter
Dual Monostable Multivibrator
12-Bit Checker Tree
Programmable Timer
BCD-to-7-Segment Latch/Decoder/Driver for Liquid-Crystal
Display
4-Bit Comparator
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-24, SO-24
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-24, SO-24
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
37
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74ACXXXXN, D(DW) Series
Part
IN74AC00N,D
IN74AC02N,D
IN74AC04N,D
IN74AC05N,D
IN74AC08N,D
IN74AC10N,D
IN74AC11N,D
IN74AC14N,D
IN74AC20N,D
IN74AC21N,D
IN74AC27N,D
IN74AC32N,D
IN74AC34N,D
IN74AC74N,D
IN74AC86N,D
IN74AC109N,D
IN74AC112N,D
IN74AC125N,D
IN74AC132N,D
IN74AC138N,D
IN74AC139N,D
IN74AC151N,D
IN74AC153N,D
IN74AC157N,D
IN74AC158N,D
IN74AC161N,D
IN74AC163N,D
IN74AC164N,D
IN74AC174N,D
IN74AC175N,D
IN74AC192N,D
IN74AC193N,D
IN74AC240N,DW
IN74AC241N,DW
IN74AC244N,DW
IN74AC245N,DW
IN74AC251N,D
IN74AC253N,D
IN74AC257N,D
IN74AC258N,D
IN74AC273N,DW
IN74AC299N,DW
IN74AC323N,DW
IN74AC373N,DW
IN74AC374N,DW
IN74AC533N,DW
IN74AC534N,DW
IN74AC563N,DW
IN74AC564N,DW
IN74AC573N,DW
IN74AC574N,DW
IN74AC620N,DW
IN74AC623N,DW
IN74AC640N,DW
Pin to Pin
Compatibility
MC74AC00N,D
MC74AC02N,D
MC74AC04N,D
CD74AC05N,D
MC74AC08N,D
MC74AC10N,D
MC74AC11N,D
MC74AC14N,D
CD74AC20N,D
own
own
MC74AC32N,D
own
MC74AC74N,D
MC74AC86N,D
MC74AC109N,D
CD74AC112N,D
T74AC125N,D
MC74AC132N,D
MC74AC138N,D
MC74AC139N,D
MC74AC151N,D
MC74AC153N,D
MC74AC157N,D
MC74AC158N,D
MC74AC161N,D
MC74AC163N,D
CD74AC164N,D
MC74AC174N,D
MC74AC175N,D
MC74AC192N,D
CD74AC193N,D
MC74AC240N,D
MC74AC241N,D
MC74AC244N,D
MC74AC245N,D
MC74AC251N,D
MC74AC253N,D
MC74AC257N,D
MC74AC258N,D
MC74AC273N,D
MC74AC299N,D
CD74AC323N,D
MC74AC373N,D
MC74AC374N,D
MC74AC533N,D
MC74AC534N,D
MC74AC563N,D
MC74AC564N,D
MC74AC573N,D
MC74AC574N,D
MC74AC620N,D
MC74AC623N,D
MC74AC640N,D
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter
Hex Inverter, OC
Quad 2-Input AND Gate
Triple 3-Input Positive-NAND Gate
Triple 3-Input AND Gate
Hex Schmitt-Trigger Inverter
Dual 4-Input NAND Gate
Dual 4-Input AND Gate
Triple 3-Input NOR Gate
Quad 2-Input OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Quad 2-Input Exclusive-OR Gate
Dual J-K Positive-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Quad 3-State Buffer
Quad 2-Input NAND Schmitt-Trigger Inverter
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer, INV
4-Bit Synchronous Binary Counter, Asynchronous Reset
4-Bit Synchronous Binary Counter, Synchronous Reset
8-Bit Serial-In Parallel-Out Shift Register
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Decade Up/Down Counter
4-Bit Synchronous Binary Up/Down Counter
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
8-I Data Selector/Multiplexer (3-State)
Dual 4-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, INV (3-State)
Octal D-Type Flip-Flop
8-Bit Universal Shift/Storage Register (3-State)
8-Bit Universal Shift/Storage Register (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal D-Type Latch, INV (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal D-Type Transparent Latch
Octal Edge-Triggered Flip-Flop
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal Bidirectional Bus Transceiver, INV
Octal Bidirectional Bus Transceiver, NINV
Octal Bus Transceiver (3-State)
38
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74ACXXXXN, D(DW) Series
Part
IN74AC643N,DW
IN74AC651N,DW
IN74AC652N,DW
IN74AC810N,D
IN74AC4006N,D
IN74AC4015N,D
IN74AC4035N,D
IN74AC4520N,D
Pin to Pin
Compatibility
MC74AC643N,D
CD74AC651N,D
own
MC74AC810N,D
own
own
own
own
(continued)
Function
Octal Bus Transceiver (3-State)
Octal Bus Transceiver/Register, INV (3-State)
Octal Bus Transceiver/Register, NINV (3-State)
Quad Exclusive-NOR Gate
18-Bit Static Shift Register
Dual 4-Bit Static Shift Register
4-Bit Parallel-In/Parallel-Out Shift Register
Dual 4-Bit Synchronous Binary Counter
Package
DIP-20, SO-20
DIP-24, SO-24
DIP-24, SO-24
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
• IN74ACTXXXXN, D(DW) Series
Part
IN74ACT00N,D
IN74ACT02N,D
IN74ACT04N,D
IN74ACT05N,D
IN74ACT08N,D
IN74ACT10N,D
IN74ACT11N,D
IN74ACT14N,D
IN74ACT20N,D
IN74ACT21N,D
IN74ACT27N,D
IN74ACT32N,D
IN74ACT34N,D
IN74ACT74N,D
IN74ACT86N,D
IN74ACT109N,D
IN74ACT112N,D
IN74ACT125N,D
IN74ACT132N,D
IN74ACT138N,D
IN74ACT139N,D
IN74ACT151N,D
IN74ACT153N,D
IN74ACT157N,D
IN74ACT158N,D
IN74ACT161N,D
IN74ACT163N,D
IN74ACT164N,D
IN74ACT174N,D
IN74ACT175N,D
IN74ACT192N,D
IN74ACT193N,D
IN74ACT240N,DW
IN74ACT241N,DW
IN74ACT244N,DW
IN74ACT245N,DW
IN74ACT251N,D
IN74ACT253N,D
IN74ACT257N,D
IN74ACT258N,D
IN74ACT273N,DW
IN74ACT299N,DW
Pin to Pin
Compatibility
MC74ACT00N,D
MC74ACT02N,D
CD74ACT04N,D
CD74ACT05N,D
MC74ACT08N,D
MC74ACT10N,D
MC74ACT11N,D
MC74ACT14N,D
CD74ACT20N,D
own
own
MC74ACT32N,D
own
MC74ACT74N,D
MC74ACT86N,D
MC74ACT109N,D
MC74ACT112N,D
own
MC74ACT132N,D
MC74ACT138N,D
MC74ACT139N,D
MC74ACT151N,D
MC74ACT153N,D
MC74ACT157N,D
MC74ACT158N,D
MC74ACT161N,D
MC74ACT163N,D
CD74ACT164N,D
MC74ACT174N,D
CD74ACT175N,D
own
CD74ACT193N,D
MC74ACT240N,D
MC74ACT241N,D
MC74ACT244N,D
MC74ACT245N,D
MC74ACT251N,D
MC74ACT253N,D
MC74ACT257N,D
MC74ACT258N,D
MC74ACT273N,D
MC74ACT299N,D
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter
Hex Inverter, OC
Quad 2-Input AND Gate
Triple 3-Input Positive-NAND Gate
Triple 3-Input AND Gate
Hex Schmitt-Trigger Inverter
Dual 4-Input NAND Gate
Dual 4-Input Positive-AND Gate
Triple 3-Input NOR Gate
Quad 2-Input OR Gate
Hex Non-Inverter
Dual D-Type Flip-Flop
Quad 2-Input Exclusive-OR Gate
Dual J-K Positive-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Quad 3-State Buffer
Quad 2-Input NAND Schmitt-Trigger Inverter
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer, INV
4-Bit Synchronous Binary Counter, Asynchronous Reset
4-Bit Synchronous Binary Counter, Synchronous Reset
8-Bit Serial-In Parallel-Out Shift Register
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Decade Up/Down Counter
4-Bit Synchronous Binary Up/Down Counter
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
8-I Data Selector/Multiplexer (3-State)
Dual 4-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, INV (3-State)
Octal D-Type Flip-Flop
8-Bit Universal Shift/Storage Register (3-State)
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
39
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74ACTXXXXN, D(DW) Series
Part
IN74ACT323N,DW
IN74ACT373N,DW
IN74ACT374N,DW
IN74ACT533N,DW
IN74ACT534N,DW
IN74ACT563N,DW
IN74ACT564N,DW
IN74ACT573N,DW
IN74ACT574N,DW
IN74ACT620N,DW
IN74ACT623N,DW
IN74ACT640N,DW
IN74ACT643N,DW
IN74ACT651N,DW
IN74ACT652N,DW
IN74ACT810N,D
IN74ACT4006N,D
IN74ACT4015N,D
IN74ACT4035N,D
IN74ACT4520N,D
Pin to Pin
Compatibility
MC74ACT323N,D
MC74ACT373N,DW
MC74ACT374N,DW
MC74ACT533N,DW
MC74ACT534N,DW
MC74ACT563N,DW
MC74ACT564N,DW
MC74ACT573N,DW
MC74ACT574N,DW
MC74ACT620N,DW
MC74ACT623N,DW
MC74ACT640N,DW
MC74ACT643N,DW
CD74ACT651D
own
IN74ACT810D
own
own
own
own
(continued)
Function
8-Bit Universal Shift/Storage Register (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal D-Type Latch, INV (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal D-Type Transparent Latch
Octal Edge-Triggered Flip-Flop
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal Bidirectional Bus Transceiver, INV
Octal Bidirectional Bus Transceiver, NINV
Octal Bus Transceiver (3-State)
Octal Bus Transceiver (3-State)
Octal Bus Transceiver/Register, INV (3-State)
Octal Bus Transceiver/Register, NINV (3-State)
Quad Exclusive-NOR Gate
18-Bit Static Shift Register
Dual 4-Bit Static Shift Register
4-Bit Parallel-In/Parallel-Out Shift Register
Dual 4-Bit Synchronous Binary Counter
Package
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-24, SO-24
DIP-24, SO-24
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
• IN74VHCXXXD(DW)
Part
IN74VHC00D
IN74VHC02D
IN74VHC08D
IN74VHC32D
IN74VHC74D
IN74VHC125D
IN74VHC126D
IN74VHC240DW
IN74VHC241DW
IN74VHC244DW
IN74VHC373DW
IN74VHC374DW
Pin to Pin
Compatibility
TC74VHC00D
TC74VHC02D
TC74VHC08D
TC74VHC32D
TC74VHC74D
TC74VHC125D
TC74VHC126D
TC74VHC240D
TC74VHC241D
TC74VHC244D
TC74VHC373D
TC74VHC374D
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter, OC
Quad 2-Input AND Gate
Quad 2-Input OR Gate
Quad 3-State Buffer
Quad 3-State Buffer
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Package
SO-14
SO-14
SO-14
SO-14
SO-14
SO-14
SO-14
SO-20
SO-20
SO-20
SO-20
SO-20
• IN74VHCTXXXD(DW)
Part
IN74VHCT00D
IN74VHCT02D
IN74VHCT08D
IN74VHCT32D
IN74VHCT74D
IN74VHCT125D
IN74VHCT126D
IN74VHCT240DW
IN74VHCT241DW
IN74VHCT244DW
IN74VHCT373DW
IN74VHCT374DW
Pin to Pin
Compatibility
TC74VHCT00D
TC74VHCT02D
TC74VHCT08D
TC74VHCT32D
TC74VHCT74D
TC74VHCT125D
TC74VHCT126D
TC74VHCT240D
TC74VHCT241D
TC74VHCT244D
TC74VHCT373D
TC74VHCT374D
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter, OC
Quad 2-Input AND Gate
Quad 2-Input OR Gate
Quad 3-State Buffer
Quad 3-State Buffer
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
40
SEMICONDUCTOR DEVICES FACTORY
Package
SO-14
SO-14
SO-14
SO-14
SO-14
SO-14
SO-14
SO-20
SO-20
SO-20
SO-20
SO-20
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74HCXXXXAN, D(DW) Series
Part
IN74HC00AN,AD
IN74HC02AN,AD
IN74HC03AN,AD
IN74HC04AN,AD
IN74HC05AN,AD
IN74HC08AN,AD
IN74HC10AN,AD
IN74HC11AN,AD
IN74HC14AN,AD
IN74HC20AN,AD
IN74HC21AN,AD
IN74HC22AN,AD
IN74HC27AN,AD
IN74HC30AN,AD
IN74HC32AN,AD
IN74HC74AN,AD
IN74HC75AN,AD
IN74HC85AN,AD
IN74HC86AN,AD
IN74HC109AN,AD
IN74HC112AN,AD
IN74HC123AN,AD
IN74HC125AN,AD
IN74HC132AN,AD
IN74HC138AN,AD
IN74HC139AN,AD
IN74HC151AN,AD
IN74HC153AN,AD
IN74HC154AN,ADW
IN74HC155AN,AD
IN74HC157AN,AD
IN74HC158AN,AD
IN74HC161AN,AD
IN74HC163AN,AD
IN74HC164AN,AD
IN74HC165AN,AD
IN74HC166AN,AD
IN74HC174AN,AD
IN74HC175AN,AD
IN74HC192AN,AD
IN74HC193AN,AD
IN74HC221AN,AD
IN74HC240AN,ADW
IN74HC241AN,ADW
IN74HC244AN,ADW
IN74HC245AN,ADW
IN74HC251AN,AD
IN74HC253AN,AD
IN74HC257AN,AD
IN74HC258AN,AD
IN74HC273AN,ADW
IN74HC279AN,AD
IN74HC283AN,AD
IN74HC299AN,ADW
IN74HC323AN,ADW
IN74HC365AN,AD
Pin to Pin
Compatibility
MC74HC00AN,AD
MC74HC02AN,AD
MC74HC03AN,AD
MC74HC04AN,AD
SN74HC05AN,AD
MC74HC08AN,AD
MC74HC10AN,AD
MC74HC11AN,AD
MC74HC14AN,AD
MC74HC20AN,AD
MC74HC21AN,AD
MC74HC22AN,AD
MC74HC27AN,AD
MC74HC30AN,AD
MC74HC32AN,AD
MC74HC74AN,AD
MC74HC75AN,AD
MC74HC85AN,AD
MC74HC86AN,AD
MC74HC109AN,AD
MC74HC112AN,AD
MC74HC123AN,AD
MC74HC125AN,AD
MC74HC132AN,AD
MC74HC138AN,AD
MC74HC139AN,AD
MC74HC151AN,AD
MC74HC153AN,AD
MC74HC154AN,ADW
MM74HC155AN,AD
MC74HC157AN,AD
MC74HC158AN,AD
MC74HC161AN,AD
MC74HC163AN,AD
MC74HC164AN,AD
MC74HC165AN,AD
CD74HC166AN,AD
MC74HC174AN,AD
MC74HC175AN,AD
CD74HC192AN,AD
CD74HC193AN,AD
CD74HC221AN,AD
MC74HC240AN,ADW
MC74HC241AN,ADW
MC74HC244AN,AD
MC74HC245AN,AD
MC74HC251AN,AD
MC74HC253AN,AD
MC74HC257AN,AD
CD74HC258AN,AD
MC74HC273AN,AD
MC74HC279AN,AD
CD74HC283AN,AD
MC74HC299AN,AD
MC74HC323AN,AD
MC74HC365AN,AD
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Quad 2-Input NAND Gate, OC
Hex Inverter
Hex Inverter, OC
Quad 2-Input AND Gate
Triple 3-Input NAND Gate
Triple 3-Input AND Gate
Hex Schmitt-Trigger Inverter
Dual 4-Input NAND Gate
Dual 4-Input Positive-AND Gate
Dual 4-Input Positive-NAND Gate, OC
Triple 3-Input Positive-NOR Gate
8-Input Positive-NAND Gate
Quad 2-Input OR Gate
Dual D-Type Flip-Flop
Quad Bistable Latch
4-Bit Magnitude Comparator
Quad 2-Input Exclusive-OR Gate
Dual J-K Positive-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Dual Monostable Multivibrator with Reset
Quad 3-State Buffer
Quad 2-Input NAND Schmitt-Trigger Inverter
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
4-16 Decoder/Demultiplexer (3-State)
Dual 2-4 Decoder/Demultiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer, INV
4-Bit Synchronous Binary Counter, Asynchronous Reset
4-Bit Synchronous Binary Counter, Synchronous Reset
8-Bit Serial-In Parallel-Out Shift Register
8-Bit Parallel-in Serial-Out Shift Register
8-Bit Parallel-in Serial-Out Shift Register
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
Synchronous Decade Up/Down Counter
4-Bit Synchronous Binary Up/Down Counter
Dual Monostable Multivibrator with Reset
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
8-I Data Selector/Multiplexer (3-State)
Dual 4-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, INV (3-State)
Octal D-Type Flip-Flop
Quad Set/Reset Latch
4-Bit Full Adder
8-Bit Universal Shift/Storage Register (3-State)
8-Bit Universal Shift/Storage Register (3-State)
Hex Buffer/Line Driver (3-State)
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-24, SO-24
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
41
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74HCXXXXAN, D(DW) Series
Part
IN74HC367AN,AD
IN74HC373AN,ADW
IN74HC374AN,ADW
IN74HC393AN,AD
IN74HC533AN,ADW
IN74HC534AN,ADW
IN74HC573AN,ADW
IN74HC574AN,ADW
IN74HC595AN,AD
IN74HC597AN,AD
IN74HC620AN,ADW
IN74HC623AN,ADW
IN74HC640AN,ADW
IN74HC651AN,ADW
IN74HC652AN,ADW
IN74HC874AN,ADW
IN74HC4015AN,AD
IN74HC4046AN,AD
IN74HC4051AN,AD
IN74HC4052AN,AD
IN74HC4053AN,AD
IN74HC4094AN,AD
Pin to Pin
Compatibility
MC74HC367AN,AD
MC74HC373AN,AD
MC74HC374AN,AD
MC74HC393AN,AD
MC74HC533AN,AD
MC74HC534AN,AD
MC74HC573AN,AD
MC74HC574AN,AD
MC74HC595AN,AD
MC74HC597AN,AD
SN74HC620AN,AD
SN74HC623AN,AD
MC74HC640AN,AD
CD74HC651AN,AD
CD74HC652AN,AD
own
MC74HC4015AN,AD
MC74HC4046AN,AD
MC74HC4051AN,AD
MC74HC4052AN,AD
MC74HC4053AN,AD
CD74HC4094AN,AD
(continued)
Function
Hex Buffer/Line Driver (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Dual 4-Bit Binary Counter
Octal D-Type Latch, INV (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
8-Bit Shift Register with Output Latch
8-Bit Shift Register with Input Latch
Octal Bidirectional Bus Transceiver, INV
Octal Bidirectional Bus Transceiver, NINV
Octal Bus Transceiver (3-State)
Octal Bus Transceiver/Register (3-State)
Octal Bus Transceiver/Register, NINV (3-State)
Dual 4-Bit D-Type Flip Flop
Dual 4-Bit Static Shift Register
Phase-Locked Loop
8-Channel Analog Multiplexer/Demultiplexer
Dual 4-Channel Analog Multiplexer/Demultiplexer
Triple 2-Channel Analog Multiplexer/Demultiplexer
8-Bit Shift and Bus Register
Package
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-14, SO-14
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-24, SO-24
DIP-24, SO-24
DIP-24, SO-24
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
• IN74HCTXXXAN, D(DW) Series
Pin to Pin
Compatibility
IN74HCT00AN,AD
MC74HCT00AN,AD
IN74HCT02AN,AD
MC74HCT02AN,AD
IN74HCT04AN,AD
MC74HCT04AN,AD
IN74HCT08AN,AD
MC74HCT08AN,AD
IN74HCT10AN,AD
MC74HCT10AN,AD
IN74HCT14AN,AD
MC74HCT14AN,AD
IN74HCT20AN,AD
MC74HCT20AN,AD
IN74HCT27AN,AD
MC74HCT27AN,AD
IN74HCT30AN,AD
MC74HCT30AN,AD
IN74HCT32AN,AD
MC74HCT32AN,AD
IN74HCT74AN,AD
MC74HCT74AN,AD
IN74HCT85AN,AD
MC74HCT85AN,AD
IN74HCT86AN,AD
MC74HCT86AN,AD
IN74HCT125AN,AD
MC74HCT125AN,AD
IN74HCT126AN,AD
MC74HCT126AN,AD
IN74HCT132AN,AD
MC74HCT132AN,AD
IN74HCT138AN,AD
MC74HCT138AN,AD
IN74HCT139AN,AD
MC74HCT139AN,AD
IN74HCT151AN,AD
MC74HCT151AN,AD
IN74HCT153AN,AD
MC74HCT153AN,AD
IN74HCT155AN,AD
MM74HCT155AN,AD
IN74HCT157AN,AD
MC74HCT157AN,AD
IN74HCT163AN,AD
MC74HCT163AN,AD
IN74HCT164AN,AD
MC74HCT164AN,AD
IN74HCT165AN,AD
MC74HCT165AN,AD
IN74HCT174AN,AD
MC74HCT174AN,AD
IN74HCT240AN,ADW MC74HCT240AN,AD
Part
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter
Quad 2-Input AND Gate
Triple 3-Input NAND Gate
Hex Schmitt-Trigger Inverter
Dual 4-Input NAND Gate
Triple 3-Input Positive-NOR Gate
8-Input Positive-NAND Gate
Quad 2-Input OR Gate
Dual D-Type Flip-Flop
4-But Magnitude Comparator
Quad 2-Input Exclusive-OR Gate
Quad 3-State Buffer
Quad 3-State Buffer
Quad 2-Input NAND Schmitt-Trigger Inverter
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
Dual 2-4 Decoder/Demultiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Synchronous Binary Counter, Synchronous Reset
8-Bit Serial-in Parallel-Out Shift Register
8-Bit Parallel-in Serial-Out Shift Register
Hex D-Type Flip-Flop
Octal Buffer/Line Driver, INV (3-State)
42
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74HCTXXXXAN, D(DW) Series
Part
IN74HCT241AN,ADW
IN74HCT244AN,ADW
IN74HCT245AN,ADW
IN74HCT251AN,AD
IN74HCT273AN,ADW
IN74HCT283AN,AD
IN74HCT299AN,ADW
IN74HCT323AN,ADW
IN74HCT373AN,ADW
IN74HCT374AN,ADW
IN74HCT573AN,ADW
IN74HCT574AN,ADW
IN74HCT620AN,ADW
IN74HCT623AN,ADW
IN74HCT640AN,ADW
IN74HCT874AN,ADW
Pin to Pin
Compatibility
MC74HCT241AN,AD
MC74HCT244AN,AD
MC74HCT245AN,AD
MC74HCT251AN,AD
MC74HCT273AN,AD
CD74HCT283AN,AD
MC74HCT299AN,AD
MC74HCT323AN,AD
MC74HCT373AN,AD
MC74HCT374AN,AD
MC74HCT573AN,AD
MC74HCT574AN,AD
SN74HCT620AN,AD
own
own
MC74HCT874AN,AD
(continued)
Function
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
8-1 Data Selector/Multiplexer, INV (3-State)
Octal D-Type Flip-Flop
4-Bit Adder
8-Bit Universal Shift/Storage Register (3-State)
8-Bit Universal Shift/Storage Register (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal Bidirectional Bus Transceiver, INV
Octal Bidirectional Bus Transceiver, NINV
Octal Bus Transceiver (3-State)
Dual 4-Bit D-Type Flip-Flop
Package
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-20, SO-20
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-24, SO-24
• IN74LVXXXN, D(DW) Series
Part
IN74LV00N,D
IN74LV02N,D
IN74LV04N,D
IN74LVU04N,D
IN74LV08N,D
IN74LV14N,D
IN74LV32N,D
IN74LV74N,D
IN74LV86N,D
IN74LV138N,D
IN74LV139N,D
IN74LV164N,D
IN74LV174N,D
IN74LV240N,DW
IN74LV241N,DW
IN74LV244N,DW
IN74LV245N,DW
IN74LV273N,DW
IN74LV373N,DW
IN74LV374N,DW
IN74LV573N,DW
IN74LV574N,DW
IN74LV620N,DW
IN74LV623N,DW
IN74LV640N,DW
Pin to Pin
Compatibility
74LV00N,D
74LV02N,D
74LV04N,D
74LVU04N,D
74LV08N,D
74LV14N,D
74LV32N,D
74LV74N,D
74LV86N,D
74LV138N,D
74LV139N,D
74LV164N,D
74LV174N,D
74LV240N,D
74LV241N,D
74LV244N,D
74LV245N,D
74LV273N,D
74LV373N,D
74LV374N,D
74LV573N,D
74LV574N,D
74LV620N,D
74LV623N,D
74LV640N,D
Function
Quad 2-Input NAND Gate
Quad 2-Input NOR Gate
Hex Inverter
Hex Inverter
Quad 2-Input AND Gate
Hex Schmitt-Trigger Inverter
Quad 2-Input OR Gate
Dual D-Type Flip-Flop
Quad 2-Input Exclusive-OR Gate
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-Bit Serial-In Parallel-Out Shift Register
Hex D-Type Flip-Flop
Octal Buffer/line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
Octal D-Type Flip-Flop
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop, NINV (3-State)
Octal Bidirectional Bus Transceiver, INV
Octal Bidirectional Bus Transceiver, NINV
Octal Bus Transceiver (3-State)
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
43
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74XXXN, D Series
Part
IN7401N
IN7406N,D
IN7420N
IN7450N
IN7472N
IN74141N
IN74145N
IN74154N
IN74175N
Pin to Pin
Compatibility
SN7401N
SN7406N,D
SN7420N
SN7450N
SN7472N
SN74141N
SN74145N
SN74154N
SN74175N
Function
Quad 2-Input NAND Gate, OC
Hex Inverter/Buffer with High-Voltage Output, OC
Dual 4-Input NAND Gate
Dual 2-Wide 2-Input AND-OR-Invert Gate
J-K Flip-Flop
BCD-to-Decimal Decoder/Driver
BCD-to-Decimal Decoder, OC
4-16 Decoder/Demultiplexer
Quad D-Type Flip-Flop
Package
DIP-14
DIP-14, SO-14
DIP-14
DIP-14
DIP-14
DIP-16
DIP-16
DIP-24
DIP-16
• IN74LSXXXN, D(DW) Series
Part
IN74LS04N,D
IN74LS05N,D
IN74LS06N,D
IN74LS07N,D
IN74LS14N,D
IN74LS86N,D
IN74LS138N,D
IN74LS157N,D
IN74LS161AN,AD
IN74LS164N,D
IN74LS244N,DW
IN74LS245N,DW
Pin to Pin
Compatibility
CD74LS04N,D
CD74LS05N,D
CD74LS06N,D
CD74LS07N,D
CD74LS14N,D
CD74LS86N,D
CD74LS138N,D
CD74LS157N,D
CD74LS161AN,AD
CD74LS164N,D
CD74LS244N,DW
CD74LS245N,DW
Function
Hex Inverter
Hex Inverter, OC
Hex Inverter/Buffer with High-Voltage Output, OC
Hex Buffer with High-Voltage Output, OC, 30 V
Hex Schmitt-Trigger Inverter
Quad 2-Input Exclusive-OR Gate
3-8 Decoder/Demultiplexer
Quad 2-1 Data Selector/Multiplexer
4-Bit Binary Counter
8-Bit Parallel-Out Shift Register
Octal Buffer/Line Driver, NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-20, SO-20
DIP-20, SO-20
• IN74ALSXXXXN, D(DW) Series
Part
IN74ALS00AN,AD
IN74ALS01N,D
IN74ALS02N,D
IN74ALS03AN,AD
IN74ALS04AN,AD
IN74ALS05AN,AD
IN74ALS08N,D
IN74ALS09N,D
IN74ALS10AN,AD
IN74ALS11AN,AD
IN74ALS12AN,AD
IN74ALS14N,D
IN74ALS15AN,AD
IN74ALS20AN,AD
IN74ALS21N,AD
IN74ALS22BN,BD
IN74ALS27N,D
IN74ALS30AN,AD
IN74ALS32N,D
IN74ALS33AN,AD
Pin to Pin
Compatibility
SN74ALS00AN,AD
SN74ALS01N,D
SN74ALS02N,D
SN74ALS03AN,AD
SN74ALS04AN,AD
SN74ALS05AN,AD
SN74ALS08N,D
SN74ALS09N,D
SN74ALS10AN,AD
SN74ALS11AN,AD
SN74ALS12AN,AD
SN74ALS14N,D
SN74ALS15AN,AD
SN74ALS20AN,AD
SN74ALS21N,AD
SN74ALS22BN,BD
SN74ALS27N,D
SN74ALS30AN,AD
SN74ALS32N,D
SN74ALS33AN,AD
Function
Quad 2-Input Positive-NAND Gate
Quad 2-Input Positive-NAND Gate, OC
Quad 2-Input Positive-NOR Gate
Quad 2-Input Positive-NAND Gate, OC
Hex Inverter
Hex Inverter, OC
Quad 2-Input Positive-AND Gate
Quad 2-Input Positive-AND Gate, OC
Triple 3-Input Positive-NAND Gate
Triple 3-Input Positive-AND Gate
Triple 3-Input Positive-NAND Gate, OC
Hex Schmitt-Trigger Inverter
Triple 3-Input Positive-AND Gate, OC
Dual 4-Input Positive-NAND Gate
Dual 4-Input Positive-AND Gate
Dual 4-Input Positive-NAND Gate, OC
Triple 3-Input Positive-NOR Gate
8-Input Positive-NAND Gate
Quad 2-Input Positive-OR Gate
Quad 2-Input Positive-NOR Buffer, OC
44
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74ALSXXXXN, D(DW) Series
Part
IN74ALS51N,D
IN74ALS54N,D
IN74ALS55N,D
IN74ALS74AN,AD
IN74ALS75N,D
IN74ALS85N,D
IN74ALS86N,D
IN74ALS90N,D
IN74ALS93N,D
IN74ALS107N,D
IN74ALS109N,D
IN74ALS112AN,AD
IN74ALS113AN,AD
IN74ALS114AN,AD
IN74ALS123N,D
IN74ALS125N,D
IN74ALS136N,D
IN74ALS138N,D
IN74ALS139N,D
IN74ALS151N,D
IN74ALS153N,D
IN74ALS154N,DW
IN74ALS155N,D
IN74ALS157N,D
IN74ALS158N,D
IN74ALS160AN,AD
IN74ALS161AN,AD
IN74ALS162AN,AD
IN74ALS163AN,AD
IN74ALS164N,D
IN74ALS165N,D
IN74ALS166N,D
IN74ALS170N,D
IN74ALS173AN,AD
IN74ALS174N,D
IN74ALS175N,D
IN74ALS181N,DW
IN74ALS182N,D
IN74ALS190N,D
IN74ALS191N,D
IN74ALS192N,D
IN74ALS193N,D
IN74ALS240AN,ADW
IN74ALS241AN,ADW
IN74ALS242AN,AD
IN74ALS243AN,AD
IN74ALS244AN,ADW
IN74ALS245AN,ADW
IN74ALS251N,D
IN74ALS253N,D
IN74ALS257AN,AD
IN74ALS258AN,AD
IN74ALS259N,D
IN74ALS273N,DW
IN74ALS279N,D
IN74ALS280N,D
Pin to Pin
Compatibility
SN74ALS51N,D
SN74ALS54N,D
SN74ALS55N,D
SN74ALS74AN,AD
SN74ALS75N,D
SN74ALS85N,D
SN74ALS86N,D
SN74ALS90N,D
SN74ALS93N,D
SN74ALS107N,D
SN74ALS109N,D
SN74ALS112AN,AD
SN74ALS113AN,AD
SN74ALS114AN,AD
SN74ALS123N,D
SN74ALS125N,D
SN74ALS136N,D
SN74ALS138N,D
SN74ALS139N,D
SN74ALS151N,D
SN74ALS153N,D
SN74ALS154N,D
SN74ALS155N,D
SN74ALS157N,D
SN74ALS158N,D
SN74ALS160AN,AD
SN74ALS161AN,AD
SN74ALS162AN,AD
SN74ALS163AN,AD
SN74ALS164N,D
SN74ALS165N,D
SN74ALS166N,D
SN74ALS170N,D
SN74ALS173AN,AD
SN74ALS174N,D
SN74ALS175N,D
SN74ALS181N,D
SN74ALS182N,D
SN74ALS190N,D
SN74ALS191N,D
SN74ALS192N,D
SN74ALS193N,D
SN74ALS240AN,AD
SN74ALS241AN,AD
SN74ALS242AN,AD
SN74ALS243AN,AD
SN74ALS244AN,AD
SN74ALS245AN,AD
SN74ALS251N,D
SN74ALS253N,D
SN74ALS257AN,AD
SN74ALS258AN,AD
SN74ALS259N,D
SN74ALS273N,D
SN74ALS279N,D
SN74ALS280N,D
(continued)
Function
AND-OR-Invert Gate
4-Wide AND-OR-Invert Gate
2-Wide 4-Input AND-OR-Invert Gate
Dual D-Type Flip-Flop
Quad Bistable Latch
4-Bit Magnitude Comparator
Quad 2-Input Exclusive-OR Gate
4-Bit Decade Counter
4-Bit Binary Counter
Dual J-K Flip-Flop with Clear
Dual J-K Positive-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Dual J-K Negative-Edge-Triggered Flip-Flop
Dual Monostable Multivibrator with Reset
Quad 3-State Buffer
Quad 2-Input Exclusive-OR Gate, OC
3-8 Decoder/Demultiplexer
Dual 2-4 Decoder/Demultiplexer
8-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer
4-16 Decoder/Demultiplexer (3-State)
Dual 2-4 Decoder/Demultiplexer
Quad 2-1 Data Selector/Multiplexer
Quad 2-1 Data Selector/Multiplexer, INV
Synchronous Decade Counter, Asynchronous Reset
4-Bit Synchronous Binary Counter, Asynchronous Reset
Synchronous Decade Counter, Synchronous Reset
4-Bit Synchronous Binary Counter, Synchronous Reset
8-Bit Serial-In Parallel-Out Shift Register
8-Bit Parallel-in Serial-Out Shift Register
8-Bit Parallel-in Serial-Out Shift Register
4-by-4 Register File, OC
4-Bit D-Type Register (3-State)
Hex D-Type Flip-Flop
Quad D-Type Flip-Flop
4-Bit Arithmetic Logic Unit
Look-Ahead Carry Generator
Synchronous Decade Up/Down Counter
4-Bit Synchronous Binary Up/Down Counter
Synchronous Decade Up/Down Counter
4-Bit Synchronous Binary Up/Down Counter
Octal Buffer/Line Driver, INV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Quad Bus Transceiver, INV (3-State)
Quad Bus Transceiver, NINV (3-State)
Octal Buffer/Line Driver, NINV (3-State)
Octal Bus Transceiver, NINV (3-State)
8-1 Data Selector/Multiplexer, INV (3-State)
Dual 4-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, NINV (3-State)
Quad 2-1 Data Selector/Multiplexer, INV (3-State)
8-Bit Addressable Latch
Octal D-Type Flip-Flop
Quad Set/Reset Latch
9-Bit Odd/Even Parity Generator/Checker
Package
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-14, SO-14
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-24, SO-24
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-14, SO-14
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-24, SO-24
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-14, SO-14
DIP-14, SO-14
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-16, SO-16
DIP-14, SO-14
45
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• IN74ALSXXXXN, D(DW) Series
Part
IN74ALS295BN,BD
IN74ALS298N,D
IN74ALS299N,DW
IN74ALS323N,DW
IN74ALS352N,D
IN74ALS353N,D
IN74ALS368N,D
IN74ALS373N,DW
IN74ALS374AN,ADW
IN74ALS377AN,ADW
IN74ALS393N,D
IN74ALS465AN,ADW
IN74ALS466AN,ADW
IN74ALS573N,DW
IN74ALS574N,DW
IN74ALS640BN,BDW
IN74ALS643AN,ADW
IN74ALS670N,D
IN74ALS873N,DW
IN74ALS874N,DW
IN74ALS1000AN,AD
IN74ALS1002AN,AD
IN74ALS1003AN,AD
IN74ALS1004N,D
IN74ALS1005N,D
IN74ALS1008AN,AD
IN74ALS1010AN,AD
IN74ALS1011AN,AD
IN74ALS1020AN,AD
IN74ALS1032AN,AD
IN74ALS1034N,D
IN74ALS1035N,D
Pin to Pin
Compatibility
SN74ALS295BN,BD
SN74ALS298N,D
SN74ALS299N,D
SN74ALS323N,D
SN74ALS352N,D
SN74ALS353N,D
SN74ALS368N,D
SN74ALS373N,D
SN74ALS374AN,AD
SN74ALS377AN,AD
SN74ALS393N,D
SN74ALS465AN,AD
SN74ALS466AN,AD
SN74ALS573N,D
SN74ALS574N,D
SN74ALS640BN,BD
SN74ALS643AN,AD
SN74ALS670N,D
SN74ALS873N,D
SN74ALS874N,D
SN74ALS1000AN,AD
SN74ALS1002AN,AD
SN74ALS1003AN,AD
SN74ALS1004N,D
SN74ALS1005N,D
SN74ALS1008AN,AD
SN74ALS1010AN,AD
SN74ALS1011AN,AD
SN74ALS1020AN,AD
SN74ALS1032AN,AD
SN74ALS1034N,D
SN74ALS1035N,D
(continued)
Function
4-Bit Universal Shift Register
Quad 2-1 Data Selector/Multiplexer
8-Bit Universal Shift/Storage Register (3-State)
8-Bit Universal Shift/Storage Register (3-State)
Dual 4-1 Data Selector/Multiplexer
Dual 4-1 Data Selector/Multiplexer (3-State)
Hex Bus Driver (3-State)
Octal D-Type Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal D-Type Flip-Flop with Enable
Dual 4-Bit Binary Counter
Octal Buffer, NINV (3-State)
Octal Buffer, INV (3-State)
Octal Transparent Latch (3-State)
Octal D-Type Flip-Flop (3-State)
Octal Bus Transceiver (3-State)
Octal Bus Transceiver (3-State)
4-by-4 Register File (3-State)
Dual 4-Bit D-Type Latch (3-State)
Dual 4-Bit D-Type Flip-Flop
Quad 2-Input Positive-NAND Buffer
Quad 2-Input Positive-NOR Buffer
Quad 2-Input Positive-NAND Buffer, OC
Hex Inverting Driver
Hex Inverting Buffer, OC
Quad 2-Input Positive-NAND Buffer
Triple 3-Input Positive-NAND Buffer
Triple 3-Input Positive-AND Buffer
Dual 4-Input Positive-NAND Buffer
Quad 2-Input Positive-OR Buffer/Driver
Hex Driver
Hex Noninverting Buffer, OC
46
SEMICONDUCTOR DEVICES FACTORY
Package
DIP-14, SO-14
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-16, SO-16
DIP-16, SO-16
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-14, SO-14
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-20, SO-20
DIP-16, SO-16
DIP-24, SO-24
DIP-24, SO-24
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
DIP-14, SO-14
INTEGRATED CIRCUITS
Standard Digital Logic IC
• FUNCTIONAL SELECTION
GATES
Function
Positive-NAND Gates
8-Input
Dual 4-Input
Triple 3-Input
Quad 2-Input
Positive-NAND Gates, OC
Dual 4-Input
Triple 3-Input
Quad 2-Input
Positive-AND Gates, OC
Triple 3-Input
Quad 2-Input
Positive-AND Gates
Dual 4-Input
Triple 3-Input
Quad 2-Input
Positive-OR Gates
Quad 2-Input
Positive-NOR Gates
Triple 3-Input
Quad 2-Input
Exclusive-OR Gates
Quad 2-Input
Quad 2-Input, OC
AND-OR Gates
2-Wide 4-Input
4-Wide 2-3-3-2 Input
Dual 2-Wide 2-Input
Expandable Gates
Dual 2-Wide AND-ORInvert
4-Wide AND-OR-Invert
Dual 4-Input Expander
Part
30
20
40
1020
10
1010
00
132
1000
Technology
74AC
74ACT
х
74VHC
74HC
74HCT
х
x
x
х
х
x
х
x
х
х
х
х
х
x
x
х
x
x
74VHCT
x
х
22
12
01
03
1003
Pins
74LV
74
74LS
х
х
x
х
x
x
15
09
х
х
х
х
х
x
х
х
x
x
x
х
x
x
32
1032
x
x
x
x
x
x
x
27
02
33
1002
х
x
х
x
x
x
x
х
х
x
x
86
810
136
х
x
х
x
x
x
x
x
x
x
55
54
51
x
50
53
60
x
14
14
14
14
14
14
14
14
14
х
х
х
х
х
14
14
14
14
14
х
х
14
14
х
х
х
х
x
14
14
14
14
14
х
x
14
14
х
х
х
х
14
14
14
14
х
х
14
14
14
х
х
х
14
14
14
х
х
х
х
x
21
11
1011
08
1008
74ALS
14
14
14
47
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• FUNCTIONAL SELECTION
(continued)
HEX INVERTERS/NONINVERTERS
Function
Hex Inverters
Hex Noninverters
Part
04
U04
05
06
14
16
1004
1005
34
Technology
74AC
74ACT
х
х
74VHC
74VHCT
Pins
74HC
74HCT
74LV
х
х
х
х
х
х
х
74
x
х
х
x
x
x
74LS
74ALS
х
х
х
х
x
х
х
х
x
х
x
14
14
14
14
14
14
14
14
14
DRIVERS AND BUS TRANSCEIVERS
Function
Part
Technology
74AC
74ACT
74VHC
74VHCT
74HC
74HCT
Pins
74LV
Hex Drivers
Hex Drivers
07
1034
1035
Noninverting Hex
365
Buffers/Drivers
367
368
Drivers with 3-State Outputs
Quad Buffer Drivers
125
126
Octal Buffer/Drivers,
241
NINV
244
465
Octal Buffer Drivers,
240
INV
466
Bus Transceivers with 3-State Outputs
Quad Transceiver, NINV
243
Quad Transceiver, INV
242
Octal Transceiver
245
620
640
643
Octal Bus Transceivers
651
with Registers
652
True Output Transceiver
623
50/75-Ohm Line Drivers
Quad 2-Input Positive128
NOR
74
74LS
74ALS
x
x
x
х
x
x
х
x
х
х
x
x
x
x
x
x
x
x
х
х
х
х
х
х
х
х
х
х
х
x
x
x
x
x
x
x
x
x
х
х
x
х
х
х
x
x
х
х
x
х
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
14
14
20
20
20
x
x
20
20
x
x
x
14
14
20
20
20
20
24
24
20
x
x
14
48
SEMICONDUCTOR DEVICES FACTORY
x
14
14
14
16
16
16
INTEGRATED CIRCUITS
Standard Digital Logic IC
• FUNCTIONAL SELECTION
(continued)
FLIP-FLOPS
Function
Part
Dual and Single Flip-Flops
Single J-K
72
Dual J-K Edge107
Triggered
109
112
113
114
Dual D-Type
74
Quad and Hex Flip-Flops
Quad D-Type
175
Hex D-Type
174
Quad J-K
279
D-Type Flip-Flops
Octal (3-State)
374
574
Octal with Clear
273
Dual 4-Bit with Clear
874
Octal with Enable
377
Octal Inverting (3-State)
534
564
Technology
74AC
74ACT
74VHC
74VHCT
74HC
74HCT
74LV
74
74LS
74ALS
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
х
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
х
Pins
x
x
x
x
x
x
14
14
16
16
14
14
14
х
х
x
16
16
16
x
x
x
x
x
20
20
20
24
20
20
x
LATCHES AND MULTIVIBRATORS
Function
Latches
4-Bit Bistable
Quad Set/Reset
Transparent (3-State)
Dual 4-Bit Transparent
(3-State)
Inverting Transparent
8-Bit Addressable
Multivibrators
Dual Monostable with
Clear
Part
75
279
373
573
873
533
563
259
Technology
74AC
74ACT
x
x
x
x
x
x
x
x
74VHC
x
74VHCT
x
74HC
74HCT
x
x
x
x
x
x
x
74LV
x
x
74
74LS
74ALS
x
x
x
x
x
16
16
20
20
24
x
20
20
16
x
16
x
123
x
221
x
Pins
20
49
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Standard Digital Logic IC
• FUNCTIONAL SELECTION
(continued)
REGISTERS
Function
Part
Technology
74AC
74ACT
x
x
x
x
x
x
x
x
x
х
x
x
74VHC
74VHCT
74HC
74HCT
x
x
x
x
x
x
x
x
x
x
x
74LV
74
74LS
74ALS
Shift Registers
8-Bit Universal
198
299
323
4-Bit Parallel-in/
295
Parallel-out
4035
8-Bit Serial-in Parallel-Out
164
8-Bit Parallel-in Serial-Out
165
166
Dual 4-Bit Static
4015
8-Bit Shift and Store
4094
4006
Shift Registers with Latches
Serial-in Parallel-Out with
595
Output Latches
Parallel-in Serial-Out with
597
Input Latches
Register Files
4-by-4, OC (3-State)
170
670
Other Registers
4-Bit D-Type Register
173
(3-State)
x
x
x
x
x
x
x
x
Pins
24
20
20
14
16
14
16
16
16
16
14
х
16
x
16
x
x
16
16
x
16
COUNTERS
Function
Part
Synchronous Counters
4-Bit Decade,
160
Asynchronous Reset
162
Decade Up/Down
190
192
4-Bit Binary,
161
Asynchronous Reset
163
4-Bit Binary Up/Down
191
193
Asynchronous Counters
4-Bit Decade
90
4-Bit Binary
93
Dual 4-Bit Binary
393
4520
Technology
74AC
74ACT
74VHC
74VHCT
74HC
74HCT
74LV
74
74LS
74ALS
x
x
x
x
x
x
x
х
х
x
x
х
x
x
x
x
x
x
x
x
x
х
x
x
x
x
50
SEMICONDUCTOR DEVICES FACTORY
x
x
Pins
16
16
16
16
16
16
16
16
14
14
14
16
INTEGRATED CIRCUITS
Standard Digital Logic IC
• FUNCTIONAL SELECTION
(continued)
DECODERS, DATA SELECTORS/MULTIPLEXERS
Function
Part
Data Selectors/Multiplexers
Quad 2-1
157
158
298
257
258
Dual 4-1
153
253
352
353
8-1
151
152
251
16-1
150
Analog Multiplexers/Demultiplexers
8-Channel
4051
Dual 4-Channel
4052
Triple 2-Channel
4053
Decoders
Dual 2-4
139
155
3-8
138
4-16
154
BCD-to-Decimal
141
145
Digital Loops
Phase-Lock Loop
4046
Technology
74AC
74ACT
x
x
74VHC
74VHCT
74HC
74HCT
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
74LV
74
74LS
74ALS
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
Pins
16
16
16
16
16
16
16
16
16
16
14
16
24
16
16
16
x
x
x
x
x
x
x
x
x
x
x
x
x
x
16
16
16
24
16
16
16
COMPARATORS AND ERROR DETECTION CIRCUITS
Function
Part
Technology
74AC
74ACT
74VHC
74VHCT
Comparators
4-Bit Magnitude
85
Comparator
Parity Generators/Checkers
8-Bit Odd/Even Parity
180
9-Bit Odd/Even Parity
280
74HC
74HCT
x
x
74LV
74
74LS
74ALS
Pins
x
16
x
14
14
ARITHMETIC CIRCUITS
Function
4-Bit Arithmetic Logic Unit
Look-Ahead Carry
Generator
4-Bit Full Adder
Part
Technology
74AC
74ACT
74VHC
74VHCT
74HC
74HCT
74LV
74
74LS
74ALS
x
x
181
182
x
283
x
Pins
24
16
16
51
SEMICONDUCTOR DEVICES FACTORY
TTL
SEMICONDUCTOR DEVICES FACTORY
52
-1600
-0.4
16
Low-Level Input
Current, IIL
High-Level
Output Current,
IOH
Low-Level
Output Current,
IOL
DC Noise
Margin, DCM
40
High-Level Input
Current, IIH
-0.3
+0.3
0.05
-1
+1
0.1
-1
+1
0.1
VCC-0.1
0.8
2
-45…+85
5±10%
74ACT
1.25/1.25
1.5 at
1.0 at
VDD=5 V
3.0 at
VDD=5 V
0.4/0.4 0.3/0.7 0.3/0.7
1.0 at
VDD=10 V
4.0 at
VDD=10 V
VDD=15 V
0.7/2.4
24 at
V0=0.4 V
-0.25 at
-4.2 at
-24 at
-24 at
V0=4.5 V V0=2.5 V
V0=VCC-0.8 V0=VCC-0.8
VDD=5.0 V VDD=5.0 V
-1
+1
0.05
VCC-0.1
24 at
V0=0.4 V
8
-0.4
-100
20
0.5
VDD-0.05
0.5 at
0.88 at
V0=0.5 V V0=0.4 V
VDD=5.0 V VDD=5.0 V
8
-0.4
-400
20
0.5
VDD-1
0.3хVCC
0.4
VCC-2
0.3хVDD
0.7хVDD
Low-Level
Output Voltage,
VOL (max)
VCC-2
0.2хVDD
0.8хVDD
2.4
0.8
2
High-Level
Output Voltage,
VOH (min)
0.8
2
0.8
2…6
74AC
Low -Level Input
Voltage,
VIL (max)
3…18
4000B
0.7хVCC
3…15
4000A
2
5±10%
74ALS
High -Level Input
Voltage, VIH (min)
5±5%
74LS
-45…+85
5±5%
74
Operating
-10…+70 0…+70 -10…+70 -45…+85 -55…+125
Temperature, TA
Supply Voltage
Range, VCC(VDD)
Parameters
DC Characteristics (Max)
• FAMILY CHARACTERISTICS
CMOS
5±5%
74VHCT
2…6
74HC
1.25/1.25
8
-8
-1
+1
0.44
3.8
0.3хVCC
0.7хVCC
0.7/2.4
8
-8
-1
+1
0.44
3.8
0.8
2
1.25/1.25
4 at
V0=0.4 V
1.0…5.5
74LV
-1
+1
0.1
VCC-0.1
0.8
2
0.7/2.4
4 at
V0=0.4 V
0.8/0.8
16
-16
-1
+1
0.2
VCC-0.2
0.3хVCC
0.7хVCC
-55…+125 -40…+125
5±10%
74HCT
-4 at
-4 at
V0=VCC-0.8 V0=VCC-0.8
-1
+1
0.1
VCC-0.1
0.3хVCC
0.7хVCC
-40…+85 -40…+85 -55…+125
2…5.5
74VHC
V
mA
mA
μA
μA
V
V
V
V
°C
V
Units
INTEGRATED CIRCUITS
Standard Digital Logic IC
10
Propagation
Delay Time,
TP
E
L
B
I
T
A
P
M
O
C
VOL/VOH
Outputs
VIL/VIH
Inputs
7
2
0.4
74LS
TTL
TTL
TTL
TTL
TTL
35
40
CL=15
CL=15 pF
pF
10
Power Supply
for Gate, PG
Clock
Frequency,
Fmax
3.4
74
Supply
Current for
Gate, IG
Parameters
TTL
TTL
45
CL=50 pF
5
1
0.2
74ALS
DC Characteristics (Type)
TTL,
CMOS
TTL,
CMOS
CMOS
5 at
VDD=5 V
10 at
VDD=10 V
14 at
VDD=15 V
CL=50 pF
5 at
VDD=5 V
10 at
VDD=10 V
CL=15 pF
CMOS
5
40 at
VDD=5 V
20 at
VDD=10 V
15 at
VDD=15 V
40 at
VDD=5 V
20 at
VDD=10 V
TTL,
CMOS
CMOS
140
CL=50 pF
0.0025
0.0005
74AC
0.0001
0.0001
4000B
0.0025
0.0004
4000A
• FAMILY CHARACTERISTICS
TTL,
CMOS
TTL,
CMOS
140
CL=50 pF
5
0.0025
0.0005
74ACT
TTL,
CMOS
TTL at
VO=3.3V,
CMOS
115
CL=50 pF
170
CL=15 pF
5.3
0.0025
0.0005
74VHC
CMOS
TTL
TTL,
CMOS
140
CL=50 pF
160
CL=15 pF
5.5
0.0025
0.0005
74VHCT
TTL,
CMOS
CMOS
30
CL=50 pF
8
0.001
0.0005
74HC
TTL,
CMOS
TTL,
CMOS
30
CL=50 pF
8
0.001
0.0005
74HCT
TTL,
CMOS
CMOS
40
CL=50 pF
10
0.001
0.0005
74LV
-
-
МHz
ns
mW
mA
Units
INTEGRATED CIRCUITS
Standard Digital Logic IC
53
SEMICONDUCTOR DEVICES FACTORY
SEMICONDUCTOR DEVICES FACTORY
Counter
FlipFlop,
D-Type
Propagation
Delay,
tPHL/tPHL
(Clock to Q)
54
Propagation
Delay,
tPHL/tPHL
(Clock to Q)
Max
Type
Max
Type
Max
Type
Performance
Gate,
Propagation
NOR or
Delay, tPHL/tPHL
NAND
Parameters
AC Characteristics
40
CL=15 pF
40
CL=15 pF
25
CL=1 5pF
74LS74
7474
18
CL=15 pF
27
CL=15 pF
25
CL=15 pF
11
CL=50 pF
5
CL=50 pF
74ALS00
18
CL=50 pF
13
CL=50 pF
74ALS74
26
CL=50 pF
10
CL=50 pF
74LS163 74ALS163
15
CL=15 pF
7
CL=15 pF
74LS00
38
CL=15 pF
16
CL=15 pF
74163
22
CL=15 pF
10
CL=15 pF
7400
TTL
• FAMILY CHARACTERISTICS
400 at
VDD=5 V
150 at
VDD=10 V
CL=15 pF
150 at
VDD=5 V
75 at
VDD=10 V
CL=15 pF
4013A
650 at
VDD=5 V
250 at
VDD=10 V
CL=15 pF
450 at
VDD=5 V
150 at
VDD=10 V
CL=15 pF
4163A
120 at
VDD=5 V
60 at
VDD=10 V
CL=15 pF
80 at
VDD=5 V
40 at
VDD=10 V
CL=15 pF
4001A
150 at
VDD=5 V
65 at
VDD=10 V
45 at
VDD=15 V
CL=50 pF
300 at
VDD=5 V
130 at
VDD=10 V
90 at
VDD=15 V
CL=50 pF
4013B
180 at
VDD=5 V
80 at
VDD=10 V
65 at
VDD=15 V
CL=50 pF
360 at
VDD=5 V
160 at
VDD=10 V
130 at
VDD=15 V
CL=50 pF
4163B
60 at
VDD=5 V
25 at
VDD=10 V
20 at
VDD=15 V
CL=50 pF
110 at
VDD=5 V
60 at
VDD=10 V
48 at
VDD=15 V
CL=50 pF
4001B
8.5
CL=50 pF
7.5
CL=15 pF
5.9
CL=50 pF
5.4
CL=15 pF
74VHCT00
22
28
14
CL=50 pF CL=50 pF CL=50 pF
8
8
10
CL=50 pF CL=50 pF CL=50 pF
74HC00 74HCT00 74LV00
10.5
CL=50 pF
10
11.5
8.5
CL=50 pF CL=50 pF
CL=15 pF
6.1
6
6
CL=50 pF
CL=50 pF CL=50 pF
4.6
CL=15 pF
74AC74 74ACT74 74VHC74
10.5
CL=50 pF
9.5
12
8.5
CL=50 pF CL=50 pF
CL=15 pF
6
5
6
CL=50 pF
CL=50 pF CL=50 pF
4.9
CL=15 pF
10.0
CL=50 pF
9.0
CL=15 pF
6.3
CL=50 pF
5.8
CL=15 pF
74VHCT74
14.5
CL=50 pF
13.5
CL=15 pF
8.5
CL=50 pF
7.7
CL=15 pF
30
36
35
CL=50 pF CL=50 pF CL=50pF
20
24
25
CL=50 pF CL=50 pF CL=50 pF
74HC74 74HCT74 74LV74
28
28
23
CL=50 pF CL=50 pF CL=50 pF
20
18
20
CL=50 pF CL=50 pF CL=50 pF
74AC163 74ACT163 74VHC163 74VHCT163 74HC163 74HCT163 74LV163
8.5
CL=50 pF
8.5
9.5
6.5
CL=50 pF CL=50 pF
CL=15 pF
5.4
5
5
CL=50 pF
3.9
CL=50 pF CL=50 pF
CL=15 pF
74AC00 74ACT00 74VHC00
CMOS
ns
ns
ns
sn
ns
ns
Units
INTEGRATED CIRCUITS
Standard Digital Logic IC
INTEGRATED CIRCUITS
Clock/Watch IC
• CMOS IC for LCD Wrist-Watches and Clocks
Supply
Functions
Current
Hour
Multi- without
Part
Minute
(Pin to Pin
Chrono- 12H/ plexing Load
Second
Alarm
Digits
Flags
Marks
Compatibility)
graph
24H Ratio
max,
Month
μA
Date
Digital watch
IZ6099F/ L/C/E
3.5
1
+
12
1/2
1.5
(KS5199)
IZ6099K
3.5
1
+
12/24
1.5
IZ6199
3.5
1
+
12
1/2
1.5
IZ6095C
4
5
+
+
12/24
1/2
2.0
IZ6090F/ G/ L
6
7
4
+
+
1/100 12/24
1/2
2.0
IZ6090S
6
7
4
+
+
1/100 12/24
1/2
2.0
IZ6090H
6
7
4
+
+
1/100 12/24
1/2
2.0
IZ6094
10
6
+
+
+
12/24
1/4
2.5
IZ6092
12
6
+
+
+
12/24
1/3
2.5
IZ6093 / L
12
6
+
+
+
12/24
1/3
2.5
IZ6193
12
6
+
+
+
12/24
1/3
2.5
Display
IZ6597/B
12
6
+
+
+
12/24
1/3
2.5
IZ6018
12
8
+
+
+
12/24
1/3
2.0
IZ6006
IZ7007
3.5
7
5
m,s
+
12
1/2
1/2
3.0
3.0
IZ7010
7
5
+
24
1/2
3.0
IC6101
12
5
+
24
1/2
3.0
-
+
VDD,
V
Notes
1.5
1.5
3.0 IZ6099+EL
1.5
1.5
1.5 ON/OFF LCD
3.0
3.0
1.5
3.0
3.0 IZ6093+EL
Built-in high-voltage driver
3.0
EL of illumination
°C: -20÷+60
3.0
°F: -4÷+140
1.5 Count down/up timer
1.5 Step counter with watch
For electron pedometer1.5 ergmeter with functions
watch and alarm
Touch-tone signal
Automatic accuracy adjusting
1.5 Dual Time
Daylight saving time
Three independent timers
55
SEMICONDUCTOR DEVICES FACTORY
INTEGRATED CIRCUITS
Clock/Watch IC
• CMOS IC for LCD Wrist-Watches and Clocks
Supply
Current
Hour
Multi- without
Part
Minute
(Pin to Pin
Chrono- 12H/ plexing Load
Compatibility) Digits Flags Marks Second Alarm graph
24H Ratio
max,
Month
μA
Date
Analog - Digital watch
Display
(continued)
Functions
VDD,
V
IZ6490
8
8
+
+
1/100
12/24
1/3
1.5
3.0
IZ6491
8
8
+
+
1/100
12/24
1/3
1.5
1.5
12
1/6
2.5
1.5
2.0
2.0
1.5
1.5
1.5
1.5
5.0
-14…-6.5
Analog watch and clock
IZ6013
h,m,s
(KS5113)
Analog clock
IZ33173
IZ33263
Output pulse duration 31.25 ms
IZ33567
LED watch
IZ8560
4
5
h, m
Watch with Vacuum – Luminescent Display
IZ9012
4
10
+
+
12/24
-
24
56
SEMICONDUCTOR DEVICES FACTORY
5.0
Notes
Calendar adjusts
automatically for odd and
even months
LCD watch with long
second hand
Clock IC
Clock with alarm function
Clock with alarm, snooze,
crescendo
INTEGRATED CIRCUITS
Electronic Thermometer IC
• Electronic Thermometer IC
Part
Pin to Pin
Compatibility
IZ8016
IZ8005
100° Digital thermometer
°С/°F
HT7501
IZ8071
IN18B20**
IN18B20D
Function
DS18B20
Features
Measurement temperature range:
from -50°C to +50°C (from -58°F to +122°F)
… Resolution: 0.2°C (°F)
… Accuracy: ±1°C (°F)
… Supply voltage 1.5V
… Measurement cycle 1, 3, 5 & 10 seconds
(on default– 10 seconds)
… Measuring RC-oscilator with external resistor &
capacitor
… 32 kHz clock RC-oscilator with build-in capacity
… Serial interface
… Build-in circuit of non-linear digital correction
… 3.5 digit LCD with double multiplex
Medical thermometer
… Supply voltage 1.5V
… Measurement temperature range:
from +32.00°C to+43.00°C
… Accuracy: ±0.1°C
… Resolution: 0.01°C
… Selftesting
… Alarm signal
… Storage of measurements results
(highest temperature)
… Automatic switch-off after 8 min 40 sec
… One button on/off switching
Digital thermometer
… Measurement temperature range:
from 32 to 42°C (from 89.6 to 107.6°F)
… Measurement accuracy
±0.05°C – for range from 35 to 38°C,
±0.1°C – for ranges from 32 to 35°C
from 38°C to 42°C
… Resolution: 0.0025°C
… RC-oscillator with own frequency 32.32kHz
(external resistance) with adjustment function
… Build-in LCD driver circuit
3COM x 11SEG, 1/3 duty, 1/2 bias
Integrated circuit of digital … Measurement temperature range:
sensor-measurer of
from -55°C to +125°C
temperature for industrial … Temperature value is converted to 12-bit digital
code
temperature range
… Accuracy of temperature indication can be
programmed by customer form 9 to 12 bit
… Alarm signal for case of temperature excess of
threshold values determined (programmed) by
customer
… Unique 64-bit serial number for each IC, not
available for changes by customer
… Data read/write operation from memory of IC,
1-wire interface of data transfer
Pads
36
…
37
42
&
SO-8
ТО-92
** Under Development
57
SEMICONDUCTOR DEVICES FACTORY
DISCRETE SEMICONDUCTORS
Transistors, Diodes, Diode Arrays
• Power N-Channel MOSFETs
Part
IFP50N06
IFP70N06
IFP85N06
IFP75N75
IFP75N08
IFP630
IFF630
IFP640
IFF640
IFP634
IFF634
IFP730
IFF730
IFP740
IFF740
IFP830
IFF830
IFP840
IFF840
IFP13N50
IFW20N50
IFL50N50
IFP1N60
IFU1N60
IFD1N60
IFU2N60
IFD2N60
IFP2N60
IFF2N60
IFP4N60
IFF4N60
IFP7N60
IFF7N60
IFP10N60
IFF10N60
IFP12N60
IFF12N60
IFW20N60
IFW24N60
IFW28N60
IFL40N60
IFU1N65
IFD1N65
IFU2N65
IFD2N65
IFP2N65
IFF2N65
IFP4N65
IFF4N65
IFP7N65
IFF7N65
IFP10N65
IFF10N65
IFF12N65
IFF12N65
Function
N-Channel MOSFET 60 V; 0.022 Ω– 50 A
N-Channel MOSFET 60 V; 0.015 Ω– 70 A
N-Channel MOSFET 60 V; 0.012 Ω– 85 A
N-Channel MOSFET 75 V; 0.017 Ω– 75 A
N-Channel MOSFET 80 V; 0.015 Ω– 75 A
N-Channel MOSFET 200 V; 0.400 Ω– 9 A
N-Channel MOSFET 200 V; 0.180 Ω– 18 A
N-Channel MOSFET 250 V; 0.450 Ω– 8 A
N-Channel MOSFET 400 V; 0.950 Ω– 6 A
N-Channel MOSFET 400 V; 0.550 Ω– 10 A
N-Channel MOSFET 500 V;1.400 Ω– 5 A
N-Channel MOSFET 500 V; 0.850 Ω– 8 A
N-Channel MOSFET 500 V; 0.490 Ω– 13 A
N-Channel MOSFET 500 V; 0.260 Ω– 20 A
N-Channel MOSFET 500 V; 0.120 Ω– 50 A
N-Channel MOSFET 600 V; 12.000 Ω– 1 A
N-Channel MOSFET 600 V; 5.0 Ω–2 A
N-Channel MOSFET 600 V; 5.0 Ω– 2 A
N-Channel MOSFET 600 V; 2.5 Ω– 4.0 A
N-Channel MOSFET 600 V; 1.2 Ω– 7 A
N-Channel MOSFET 600 V; 0.8 Ω– 10 A
N-Channel MOSFET 600 V; 0.7 Ω– 12 A
N-Channel MOSFET 600 V; 0.32 Ω– 20 A
N-Channel MOSFET 600 V; 0.26 Ω– 24 A
N-Channel MOSFET 600 V; 0.24 Ω– 28 A
N-Channel MOSFET 600 V; 0.16 Ω– 40 A
N-Channel MOSFET 650 V; 13.0 Ω– 1 A
N-Channel MOSFET 650 V; 5.5 Ω– 2 A
N-Channel MOSFET 650 V; 2.7 Ω– 4 A
N-Channel MOSFET 650 V; 1.3 Ω– 7 A
N-Channel MOSFET 650 V; 0.85 Ω– 10 A
N-Channel MOSFET 650 V; 0.8 Ω– 12 A
58
SEMICONDUCTOR DEVICES FACTORY
Package
TO-220/3
TO-220/3
TO-220/3
TO-220/3
TO-220/3
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-247
TO-265
TO-220/3
TO-251
TO-252
TO-251
TO-252
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-247
TO-247
TO-247
TO-263
TO-251
TO-252
TO-251
TO-252
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
TO-220/3
TO-220FP
DISCRETE SEMICONDUCTORS
Transistors, Diodes, Diode Arrays
• Power N-Channel MOSFETs
Part
IFP1N80
IFU1N80
IFF3N80
IFW10N80
IFW9N90
IFW11N90
IWP5NK80
IZ024N
(continued)
Function
Package
TO-220/3
TO-251
TO-220FP
TO-247
TO-247
TO-247
TO-220/3
Chip
N-Channel MOSFET 800 V; 18.0 Ω– 1 A
N-Channel MOSFET 800 V; 5.0 Ω– 3 A
N-Channel MOSFET 800 V; 1.1 Ω– 10 A
N-Channel MOSFET 900 V; 1.4 Ω– 9 A
N-Channel MOSFET 900 V; 1.1 Ω– 11 A
N-Channel MOSFET 800 V; 2.4 Ω– 4.3 A
N-Channel MOSFET 55 V; 0.075 Ω- 17 A
• Fast (Ultrafast) Rectifying Diode (FRD, UFRD)
IWR0520F
MUR0520F
5
Non
repetive
Peak
Surge
Current
IP. max
(A)
35
IWR0520U
MUR0520U
5
35
Pin to Pin
Compatibility
Part
Peak.
Rectified
Direct
current
IR.MAX.
(А)
Max
Reverse
Voltage
UREV.MAX
(V)
200
200
Max.
Max.
instantane
Max.Reverse instanta
ous
Recovery
neous
reverse
Package
Time tREC
forward
current
ns
voltage
(Т=25°С)
UR V
IREV.,mA
250
1.1
50
TO-220
25
1.2
50
TO-220
• Photo-diode
Pin to Pin
Compatibility
Part
IWPH01-02A
S2506-02
Function
Package
Special 2-pin
package
Photo-diode
• Power Zener Diodes
Part
Pin to Pin Compatibility
Function
Power limiting diode for rectifying bridges of alternator
plant IRECT=35A; UBR=18-23V
IZ3527
MR2537L
IZ3549
MR2535L
Power limiting diode for rectifying bridges of alternator
plant IRECT=35A; UBR=36-46V
IZ3563
MR2563L
Power limiting diode for rectifying bridges of alternator
plant IRECT=35A; UBR=50-60V
Package
DO-21
TO-220
DO-21
TO-220
DO-21
TO-220
• Fast (Ultrafast) Rectifying Diode Arrays (FRDA, UFRDA)
Part
Non
Peak.
Max.Reverse
Max
repetive
Rectified
Pin to Pin
Peak Surge Reverse Voltage. Recovery Time
Direct current
Compatibility
tREC
UREV.MAX
Current
IR.MAX.
(V)
ns
IP. max
(А)
(A)
Max.
Max.
instantainstantaneous
neous
reverse current Package
forward
(Т=25°С)
voltage
IREV.,mA
UR V
IWR0520FM
MUR0520FM
5
35
200
250
1.1
50
TO-220
IWR0520UM
MUR0520UM
5
35
200
25
1.2
50
TO-220
IWR0540UM
MUR0540UM
5
35
400
60
1.4
50
TO-220
IW0140A4
MU0140A4
1
400
60
1.4
50
TO-220
59
SEMICONDUCTOR DEVICES FACTORY
SYSTEMS OF INFORMATION DISPLAY
Liquid Crystal Display
• BASIC SPECIFICATION
№
Climatic performance group (*)
Item
1
о
Operation temperature range, С
2
3
Contrast
Viewing angle, degrees (at contrast: ≥ 2):
- on a vertical;
- on a horizontal
4
AC applied voltage, V
5
Display type
6
7
Viewing angle
о
Storage temperature range, С
*
*
( 2)
II
III
-40…+85
6:1
-20…+70
6:1
-0…+50
6:1
Note
(*1)
-30…+50
-30…+50
-30…+50
-50…+30
-50…+30
-50…+30
± 45
± 45
± 45
3 ± 10%
3 ± 10%
3 ± 10%
5 ± 10%
5 ± 10%
Reflective
Reflective
Reflective
Transflective
Transflective
Transflective
Transmissive
Transmissive
Transmissive
Transmissive
Transmissive
Transmissive
6 o'clock, 7:30 o'clock, 12 o'clock and other
-60…+85
-50…+80
-50…+60
Positive mode
Negative mode
( 1)
I
(*2)
(*1)
(*1)
(*1)
Climatic performance group, optical mode and maximal contrast angle depend on customer’s requirements.
Vertical field-of-vision angle depends on maximal contrast angle.
• LCD TYPE:
REFLECTIVE/
TRANSFLECTIVE/
TRANSMISSIVE
A
• LCD MODE:
POSITIVE/
NEGATIVE
B
Lighte
source
P
C
N
Positive
Negative
Lighte
source
Reflected
lighte
Lighte
source
(backlite)
Reflected
lighte
Lighte
source
(backlite)
Transmitted
light
Transmitted
lighte
Reflector
Inverse image
(when data is inverted)
Transflector
A. Reflective LCD
Reflector bonded to the
rear polarizer reflects the
incoming ambient light.
Low power consumption
because no backlight is
required.
B. Transflective LCD
Transflector bonded to the rear polarizer
reflects light from front as well as enabling
lights to pass through the back .
Used with backlight off in bright light and with it
on in low light to reduce power consumption.
• LCD: System of Designations
Samples of marking:
‰ in catalog
( )
‰ during shipments *
ID
1
-
C. Transmissive LCD
Whithout reflector or transflector
bonded to the rear polarizer.
Backlight required .
Most common is transmissive
negative image.
54
28
01
27
ID-10DP41-MI
ID-10DP41-MI-AP1-2.54
10
DP
1
- M
2
3
4
5
-
A
P
1
7
8
9
-
2.54
10
(*) Optical mode, LCD type, maximal contrast angle and climatic
performance group shall be agreed upon during LCD shipments.
60
SEMICONDUCTOR DEVICES FACTORY
SYSTEMS OF INFORMATION DISPLAY
Liquid Crystal Display
LCD marking system at INTEGRAL RPC
Decoding according to the positions numbers
№
1
2
3
Description
Marking for LCD of INTEGRAL RPC
LCD characteristic
For all type LCD
LCD of C and CP type
Number of segment (column), number of common (row)
lines
LCD of G and GP type
Total number of LCD segments
Category of the LCD:
D – Digital
C – Characters
G – Graphic (dot matrix)
P – contains pictogram (icon)
Method of LCD mounting on PCB
M – using metal pins
R – using conductive rubber (zebra) or
conductive anisotropic glue
LCD type:
A – reflective LCD
B – transflective LCD
C – transmissive LCD
Optical mode:
N – Negative LCD
P – Positive LCD
Operation temperature range:
1 - temperature
- 40…+ 85 oC
2 - temperature
- 20…+ 70 oC
o
3 - temperature
0…+ 50 C
4 - other
9
ID
Number of chars in a line, number of lines
5
8
For all type LCD
LCD of D and DP type
Design number for a certain type of LCD
7
Samples
Number of digits
4
6
Application
LCD of P type
8
1602
- 2 lines till 16 chars
12064
- 120 SEG,
64 COM
8
LCD of D type
LCD of C type
LCD of G type
LCD of P type
D, DP
C, CP
G, GP
P
For all type LCD
45
For all type LCD
M
R
For all type LCD
during shipments (*)
For all type LCD
( )
during shipments *
For all type LCD
during shipments (*)
For all type LCD
during shipments (*)
Pitch on metal pins, mm
A
B
C
N
P
1
2
3
4
1.27
1.80
2.00
2.54
(*) Optical mode, LCD type, maximal contrast angle and climatic performance group shall be agreed upon during LCD
shipments.
61
SEMICONDUCTOR DEVICES FACTORY
FOUNDRY BUSINESS
Foundry business
•
•
•
•
Semiconductor IC and Discrete Devices Manufacturing under the Customer’s Design (delivery on base of Probe Test)
Semiconductor IC and Discrete Devices Manufacturing under the Customer’s Design (delivery on the base of PCM)
Wafer Fab Service – execution of separate Process Flow Steps or blocks (Metal sputtering, film deposition, EPI growing, back grinding,
wafers testing and so on)
Raw Si substrate and EPI manufacturing under the Customer’s Spec
Production Capacity available for Foundry Business:
•
•
•
8’’ wafer production line (0.5-0.35μm design rule)
6’’ wafer production line (0.8-1.2 μm design rule)
4’’ wafer production line (1.2-3.0 μm design rule)
Basic Process available:
a) Integrated Circuits:
• DMOS
• CMOS
• BiCMOS
• CDMOS
• BiCDMOS
• Bipolar
b) Discrete devices:
• D-MOS (≤1000 V)
• Multi-Epi (≤700 V)
• Bipolar
• Process for high frequency devices (≤300 V)
Si substrates and EPI, manufacturing and delivery (according to the Customer's Spec):
•
•
3", 4", 6", 8’’ wafers
EPI parameter range: d=0.5...80 μm, ρ=0.1...50 Ω • cm
Mask making:
• Mask Set manufacturing under the Customer’s Spec (GDS II and DB):
a) for contact lithography
b) for Projection Reduction (Stepper lithography) (1:1/1:5/1:10)
• Pellicles manufacturing under the Customer’s Spec
• Manufacture of photomasks with P/R coatings (glass and quartz substrates)
Contract management
Packaging:
• IC and Discrete Devices assembly (packaging) with Testing
• IC and Discrete Devices assembly (packaging) without Testing
• IC and Discrete Devices assembly (packaging) with Testing and Marking
Packages Types available:
a) Integrated Circuits:
• SOP (8-28 LD)
• DIP (8-40 LD)
• SHRINK DIP (30, 42, 52, 56 LD)
• QFP (48, 64, 100 LD)
• SIL (3, 8, 13, 17 LD)
• SIP (9LD)
• TO -220 (3, 5, 7 LD)
• SOT -23, SOT -143, SОТ -223
b) Discrete devices:
• Саsе 22А-01
• DO-34, DO-35
• МЕLF, miniMELF
• SOT -23, SOT -143, SОТ -223
• ISOWATT
• ТО-18, ТО-39, ТО-72, ТO-92, ТО-126,
• ТО-218, ТО-220
• KD-17
• DРАК, D2РАК
Fabless service
IC and discrete devices design:
• IC Design according to the Customer’s Data Sheet (Spec) and Process Development
• Discrete Devices Design according to the Customer’s Data Sheet (Spec) and Process development
• GDS II and Tape out
• Engineering Consulting service
• Reengineering
Supplementary services
Design of electronic devices/ instruments and manufacture of
samples as per Customer’s requirements:
• Design and manufacture of PCB
• Design and manufacture of LCD:
- TN-type (“twist”) for electronic clock/watch, calculators, etc,
- STN-type (“supertwist”) for general purposes
Other services:
• Design and manufacture of quartz tooling and accessories,
tools
• Design and manufacture of molds, punches, casting/transfer
molds
• Marking blocks manufacturing
• High-precision stamping of lead frames for IC manufacturing
62
SEMICONDUCTOR DEVICES FACTORY
Foundry business
Base Technology Process
• Base Technology Process
Process name
(short form output characteristics)
Application, electrical,
parameters
Process Description
Bipolar processes for digital-to-analogue IC
CMOS, 20 V, with p-n
junction isolation
«Bp30С-20»
40 V, with p-n junction
isolation
«Bp30-40»
5 V,
«Isoplanar – 1»
«BpI-30-5»
SEMICONDUCTOR DEVICES
Photomasks, pcs.
12-14
Mean design rule, μm
2.0
EPI WAFER:
Epi layer: Phos/ N-type/ Thk 8/ Res 1.5;
Buried layers: Sb/N-type/Thk 6.0/Res 20;
Boron/P-type/Thk 1.95/Res210
Substrate: Boron/ P-type/ Thk 460/ Res 10/
Orientation (111)
Isolation:
p-n junction
p-base depth, μm
2.0
N+emitter depth, μm
1.7
Emitter size, μm
7*7
Distance between transistors, μm
4
Switching:
contacts 1, μm
3*3
pitch Me 1, μm
9.0
contacts 2, μm
4*4
pitch Me 2, μm
12.0
Photomasks, pcs.
8-13
Mean design rule, μm
2.0
EPI WAFER:
Epi layer: Phos/ N-type/Thk 13/ Res 3.5;
Buried layers:
Sb/N-type/Thk 6.0/Res20;
Boron/P-type/Thk 1.95/Res210
Substrate: Boron/P-type/Thk 460/Res
10/Orientation(111) p-n junction p-base depth,
μm 2.0
N+emitter depth, μm
1.7
Emitter size, μm
9*9
Distance between transistors, μm
4
Switching:
contacts 1, μm
3*3
pitch Me 1, μm
9.0
contacts 2, μm
4*4
pitch Me 2, μm
14.0
Photomasks, pcs.
15
Mean design rule, μm
3.0
EPI WAFER:
Epi: Phos/N-type/Thk 1.5/Res 0.3;
Buried layers: Sb/N-type/Thk 2.5/Res 35;
Boron/P-type/Thk 1.95/Res210 Substrate:
Boron/P-type/Thk 460/Res 12/Orientation (100)
Isolation: LOCOS + p+ - guard rings
p-base depth, μm
0.854
N+ emitter depth, μm
0.55
Emitter size, μm
2*3
Distance between transistors, μm
2
Switching:
contacts1, μm
2*3
pitch Me 1, μm
6.5
contacts 2 , μm
4*4
pitch Me 2, μm
10.0
Small and medium-scale
integration digital-analogue IC,
VDD < 18 V
NPN Vertical:
βn=150 Uce=27 V
РNP Lateral:
βр=30 Uсе=35 V
РNP Vertical:
βр=45 Uсе=35 V
РNP Vertical with isolated
collector:
βр=80 Uсе=30 V
Capacitors: emitter-base;
collector-base; Ме-n+;
Ме1-Ме2.
Resistors in layers:
Isolation; Base; Resistor
Small -scale integration digitalanalogue IC, VDD < 40 V
NPN Vertical:
βn =150 Uce=48 V
РNP Lateral:
βр =65 Uсе=60 V
РNP Vertical:
βр =60 Uсе=60 V
Capacitors: emitter-base;
collector-base; Ме-n+;
Ме1-Ме2.
Resistors in layers:
Isolation; Base; Resistor.
PolySi
Small and medium-scale
integration digital-analogue IC,
VDD < 5V
NPN Vertical:
βр =100 Uсе= 8 ВV
PNP Lateral:
βр =25 Uce=20 V
Resistors in layer: Base
63
SEMICONDUCTOR DEVICES FACTORY
FOUNDRY BUSINESS
Base Technology Process
• Base Technology Process
Process name
CMOS, 12 V, with
LOCOS and р-n
junction isolation
«Bp-20Y-12»
5 V, 1.5 μm
CMOS, 1 Poly,
2 Me
«CMOS15AC»
5 V, 1.5 μm
CMOS, local
n+, p+ - buried layers
1 Poly, 1 Me,
specifically resistant
«CMOS15VY»
(continued)
Process Description
Photomasks, pcs.
18
Mean design rule, μm
2.5
EPI WAFER:
Epi: Phos/N-type/Thk 4.0/Res 1.0;
Buried layers: Sb/N-type/Thk 2.5/Res 35;
Boron/P-type/Thk 2.0/Res550 Substrate: Boron/Ptype/Thk 460/Res 12/Orientation (100)
Isolation: LOCOS + p+ - guard rings
p-base depth, μm
1.2
N-base depth, μm
1.5
N+emitter depth, μm
0.9
Emitter size, μm
2*3
Distance between transistors, μm
3
Switching:
contacts 1, μm
2*3
pitch Me 1, μm
8.0
contacts 2, μm
3*3
pitch Me 2, μm
10.0
CMOS
Photomasks, pcs.
14
Design rules, μm
1.5
Substrate:
s/N-type/Res
4.5
N/P-well depth, μm
5/5
Interlayer dielectric:
BPSG
Interlevel dielectric:
PEoxide
245
Gate SiO2, Å
Channel length:
NMOS/PMOS, μm
1.4/2.0
N LDD- drains
space line Poly, μm
3.4
contacts 1, μm
1.5*4.5
space line Me 1, μm
6.0
contacts 2, μm
3.0*4.5
space line Me 2, μm
9.5
Photomasks, pcs.
14
Design rules, μm
1.5
Substrate:
Boron/P-type/Res 12
N+/P+-buried layers, μm
Epi layer
Phos/N-type/Thk 8/Res 4.5
N/P-well depth, μm
6/7
245
Gate SiO2, Å
Interlayer dielectric
BPSG
Channel length (active):
NMOS/PMOS, μm
2.0/2.0
Channel length (output):
NMOS/PMOS, μm
2,4/2,4
space line Poly, μm
4,5
contacts, μm
1.5*4.5
space line Me, μm
6
64
SEMICONDUCTOR DEVICES FACTORY
Application, electrical
parameters
Medium-scale integration digitalanalogue IC, VDD < 15 V
NPN Vertical:
βр =80 Uсе=18 V
PNP Vertical:
βр =60 Uce=18 V
Capacitors: PolySiO2(250A)-Sin+
Resistors in layer: Base, Resistor
Small and medium-scale
integration logic IC, VDD < 5 V
NMOS: Vtn= 0.8 V , Usd >12 V
PMOS: Vtp= -0.8 V, Usd >12 V
Small and medium-scale
integration logic IC, VDD < 5 V,
with Vin/ Vout overvoltage <
10V, specifically resistant
NMOS: Vtn= 0,6±0.2 V, Usd>12 V
PMOS: Vtp= 0,6±0.2 V, Usd>12
V
Foundry business
Base Technology Process
• Base Technology Process
Process name
5 V, 2 μm
CMOS,
1 Poly,
1 Me
«CMOS20»
1.5 V, 1.6 μm,
1 Poly,
1 Me,
low threshold
«CMOS16EN»
5 V, 1.2 μm
CMOS, 2 Poly,
2 Me, low
threshold
EEPROM
«CMOS12X»
SEMICONDUCTOR DEVICES
(continued)
Process Description
Photomasks, pcs.
11
Design rules, μm
2.0
Substrate:
ype/Res 4.5
2 wells
N/P-well depth, μm
6/7
Gate SiO2, Å
425/300
Interlevel dielectric: BPSG
Channel length: NMOS/PMOS, μm
2.5
pitch Poly , μm
4.5
contacts, μm
2.4*2.4
pitch Me, μm
8.5
Photomasks, pcs.
11
Design rules, μm
1.6
Substrate:
/P-type/Res
12
2 wells
N/P-well depth, μm
5/6
Gate SiO2, Å
300
Interlayer dielectric – BPSG
Channel length: NMOS/PMOS, μm
2.0
pitch Poly , μm
3.2
contacts, μm
1.5
pitch Me, μm
3.6
Photomasks, pcs.
3 marked
Design rules, μm
1.2
Substrate: Boron/P-type/Res 12
wells
N/P-well depth, μm
5/6
Gate SiO2:
Low voltage transistors, Å
250
High voltage transistors, Å
350
Tunnel SiO2, Å
77
Interlayer dielectric-1: Si3N4, Å
350
Interlayer dielectric -2: BPSG, Å
7000
Interlevel dielectric: PEoxide+SOG+ PEoxide
Channel length:
Low voltage
NMOS/PMOS, μm
1.4/1.6
High voltage
NMOS/PMOS, μm
2.6/2.6
N & P LDD- drains
In-built transistors
Pitch Poly 1, μm
3.2
Pitch Poly 2, contact free, μm
2.4
Pitch Poly 2, with contact, μm
4,6
contacts-1, μm
1.2
Pitch Me 1, contact free, μm
3.2
Pitch Me 2, with contact, μm
4,4
Contacts 2, μm
1.4
Pitch Me 2, contact free, μm
4.4
Pitch Me 2, with contact, μm
4,8
Application, electrical
parameters
Small and medium-scale integration
logic IC, VDD < 5 V
NMOS:
Vtn= 0.6/0.5 V, Usd >12 V
PMOS:
Vtp= -0.7 V/-0.5, Usd >14 V
Medium-scale integration digital IC
for electronic timepieces and micro
calculators, VDD 1.5 V÷3 V.
NMOS: Vtn= 0.5 V , Usd >10 V
PMOS: Vtp= -0.5 V, Usd >10 V
LSI EEPROM VDD 2,4 V÷6 V
LV NMOS: Vtn=(0.4-0,8)V Usd≥12
V
LV PMOS: Vtр=-(0.5-0,9)V
Usd ≤-12 V
HV- NMOS: Vtn=(0,3-0,6)V
Usd≥17 V
HV- РMOS: Vtр=-(0,6-1,0)V
Usd ≤-15 V
65
SEMICONDUCTOR DEVICES FACTORY
FOUNDRY BUSINESS
Base Technology Process
• Base Technology Process
Process name
5 V, 0.8μm
CMOS, 2 Poly,
1Me, low
threshold
EEPROM
«CMOS08XE»
5 V, 1.5 μm
CMOS,
1 Poly, 1 Ме,
Poly- resistors
«CMOS15B» /
«CMOS15BY»
3-5 V, 0.8 μm
CMOS, 1 Poly
(2 Poly),
2 Me
«CMOS08D»
(continued)
Process Description
Photomasks, pcs.
20
(with marks, reverse pads, lightly doped contacts)
Design rules, μm
1.2
in «Contacts» & «Metallization» layers, μm 0.8
Substrate: Boron/P-type/Res 12
2 wells
N/P-well depth, μm
5/6
Gate SiO2, Å
425
Tunnel SiO2, Å
77
Interlayer dielectric -1: Si3N4, Å
350
Interlayer dielectric -2: BPSG, Å
7000
Built-in transistors
Channel length: NMOS/PMOS
Low voltage transistors, μm
2.4
High voltage transistors, μm
3.6
Pitch Poly 1, contact free, μm
1.8
Pitch Poly 1, with contact, μm
4.6
Pitch Poly 2, μm
4.0
Contacts, μm
0.8
Me
Ti-TiN/Al-Si/TiN
Pitch Me, contact free, μm
2.4
Pitch Me, with contact, μm
3,2
Photomasks, pcs.
17
Design rules, μm
1.5
Substrate: Boron/P-type/Res 12; Phos/N-type/Res
4.5
Epi layer no/ Phos/N-type/Thk 8/Res 4.5 2 wells
N/P-well depth, μm
5/6
Р-type Poly resistors
Bipolar vertical NPN transistor
Gate SiO2, Å
250
Interlayer dielectric: BPSG
S/PMOS channel length, μm
1.7
N&P LDD- drains
Pitch Poly, μm
2.5
Contacts, μm
1.3
Pitch Me, μm
3.5
Photomasks, pcs.
16 (17)
Design rules, μm
0.8
Substrate: Phos/N-type/Res 4.5 or Bor/P-type/Res
12 2 wells
N/P-well depths, μm
4/4
Interlayer dielectric:
BPSG
Gate SiO2, Å
130 / 160 channel length
NMOS/PMOS, μm
0.9/1.0 N&P LDD- drains
Me I
Ti-TiN/Al-Si/TiN
Pitch Poly, μm
1.9
Contacts 1, μm
0.9
Pitch 2.2 Me 2 Al-Si/TiN
Contacts 2, μm
0.9
Pitch Me 2, μm
2.4
66
SEMICONDUCTOR DEVICES FACTORY
Application,
electrical parameters
Medium scale integration EEPROM,
VDD 1,8 V÷6 V
NMOS: Vtn=(0,60±0,20)V
Usd ≥12 V
PMOS: Vtр=-(0,6±0,2)V Usd ≤-12 V
HV-NMOS: Vtn=(0,3-0,45)V
Usd ≥16 V
HV-PMOS: Vtp=-(0,6±0,2)V
Usd ≤-16 V
Supply voltage controller IC
NMOS:
Vtn= 0.5 / 0,6 V, Usd >10 V
PMOS:
Vtp= -0.5 / -0.6 V, Usd >10 V
IC for telecommunication (SLIC),
Customized IC, VDD 3 V÷5 V
NMOS:
Vtn=0.6 V, Usd >10 V
PMOS:
Vtр=-0.8 V, Usd >10 V
FOUNDRY BUSINESS
Base Technology Process
• Base Technology Process
(continued)
Process name
Process Description
10 V, 3,0 μm
Bi-CMOS,
local n+, p+ buried layers,
Locos+ p-n
junction isolation,
2 Poly, 1 Me,
specifically
resistant,
Photomasks, pcs
18
Design rules, μm
3.0
Substrate:
Boron/P-type/Res 12
N+/P+ buried layers
Epi layer
Phos/N-type/Thk 20/Res 4.5
N/P-well depth, μm
6/7
Gate SiO2, Å
425
Interlayer dielectric: BPSG
Channel length:
NMOS/PMOS, μm
3.5/3.3
Pitch Poly, μm
5
Contacts, μm
5
Pitch Me, μm
6
Photomasks, pcs.
19
(with marks, reverse pads, underdoped contacts)
Design rules, μm
0.8
(in «Contacts» & «Metallization» layers)
Substrate: Boron/P-type/Res 12
1 well
N-well depth, μm
4
Gate SiO2:
High voltage transistors, Å
350
Tunnel SiO2, Å
77
Interlayer dielectric -1: Si3N4, Å
350
Interlayer dielectric -2:
BPSG, μm
0,55±0,5
Interlevel dielectric:-3:
PEoxide+SOG+ PEoxide, μm
0,7±0,1
Channel length:
High-voltage
NMOS/PMOS, μm
2,6/2,6
N & P LDD- drains
In-built transistors
Pitch Poly1, contact free, μm
1.8
Pitch Poly1, with contact, μm
4,6
Pitch Poly 2, contact free, μm
1,8
Contacts, μm
0.8
Me
Ti-TiN/Al-Si/TiN
Pitch Me, contact free, μm
2.4
Pitch Me, with contact, μm
3,2
«ВCMOS30Y-10»
5V, high voltage
0.8 μm CMOS,
2 Poly, 1 Me,
EEPROM
«CMOS08HXE»
Application,
electrical parameters
Serial interface LSIC, RS-485
standard;
VDD = 5 V, Vin/ Vout (–7 ± 12)V,
specifically resistant
NMOS: Vtn= (1.0 ±0.2)V ,
Usd >16 V
PMOS: Vtp= (0.8±0.2)V,
Usd >16 V
LSI EEPROM, VDD 1.8 V÷6 V
HV- NMOS: Vtn=(0,3-0,7)V
Usd≥17 V
HV- РMOS: Vtр=-(0,4-0,9)V
Usd ≤-17 V
SEMICONDUCTOR DEVICES
67
SEMICONDUCTOR DEVICES FACTORY
FOUNDRY BUSINESS
Base Technology Process
• Base Technology Process
Process name
(continued)
Application,
electrical parameters
Process Description
Bipolar CDMOS
200 V, with
p-n junction
isolation,
1 Poly, 1 Me,
NDMOS/PDMOS
high-voltage
transistors
«BCDMOS30-200»
90 V, p-n junction
isolation,
1 Poly, 1 Me,
NMOS/PMOS lowvoltage transistors,
NDMOS/PDMOS
high-voltage lateral
transistors, power
vertical NDMOS
transistor, bipolar
vertical NPN &
lateral PNP
transistors
«BCDMOS30-90»
Photomasks, pcs.
Mean design rule, μm
EPI WAFER:
Epi layer: Phos/ N-type/ Thk 27/ Res 8;
Buried layers: Sb/N-type/Thk 30/Res 5.5;
Boron/P-type/Thk 300/Res2.0
Substrate: Boron/ P-type/ Thk 460/ Res 60/
Orientation (100)
19
4.0
Isolation:
p-n junction
P-well depth, μm
6.5
NDMOS base depth, μm
3.0
Gate SiO2, Å
900
NPN p-base depth, μm
2.5
N+emitter depth, μm
0.8
Interlayer dielectric – low temp. PCG
0,55μm +SIPOS 0.1μm + low temp. PCG
1,1μm
Channel length (gate):
NDMOS/PMOS, μm
6
Pitch Poly, μm
8
Contacts, μm
4
Pitch Me, μm
12
Photomasks, pcs.
19
Mean design rule, μm
4.0
EPI WAFER:
Epi layer: Phos/ N-type/ Thk 12/ Res 1.5;
Buried layers: Sb/N-type/Thk 20/Res 6; Boron/Ptype/Thk 250/Res2.0
Substrate: Boron/ P-type/ Thk 460/ Res 12/
Orientation (100)
Isolation:
P-well depth, μm
NDMOS base depth, μm
Gate SiO2, Å
NPN p-base depth, μm
N+emitter depth, μm
Interlayer dielectric BPSG, μm
Channel length (gate):
NMOS/PMOS, μm
Contacts, μm
Pitch Me, μm
p-n junction
6.5
2.5
750
2.5
0.5
0,8
7
2
8
68
SEMICONDUCTOR DEVICES FACTORY
Small -scale integration analogue IC,
VDD < 210 V
NPN Vertical:
βn =70 Uсе=50 V
NDMOS: Vtn= 2.0 V,
Usd >200 V
PDMOS: Vtp= -1.0 V,
Usd >200 V
NMOS: Vtn= 1.5 V , Usd >20 V
Resistors in layer:
NPN base, Р-drain, Poly.
Capacitors: Poly-Si (SiO2 900 Å)
Poly-Al (SiO2 1600 Å)
Small and medium-scale integration
analogue IC, VDD < 90 V
NPN Vertical:
βn =50 Uсе=20 V
PNP Lateral:
βр =25 Uсе=20 V
LNDMOS Vtn= 2.0 V,
Usd >90 V
LPDMOS: Vtp= -1.4 V,
Usd >90 V
NMOS: Vtn= 1.2 V, Usd >18 V
PMOS: Vtp= 1.5 V, Usd >18 V
VNDMOS: Vtn= 2.0 V,
Usd >70 V
Resistors in layer:
NDMOS base, Р-drain, Poly.
Capacitors: Poly-Si (SiO2 750 Å)
Poly-Al (SiO2 8000 Å)
SERVICES
Mask Making
• Mask Making
ITEM
MASKS FOR STEPPER (RETICLES)
SCALE 10:1 (SODA LIME PLATE)
SPECIFICATIONS
1. Type of masking layer: C
- chromium,
ARC - antireflective chromium
2. Min feature – 4 μm
Deviation ± 0.15 μm
3. Max defect size – 2.0 μm; 1.5 μm; 1.0 μm
4. Accuracy alignment in mask set – to 0.8 μm
5. Sizes of plate:
127 x 127 x 2.4 mm (5˝sq. x 0.090″)
153 x 153 x 2.4 mm (6˝sq. x 0.090″)
1. Type of masking layer: C
MASKS FOR STEPPER (RETICLES)
SCALE 5:1 (SODA LIME PLATE)
MASKS FOR STEPPER (RETICLES)
SCALE 5:1 (QUARTZ PLATE)
MASKS 1:1 (FOR PROGECTION
AND CONTACT LITHOGRAPHY)
MASK BLANKS
– chromium,
ARC – antireflective chromium
2. Min feature – 2 μm
Deviation ± 0.10 μm
3. Max defect size – 2.0 μm; 1.5 μm; 1.0 μm
4. Accuracy alignment in mask set – to 0.6 μm
5. Sizes of plate:
127 x 127 x 2.4 mm (5˝sq. x 0.090″)
153 x 153 x 2.4 mm (6˝sq. x 0.090″)
1. Type of masking layer: C – chromium, ARC–antireflective chromium
2. Min feature – 2 μm
Deviation ± 0.10 μm
3. Max defect size – 2.0 μm; 1.5 μm; 1.0 μm
4. Accuracy alignment in mask set – to 0.6 μm
5. Sizes of plate:
152 x 152 x 6.35 mm (6˝sq. x 0.250″)
1. Type of masking layer: C – chromium, ARC– antireflective chromium,
Fe2O3 –ferroxide
2. Min feature – 1.2 μm
Deviation ± 0.10 μm
3. Accuracy alignment in mask set ± 0.3 μm
4. Sizes of plate:
102 x 102 (4″sq. x 0.090″)
127 x 127 (5″sq. x 0.090″)
153 x 153 (6″sq. x 0.090″)
1. Sizes of plate:
102 x 102 x 2.4 mm (4″sq. x 0.090″)
127 x 127 x 2.4 mm (5″sq. x 0.090″)
153 x 153 x 2.4 mm (6″sq. x 0.090″)
2. Type of glass: soda lime
3. Type of masking layer: C – chromium, ARC– antireflective chromium,
Fe2O3- - ferroxide
4. Type of resist layer: positive photoresist, positive electronoresist
Tel.: (375 17) 278 1916,
212 1060
Fax: (375 17) 2781 622,
2787 980
E-mail: [email protected]
[email protected]
69
SEMICONDUCTOR DEVICES FACTORY
SERVICES
Development And Production
Silicon Foundry
Foundry Services
1. Integrated circuits
2. Discrete devices:
- bipolar and MOS transistors
- diodes
1.
2.
3.
4.
5.
ICs manufacture (wafer, chips, chips on the wafer) on the base of 0.5 – 2.0 μm design
rule and Customer’s Technical Specification.
Packaging on the base of Customer’s dies: SOP, DIP, QFP, SIP,SIL, TO packages.
Development of ICs and electronic instruments/ devices.
Mask making.
Development of electronic devices and manufacture of samples as per Customer’s
requirements.
Design of Electronic
Components
1. Liquid-crystal displays and panels:
- twist nematic
- super twist nematic
Design and Manufacture of
Various Products for
Semiconductor and Electronic
Industries
1.
2.
3.
4.
5.
6.
7.
1.
5.
6.
7.
Lead frames for integrated circuits and discrete devices
Photomasks and mask blanks
Silicon substrates and epi structures
Printed boards
Attachment of packageless integrated circuits on printed board and wiring
Mounting of parts on printed board
Molding of pellets for encapsulation of transistors and ICs
Software for integrated circuit CAD systems those use PC/AT and workstations of
Hewlett-Packard
Adaptation of CAD software of Mentor Graphics and Cadence to user's conditions
Application software under the management of MS DOS and WINDOWS shell
Software for automatic control systems with the use of various database management
systems
Tested software for microprocessor systems
Manager programs for microprocessor systems
Examination of program products
Design and Manufacture of
Machine-Tool Attachments
1.
2.
3.
4.
5.
6.
7.
8.
9.
Molds
Blanking dies
Quartz work tools
Fluoroplastic tools
Hard alloy workpieces and stamping parts
Die attachment and bonding tools
Jigs, fixtures, mechanisms, etc.
Stamping and forming of details. The force is up to 100 tons
High-temperature processing of quartz glass
Testing
1. Testing of electronic parts and apparatuses with the purpose of certifying
Analysis
1. Technical examination of electronic products failure causes when a customer and
supplier are in discord
2. Non-destructive analysis of solid state materials element composition of high sensivity
and locality with electron and ion spectroscopy methods and X-photoemission
spectroscopy analysis
3. Object structure and surface microanalysis with the use of 1000000x scanning electron
and transmission electron microscopes
4. Measurements of electrophysical and optical parameters of solid state samples
including R-, C-, L-parameters, micro- and macroobjects surface temperature extention,
spectrophoto metrical measurements, buried defect analysis with the use of acoustic
microscopy
5. Test and analysis of various chemical materials for conformity with specifications
Development of Software
2.
3.
4.
70
SEMICONDUCTOR DEVICES FACTORY
TRANSISTOR
DISCRETE SEMICONDUCTORS
Transistors
• Bipolar Transistors
Part
КТ220А9
КТ220Б9
КТ220В9
КТ220Г9
КТ3102АM
КТ3102БM
КТ3102ВM
КТ3102ГM
КТ3102ДM
КТ3102ЕM
КТ3102ЖM
КТ3102ИM
КТ3102КM
КТ3107А
КТ3107Б
КТ3107В
КТ3107Г
КТ3107Д
КТ3107Е
КТ3107Ж
КТ3107И
КТ3107К
КТ3107Л
КТ3117А
КТ3117Б
КТ3117А1
КТ3126А
KT3127A
KT3128A
KT3129A9
KT3129Б9
KT3129B9
KT3129Г9
KT3129Д9
KT3130A9
KT3130Б9
KT3130B9
KT3130Г9
KT3130Д9
KT3130E9
КТ3130Ж9
КТ3142А
KT3157A
KT3189A9
KT3189Б9
KT3189B9
KT368A9
KT368Б9
Pin to Pin
Polarity
Compatibility
KSC1623
BC547A
BC547B
BC548B
BC548C
BC549B
BC549C
NPN
РC
IC
VCB VCE VEB
max, max, max, max, max,
V
V
W
V
mА
0.2
BC309B
BC307B
BC308C
BC309C
2N2221
2N2222
PN2222
BF506
2N4411
BC857A
BC858A
BC858B
5
100
50
50
30
20
30
20
50
50
30
45
45
25
25
25
20
20
45
25
20
60
75
60
30
20
40
40
40
20
20
20
40
40
20
15
20
15
25
40
250
5
100
4.5
5
200
30
NPN
0.1
NPN
PNP
0.36
0.2
NPN
0.225
50
45
6
100
NPN
0.1
15
15
4
30
NPN
0.25
PNP
0.3
PNP
PNP
PNP
0.3
0.3
0.5
0.15
0.1
0.1
PNP
0.1
NPN
BCW71
BCW72
BCW32
2N2369
BF423
BC847A
BC847B
BC847C
BF599
50
50
50
30
20
30
20
50
50
30
50
50
30
30
30
25
25
50
30
25
60
75
60
30
20
40
50
50
30
30
20
50
50
30
20
30
20
30
40
250
BC307A
BC308A
BC308B
60
5
200
5
100
4
400
3
3
3
30
25
20
5
100
72
TRANSISTOR
hFE
VCE
sat,
V
ICBO,
μА
90…180
135…270
0.3
0.1
200…400
300…600
0.05
100…250
0.05
200…500
0.015
200…500
0.015
400…800
200…500 0.25 0.015
0.015
400…1000
0.05
100…250
0.05
200…500
0.015
200…500
70…140
120...220
70…140
120…220
180…460
0.2
0.1
120…220
180…460
180…460
380…800
380…800
40…200
100…300 0.6
10
40…200
25…100
1.2
0.5
25…150
1.0
15…150
1.0
30…120
80…250
0.2
1.0
80…250
200…500
200…500
100…250
200…500
200…500
0.1
400…1000 0.3
200…500
400…1000
100…500
40…120 0.25 0.4
>50
1.0
0.1
110…220
200…450 0.6 0.015
420…800
50…300
0.5
FT,
МHz
Nf,
dB
250
200
200
200
200
300
300
200
200
200
250
SOT-23
10
10
10
10
4
4
10
10
10
10
10
4
4
10
10
4
200
500
600
700
Package
5
5
5
200
ТО-92
ТО-92
TO-18
TO-92
TO-92
ТО-92
TO-72
TO-92
SOT-23
150
150
150
300
150
300
150
500
60
10
10
10
4
4
-
300
10
SOT-23
900
3.3
SOT-23
SOT-23
TO-18
TO-92
DISCRETE SEMICONDUCTORS
Transistors
• Bipolar Transistors
Part
(continued)
РC
Pin to Pin
Polarity max,
Compatibility
W
KT502A
KT502Б
KT502В
KT502Г
KT502Д
KT502Е
KT503A
KT503Б
KT503В
KT503Г
KT503Д
KT503Е
КТ520А
КТ520Б
КТ521А
КТ521Б
MPSA42
MPSA43
MPSA92
MPSA93
KT538А
MJE13001
KT6109A
KT6109Б
KT6109B
KT6109Г
KT6109Д
KT6110A
KT6110Б
KT6110B
KT6110Г
KT6110Д
KT6111A
KT6111Б
KT6111B
KT6111Г
KT6112A
KT6112Б
KT6112B
KT6113A
KT6113Б
KT6113B
KT6113Г
KT6113Д
KT6113E
KT6114A
KT6114Б
KT6114B
KT6114Г
KT6114Д
KT6114E
KT6115A
KT6115Б
KT6115B
KT6115Г
KT6115Д
KT6115E
KT6116A
КТ6116Б
KT6117A
КТ6117Б
SS9012D
SS9012E
SS9012F
SS9012G
SS9012H
SS9013D
SS9013E
SS9013F
SS9013G
SS9013H
SS9014A
SS9014B
SS9014C
SS9014D
SS9015A
SS9015B
SS9015C
SS9018D
SS9018E
SS9018F
SS9018G
SS9018H
SS9018I
SS8050B
SS8050C
SS8050D
KSA539
BC212
PNP
0.35
NPN
0.35
NPN
0.625
PNP
0.625
NPN
0.7
KSC815
BC183
SS8550B
SS8550C
SS8550D
2N5401
2N5400
2N5551
2N5550
VCB
max,
V
VCE
VEB
IC
max, max, max,
V
V
mА
40
40
60
60
80
90
40
40
60
60
80
100
300
200
300
200
25
25
40
40
60
80
25
25
40
40
60
80
300
200
300
200
600
400
150
5
150
6
500
>40
5
500
>40
9
500
5…90
0.625
40
20
5
500
NPN
0.625
40
20
5
500
NPN
0.45
50
45
5
100
PNP
0.45
50
45
5
100
NPN
0.4
30
15
5
50
NPN
PNP
PNP
0.625
NPN
0.625
40…120
80…240
40…120
80…240
40…120
40…120
40…120
80…240
40…120
80…240
40…120
40…120
5
PNP
1.0
1.0
1.0
0.7
0.7
0.7
1.0
1.0
1.0
0.7
0.7
0.7
hFE
40
25
6
40
25
6
160
130
180
160
150
120
160
140
1500
1500
1500
1100
1100
1100
1500
1500
1500
1100
1100
1100
5
600
6
600
VCE
sat,
V
ICBO,
μА
FT,
МHz
0.6
1
5
TO-92
0.6
1
5
TO-92
100
50
TO-92
100
50
TO-92
Iкэк
100
4
TO-92
0.5
0.4
0.5
0.4
0.5
64…91
78…112
0.6
96…135
112…166
144…202
64…91
78…112
0.6
96…135
112…166
144…202
60…150
100…300
0.3
200…600
400…1000
60…150
100…300 0.7
200…600
28…45
39…60
54…80
0.5
72…108
97…146
132…198
85…160
120…200
160…300
0.5
85…160
120…200
160…300
85…160
120…200
160…300
0.5
85…160
120…200
160…300
60…240
0.5
40…180
80…250
0.2
60…250 0.25
Nf,
dB
Package
0.1
TO-92
0.1
TO-92
0.05
150
10
TO-92
0.05
100
10
TO-92
0.05
700
TO-92
0.1
100
TO-92
0.1
100
TO-92
0.05
0.1
0.05
0.1
100
8
TO-92
100
8
TO-92
73
TRANSISTOR
DISCRETE SEMICONDUCTORS
Transistors
• Bipolar Transistors
Part
KT6128A
КТ6128Б
КТ6128В
KT6128Г
KT6128Д
KT6128Е
KT6136A
KT6137A
KT635Б
КТ646А
КТ646Б
КТ646В
KT660A
KT660Б
КТ732А
КТ733А
КТ738А
КТ739А
КТ805АМ
КТ805БМ
КТ805ВМ
КТ805ИМ
KT814A
KT814Б
KT814B
KT814Г
KT815A
KT815Б
KT815B
KT815Г
KT816A
KT816Б
KT816B
KT816Г
KT817A
KT817Б
KT817B
KT817Г
КТ8126А1
КТ8126Б1
КТ8164А
КТ8164Б
КТ8170А1
КТ8170Б1
КТ8176А
КТ8176Б
КТ8176В
Pin to Pin
Compatibility
SS9016D
SS9016E
SS9016F
SS9016G
SS9016H
SS9016I
2N3906
2N3904
BC639
BC640
2N3725
2SC495
2CS496
BC337
BC338
MJE4343
MJE4353
TIP3055
TIP2955
BD136
BD138
BD140
BD135
BD137
BD139
BD234
BD236
BD238
BD233
BD235
BD237
MJE13007
MJE13006
MJE13005
MJE13004
MJE13003
MJE13002
TIP31A
TIP31B
TIP31C
(continued)
РC
VCB
VCE
VEB
Polarity max, max, max, max,
W
V
V
V
NPN
0.4
30
20
4
25
PNP
NPN
NPN
PNP
NPN
0.625
0.625
0.625
0.625
0.5
200
200
1500
1500
1000
1.0
4
1000
NPN
0.5
40
40
80
80
60
60
40
40
45
30
5
6
5
5
5
NPN
40
60
100
100
60
60
40
40
50
30
5
800
125
160
160
7
16000
90
70
60
5
15000
5
5000
5
1500
5
1500
5
NPN
PNP
NPN
PNP
NPN
30
PNP
10
NPN
10
PNP
25
NPN
25
NPN
80
NPN
75
NPN
40
NPN
40
300
700
600
700
600
700
600
60
80
100
Ucer
160
135
135
60
40
50
70
100
40
50
70
100
40
45
60
100
40
45
60
100
400
300
400
300
400
300
60
80
100
VCE
sat,
V
ICBO,
μА
FT,
МHz
Nf,
dB
Package
0.1
400
5
TO-92
0.05
0.05
0.1
0.1
30
10
10
0.05
250
300
100
100
300
TO-92
TO-92
TO-92
TO-92
TO-126
250
TO-126
1.0
200
TO-92
2.0
750
1.0
TO-218
20…100 1.1
1000
TO-218
1.0
TO-92
hFE
28…45
39…60
54…80
0.3
72…108
97…146
132…198
100…300 0.4
100…300 0.3
0.5
≥25
0.5
≥25
20 …150 0.52
40…200 0.85
>150 0.25
150…340 0.25
110…220
0.5
200…450
8…15
>15
>15
>15
>25
40…275
40…275
40…275
30…275
40…275
40…275
40…275
30…275
2.5
3.0
0.6
50
40
TO-126
0.6
50
40
TO-126
3000
25…275 0.6
100
3.0
TO-126
5
3000
25…275 0.6
100
3.0
TO-126
9
8000
8…40
1.0
1000
4.0
TO-220
9
4000
8…40
1.0
1000
9
9
1500
8…40
1.0
1000
5
3000
>25
74
TRANSISTOR
IC
max,
mА
1.2 ICES=300
TO-220
4.0
TO-126
3.0
TO-220
DISCRETE SEMICONDUCTORS
Transistors
• Bipolar Transistors
Part
КТ8177А
КТ8177Б
КТ8177В
КТ8212А
КТ8212Б
КТ8212В
КТ8213А
КТ8213Б
КТ8213В
КТ8213А
КТ8212А
КТ8224А
КТ8224Б
КТ8225A
КТ8228А
КТ8228Б
КТ8229А
КТ8230А
КТ8247А
КТ8248А1
КТ8261А
KT8270A
КТ8271А
КТ8271Б
КТ8271В
КТ8272А
КТ8272Б
КТ8272В
KT8290A
KT8296A
KT8296Б
KT8296В
KT8296Г
KT8297A
KT8297Б
KT8297В
KT8297Г
KT872A
KT872Б
KT872B
KT928A
KT928Б
KT928B
KT940A
KT940Б
KT940B
КТ969А
(continued)
РC
VCB
VCE
VEB
IC
Pin to Pin
Polarity max, max, max, max, max,
Compatibility
W
V
V
V
mА
60
TIP32A
60
80
5
3000
TIP32B
PNP
40
80
TIP32C
100 100
60
TIP41С
60
80
5
6000
TIP41B
NPN
65
80
TIP41A
100 100
60
TIP42C
60
80
5
6000
TIP42B
PNP
65
80
TIP42A
100 100
MJE2955
PNP
75
70
60
5
10000
MJE3055
NPN
75
70
60
5
10000
BU2508A
NPN
100 1500 700
7.5
8000
BU2508D
BU941ZP
NPN
155 350 350
5
15000
BU2525A
7.5
NPN
125 1500 800
12000
BU2525D
6.0
TIP35F
NPN
125 180 180
5
25000
TIP36F
PNP
125 180 180
5
25000
BUL45D2
NPN
75
700 400
12
5000
Ucek
BU2506D
NPN
90
700
7.5
5000
1500
BUD44D2
NPN
25
700 400
9
2000
BUL44D2
NPN
40
700 400
9
5000
MJE13001
NPN
0.7
600 400
9
0.5
45
BD136
45
60
5
1500
BD138
PNP
10.0
60
80
BD140
80
45
BD135
45
60
5
1500
BD137
NPN
10.0
60
80
BD139
80
BUH100
NPN
100 700 400
9
10000
KSD882R
KSD882O
NPN
10
40
30
5
3000
KSD882Y
KSD882G
KSB772R
KSB772O
PNP
10
40
30
5
3000
KSB772Y
KSB772G
1500 700
BU508А
NPN
100 1500 700
6
8000
BU508
1200 600
2N2218
NPN
0.5
60
60
5
0.8
2N2219
NPN
0.5
60
60
5
0.8
2N2219A
NPN
0.5
75
75
5
0.8
BF459
300 300
5
100
BF458
NPN
10
250 250
BF457
160 160
BF469
NPN
6
300 250
5
100
hFE
VCE
sat,
V
>25
1.2 ICES=300
3.0
TO-220
15…75
1.5 ICES=400
3.0
TO-220
15…75
1.5 ICES=400
3.0
TO-220
20…100
20…100
4…7
4…9
>300
1.1
1.1
1.0
1.5
2.7
5.0…9.5 5.0
15…75
15…75
>22
ICBO,
μА
FT,
МHz
Nf,
dB
Package
1000
1000
1000
TO-220
TO-220
100
Iebo=1.0
TO-218
1.8 Iceo=1.0
1.8
1.0
0.5
100
TO-218
TO-218
3.0
3.0
3.8…9.0 3.0
TO-218
TO-218
TO-220
TO-218
>10
>10
5…90
0.65
0.65
0.5
0.1
0.1
1000
>25
0.5
0.1
TO-126
>25
0.5
0.1
TO-126
>10
1.0
60…120
100…200
0.5
160…320
200…400
60…120
100…200
0.5
160…320
200…400
0.5
5.0
>6
1.0
20…100 1.0
50…200 1.0
100…300 1.0
4
TO-126
TO-220
TO-126
ТО-220
100
TO-126
100
TO-126
1.0
1.0
0.6
5.0
5.0
1.0
>25
1.0
0.05
50…250
1.0
0.05
4.0
TO-218
250
250
250
TO-126
TO-126
TO-126
TO-126
60
TO-126
75
TRANSISTOR
DISCRETE SEMICONDUCTORS
Transistors
• Power Bipolar Darlington Transistors
Pin to Pin
Compatibility
Part
TIP127
TIP126
TIP125
TIP122
TIP121
TIP120
TIP110
TIP111
TIP112
TIP115
TIP116
TIP117
BU807
KT8115A
KT8115Б
KT8115B
KT8116A
KT8116Б
KT8116B
КТ8214А
КТ8214Б
КТ8214В
КТ8215А
КТ8215Б
КТ8215В
KT8156A
КТ8156Б
KT8158A
KT8158Б
KT8158B
KT8159A
KT8159Б
KT8159В
КТ8225А
КТ8251А
KT972A
KT972Б
KT972B
BDV65A
BDV65B
BDV65C
BDV64A
BDV64B
BDV64C
BU941ZP
BDV65F
BD875
Polarity
РC
max,
W
PNP
65
NPN
65
NPN
50
PNP
50
NPN
60
NPN
125
PNP
125
NPN
NPN
155
125
NPN
8.0
KT972Г
BD876
KT973A
KT973Б
KT973B
PNP
8.0
VCB
max,
V
100
80
60
100
80
60
60
80
100
60
80
100
330
60
80
100
60
80
100
350
180
60
45
60
VCE
max,
V
100
80
60
100
80
60
60
80
100
60
80
100
150
200
60
80
100
60
80
100
350
180
60
45
60
60
60
60
45
60
60
45
60
VEB
max,
V
IC
max,
mА
hFE
VCE
sat,
V
ICBO,
μА
МHz
FT,
Package
5
5000
>1000
2.0
200
4
TO-220
5
5000
>1000
2.0
200
4
TO-220
5
2000
>500
2.5
1000
TO-220
5
2000
>500
2.5
1000
TO-220
6
8000
>100
1.5
1000
TO-220
5
12000 >1000
2.0
400
TO-218
5
12000 >1000
2.0
400
TO-218
5
5
15000
10000
100
0.4
TO-218
TO-218
5
2000
2.7
2.0
1.5
1.5
1.5
5
2000
>300
>100
>750
>750
750…
5000
750…
5000
>750
>750
750…
5000
200
TO-126
200
TO-126
0.95
1.5
1.5
1.5
• Unijunction Transistors
Part
KT132A
KT132Б
KT133A
KT133Б
Pin to Pin
P max, Vb, b2 max,
Compatibility
W
V
2N2646
0.3
35
2N2647
2N4870
0.3
35
2N4871
Ie pulse,
A
2.0
1.5
76
TRANSISTOR
Ie rev,
μA
12.0
0.2
Veb sat,
V
1.0
0.7…2.5
0.7…3.5
η
0.56…0.75
0.68…0.82
0.56…0.75
0.70…0.85
Package
Case 22A-01
TO-92
DISCRETE SEMICONDUCTORS
Transistors
• Low Power N-Channel MOSFETs
Part
КП214А9
КП501А
КП501Б
КП501В
КП502А
КП504А,Б
КП504В
КП504Г
КП504Д
КП504Е
КП505А,Б
КП505В
КП505Г
КП509А9
КП509Б9
КП509В9
КП511А
КП511Б
КП523А
Pin to Pin
Compatibility
2N7002LT1
ZVN2120
BSS124
BSS88
BSS295
BSS131
TN0535
TN0540
BSS297А
P max, Vgs max, Vds max, Vgs(off), Rds(on)
W
V
V
V
Ohm
0.2
60
7.5
±40
1.0÷2.5
240
10
1.0÷3.0
200
10
0.5
±20
1.0÷3.0
200
15
0.7
400
28
±10
1.5÷2.5
8
240
1.0
8
200
0.7
10
250
0.7
0.6÷1.2
±10
8
240
0.7
8
240
0.7
1.0
50
0.3
±20
0.8÷2.0
1.0
60
0.3
±20
0.8÷2.0
0.7
8
1.2
±10
0.4÷0.8
0.36
240
16
±14
0.8÷2.0
0.50
240
8
0.6÷1.2
0.36
200
16
0.8÷2.0
350
0.75
22
±20
0.8÷2.0
400
0.7
200
2.0
±14
0.8÷2.0
Id max,
mA
115
g fs,
A/V
0.08
180
>0.1
TO-92
120
250
200
180
200
200
1400
1400
500
100
250
100
0.1
TO-92
0.14
TO-92
0.5
0.5
0.16
0.14
0.06
Package
SOT-23
TO-92
TO-92
140
0.125
TO-92
480
0.5
TO-92
• Low Power P-Channel MOSFETs
Part
КП507А
КП508А
Pin to Pin
Compatibility
BSS315
BSS92
P max, Vgs max, Vds max, Vgs(off), Rds(on), Id max,
W
V
V
V
Ohm
mA
1.0
-50
0.8
-1100
±20
-0.8÷(-2.0)
1.0
-240
20
-150
±20
-0.8÷(-2.0)
g fs,
A/V
0.25
0.06
Package
TO-92
TO-92
• Logic Level N-Channel MOSFETs
Part
КП723Г
КП727В
КП744Г
КП745Г
КП746Г
КП737Г
КП750Г
КП775А
КП775Б
КП775В
Pin to Pin
Vds max,
Compatibility
V
IRLZ44
60
IRLZ34
60
IRL520
100
IRL530
100
IRL540
100
IRL630
200
IRL640
200
60
2SK2498А
55
2SK2498Б
60
2SK2498В
Rds (on)
Ohm
0.028
0.05
0.27
0.22
0.077
0.4
0.18
0.009
0.009
0.011
Id max,
A
50
30
9.2
15
28
18
18
Vgs max,
V
±10
±10
±10
±10
±10
±10
±10
P max,
W
150
88
60
88
150
50
50
50
±20
150
Vgs (th),
V
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
1.0…2.0
Package
TO-220
TO-220
TO-220
TO-220
TO-220
TO-220
TO-220
TO-220
77
TRANSISTOR
DISCRETE SEMICONDUCTORS
Transistors
• Power N-Channel MOSFETs
Pin to Pin
Vds max,
Compatibility
V
60
IRFZ44
КП723А
60
IRFZ45
КП723Б
50
IRFZ40
КП723В
КП726А
BUZ90A
600
КП726Б
BUZ90
КП727А
BUZ71
50
КП727Б
IRFZ34
60
700
КП728Г1,Г2 BUZ80A
650
КП728С1,С2
600
КП728Е1,Е2
200
IRF630
КП737А
250
IRF634
КП737Б
200
IRF635
КП737В
60
IRFZ14
КП739А
50
IRFZ10
КП739Б
60
IRFZ15
КП739В
60
IRFZ24
КП740А
50
IRFZ20
КП740Б
60
IRFZ25
КП740В
КП741А
IRFZ48
60
КП741Б
IRFZ46
50
КП742А
STH75N06
60
КП742Б
STH80N05
50
100
IRF510
КП743А
80
IRF511
КП743Б
100
IRF512
КП743В
КП743А1
IRF510
100
100
IRF520
КП744А
80
IRF521
КП744Б
100
IRF522
КП744В
100
IRF530
КП745А
80
IRF531
КП745Б
100
IRF532
КП745В
100
IRF540
КП746А
80
IRF541
КП746Б
100
IRF542
КП746В
КП747А
IRFP150
100
200
IRF610
КП748А
150
IRF611
КП748Б
200
IRF612
КП748В
200
IRF620
КП749А
150
IRF621
КП749Б
200
IRF622
КП749В
200
IRF640
КП750А
150
IRF641
КП750Б
200
IRF642
КП750В
400
IRF710
КП731А
350
IRF711
КП731Б
400
IRF712
КП731В
Part
Rds (on),
Ω
0.028
0.035
0.028
2.0
1.6
0.1
0.05
5.0
4.0
3.0
0.4
0.45
0.68
0.2
0.2
0.3
0.1
0.1
0.12
0.018
0.024
0.014
0.012
0.54
0.54
0.74
0.54
0.27
0.27
0.36
0.16
0.16
0.23
0.077
0.077
0.1
0.055
1.5
1.5
2.4
0.8
0.8
1.2
0.18
0.18
0.22
3.6
3.6
5.0
Id max,
A
50
50
50
4.0
4.5
14
30
Vgs max,
V
P max,
W
Vgs (th),
V
Package
±20
150
2.0…4.0
TO-220
±20
75
2.0…4.0
TO-220
±20
75
2.0…4.0
TO-220
3.0
±20
75
2.0…4.0
TO-220
±20
74
2.0…4.0
TO-220
±20
43
2.0…4.0
TO-220
±20
60
2.0…4.0
TO-220
±20
190
150
2.0…4.0
TO-220
±20
200
2.0…4.0
TO-218
±20
43
2.0…4.0
TO-220
TO-126
±20
40
2.0…4.0
TO-126
±20
60
2.0…4.0
TO-220
±20
88
2.0…4.0
TO-220
±20
150
2.0…4.0
TO-220
±20
230
2.0…4.0
TO-218
±20
36
2.0…4.0
TO-220
±20
50
2.0…4.0
TO-220
±20
125
2.0…4.0
TO-220
±20
36
2.0…4.0
TO-220
9.0
8.1
6.5
10
10
8.3
17
17
14
50
75
80
5.6
5.6
4.9
5.5
9.2
9.2
8.0
14.0
14.0
12.0
28.0
28.0
25.0
41.0
3.3
3.3
2.6
5.2
5.2
4.0
18.0
18.0
16.0
2.0
2.0
1.7
78
TRANSISTOR
DISCRETE SEMICONDUCTORS
Transistors
• Power N-Channel MOSFETs
Part
КП751А
КП751Б
КП751В
КП771А
КП778А
КП780А
КП780Б
КП780В
Pin to Pin
Vds max,
Compatibility
V
400
IRF720
350
IRF721
400
IRF722
STP40N10
100
IRFP250
200
500
IRF820
450
IRF821
500
IRF822
Rds (on),
Ohm
1.8
1.8
2.5
0.04
0.085
3.0
3.0
4.0
(continued)
Id max,
Vgs max,
A
V
3.3
3.3
±20
2.8
40
±20
30.0
±20
2.5
2.5
±20
2.2
P max,
W
Vgs (th),
V
Package
50
2.0…4.0
TO-220
150
190
2.0…4.0
2.0…4.0
TO-220
TO-220
50
2.0…4.0
TO-220
• Power P-Channel MOSFETs
Part
КП784A
КП785A
КП796А
Under
Development
КП7128Б
Pin to Pin
Vds max,
Compatibility
V
IRF9Z34
-60
IRF9540
-100
Rds (on),
Ohm
0.14
0.20
Id max,
A
-18.0
-19.0
Vgs max,
V
±20
±20
P max,
W
88
150
Vgs (th),
V
-2.0…-4.0
-2.0…-4.0
Package
TO-220
TO-220
IRF9634
-250
1.0
-4.1
±20
74
-2.0…-4.0
TO-220
IRF5210
-100
0.08
-35
±20
200
-2.0÷(-4.0)
TO-220
79
TRANSISTOR
DISCRETE SEMICONDUCTORS
Diodes, Diodes Arrays
• Variable Capacitance Diodes
Part
КВ109А,АГ,АТ/А9,АГ9,АТ9
КВ109Б,БГ,БТ/Б9,БГ9,БТ9
КВ109В,ВГ,ВТ/В9,ВГ9,ВТ9
КВ109Г/Г9
КВ109Д/Д9
КВ109Е,ЕГ,ЕТ/Е9,ЕГ9,ЕТ9
КВ109Ж,ЖГ,ЖТ/Ж9,ЖГ9,ЖТ9
КВ121А,АГ,АТ/А9,АГ9,АТ9
КВ121Б,БГ,БТ/Б9,БГ9,БТ9
КВ121В,ВГ,ВТ/В9,ВГ9,ВТ9
КВ122А,АГ,АТ/А9,АГ9,АТ9
КВ122Б,БГ,БТ/Б9,БГ9,БТ9
КВ122В,ВГ,ВТ/В9,ВГ9,ВТ9
КВ131А2,АР2,АТ2
КВ134А1,АР1,АТ1
КВ153А9
КВ153Б9
КВ155А9
КВ155Б9
Pin to Pin
Compatibility
ВВ417
Cd,
pF
2.24÷2.74
2.0 ÷2.3
1.9 ÷3.1
8.0 ÷17.0
7.0 ÷16.0
2.0 ÷2.3
1.8 ÷2.8
Cd ratio
min
4.0÷5.5
4.5÷6.5
4.0÷6.0
4.0
2.2
4.5÷6.0
4.0÷6.0
Vrmax,
V
4.3÷6.0
7.6
30
2.24÷2.74
2.0 ÷2.3
1.9 ÷3.1
4.0÷5.5
4.5÷6.5
4.0÷6.0
30
440÷530
18÷22
1.85÷2.25
1.80÷2.60
2.9÷3.4
2.6÷3.3
18.0
3.0
8.0÷9.6
7.6÷10.0
19.5÷25.0
18.0÷25.0
28
ВВ909
ВВ240
ВВ112
ВВ515
ВВ620
Ir,
μA
0.5
0.5
0.5
0.5
0.5
0.02
0.02
0.5
0.5
0.02
0.2
0.02
0.2
14
23
0.25
0.05
30
0.02
30
0.02
Q min
300
300
160
160
30
450
300
200
150
240
450
450
300
Package
КД-17 / SOT-23
КД-17 / SOT-23
КД-17 / SOT-23
130
400
400
360
SOT-23
SOT-23
245
SOT-23
SOT-23
• Switching Diode Arrays
Part
Pin to Pin
Compatibility
Vr max,
V
If max,
mA
Vf,
V
Trr,
ns
Ir,
μA
Number of
elements
КД629АС9
BAY84
90
200
1.0
100
0.1
2
КД704АС9
BAV70
70
100
1.3
6.0
5.0
2
Circuit
Two serial
connected
diodes
Common
cathode
Package
SOT-23
SOT-23
• Variable Capacitance Diode Arrays
Part
КВС111А2
Pin to Pin
Compatibility
BB204
Cd,
pF
Cd ratio
min
Vr max,
V
Ir,
μA
Q min
Number of
elements
Circuit
Package
29.7…36.3
2.1
30
1
200
2
Common
cathode
TO-92
Vr max,
V
If max,
A
Vf,
V
trr,
ns
Ir,
μA
Number of
elements
Circuit
Package
• Power Diode Arrays
Part
Pin to Pin
Compatibility
КД638АС
BYV16-200T
200
2×8.0
1.25
≤35
5.0
2
КД642АС
10JTF20
200
2x10.0
1.20
≤50
100
2
КД667АС
MUR3040PT
400
2×15.0
1.25
≤60
10.0
2
КД668АС9
TUP2200
200
2×2.0
1.25
≤35
5.0
2
КД669АС91 TUP2600
600
2×2.0
1.5
≤50
10.0
2
КД670АС9
MUR1660
600
2×8.0
1.5
≤60
10.0
2
КД645А
MUR860
600
8.0
1.5
≤60
10.0
1
80
TRANSISTOR
Common
cathode
Common
anode
Common
cathode
Common
cathode
Common
cathode
Common
cathode
TO-220
ТО-220
TO-220
DPAK
DPAK
D2PAK
TO-220
DISCRETE SEMICONDUCTORS
Diodes, Diodes Arrays
• Power Schottky Diode
Part
КДШ2101А-5
КДШ2101Б-5
КДШ2101В-5
КДШ2102А-5
КДШ2102Б-5
КДШ2102В-5
КДШ2103А-5
КДШ2103Б-5
КДШ2103В-5
КДШ2104А-5
КДШ2104Б-5
КДШ2104В-5
КДШ2105В
КДШ2114АС9
КДШ2114БС9
КДШ2114ВС9
КДШ2963АС
КДШ2964А
КДШ2964Б
КДШ2965А
КДШ2965Б
КДШ2966А
КДШ2968АС
КДШ2968БС
КДШ2968ВС
КД2970В
КД2970Б
КД2970А
КДШ297АС
КДШ297БС
КДШ297ВС
КДШ298АС
КДШ298БС
КДШ298ВС
КД643АС
КД643БС
КД643ВС
КДШ297АС91
КДШ297БС91
КДШ297ВС91
КД643АС91
КД643БС91
КД643ВС91
Circuit - Common
Pin to Pin
Compatibilty
SB140
SB160
SB1100
SB240
SB260
SB2100
SB340
SB360
SB3100
SB540
SB560
SB5100
1N5819
6CWQ06F
6CWQ04F
6CWQ10F
PBYL1025
12TQ060
12TQ045
20TQ060
20TQ045
SC200S45
25CTQ045
30CTQ060
MBR1045
MBR1060
MBR10100
MBR1545
MBR1560
MBR15100
15CTQ45
MBR2045
MBR2060
MBR20100
MBRB1545
MBRB1560
MBRB15100
MBRB2045
MBRB2060
MBRB20100
cathode
If max,
A
If p,
A
1
1
1
2
2
2
3
3
3
5
5
5
1.0
2х3
2х3
2х3
2x10
15
15
20
20
50
2x15
2x15
2x15
10
10
10
2x7.5
2x7.5
2x7.5
2x5
2x5
2x5
2x10
2x10
2x10
2x7.5
2x7.5
2x7.5
2x10
2x10
2x10
40
40
40
50
50
50
150
150
150
250
250
250
10
42
42
42
200
220
250
350
400
1150
250
250
250
150
150
150
150
150
150
120
120
120
150
150
150
150
150
150
150
150
150
Vr p
max,
V
40
60
100
40
60
100
40
60
100
40
60
100
40
60
40
100
30
60
45
60
45
45
45
60
100
45
60
100
45
60
100
45
60
100
45
60
100
45
60
100
45
60
100
Vf,
V
If,
A
Ir max,
mA
0.57
0.66
0.97
0.52
0.66
0.77
0.55
0.58
0.85
0.55
0.67
0.8
0.60/0.80
0.58/0.79
0.55/0.71
0.85/1.05
0.49/0.58
0.62/0.82
0.56/0.71
0.64/0.84
0.57/0.73
0.65
0.56/0.71
0.62/0.82
0.8/1.05
0.63/0.75
0.68/0.86
0.85/1.05
0.55/0.70
0.67/0.85
0.80/1.0
0.55/0.71
0.67/0.85
0.80/1.05
0.63/0.75
0.68/0.86
0.85/1.05
0.55/0.70
0.67/0.85
0.80/1.0
0.63/0.75
0.68/0.86
0.85/1.05
1
1
1
2
2
2
3
3
3
5
5
5
1/2
3/6
3/6
3/6
10/20
15/30
15/30
20/40
20/40
50
15/30
15/30
15/30
10/20
10/20
10/20
7.5/15
7.5/15
7.5/15
5/10
5/10
5/10
10/20
10/20
10/20
7.5/15
7.5/15
7.5/15
10/20
10/20
10/20
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.5
0.6
0.5
0.5
0.6
1.0
3.0
3.0
3.0
1.5
0.8
1.75
1.8
2.7
5.0
1.5
1.5
1.5
0.8
0.8
0.8
0.8
0.8
0.8
0.8
1.0
1.0
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
0.8
Package
Chip
Chip
Chip
Chip
TO-92
DPAK
TO-220AB
TO-220AC
TO-220AC
TO-220AB
TO-220AC
TO-220AB
TO-220AB
TO-220AB
D2PAK
81
TRANSISTOR
DISCRETE SEMICONDUCTORS
Thyristors and Triacs
• Power Thyristors and Triacs
Part
Pin to Pin
Compatibility
Repetitive
RMS
Peak Off- On-State
State
Current
Voltages
V DRM,
IT (RMS)
V RRM
V
А
2
Off-State
It
for Fusing Leakage
Current
Holding
Current
Latching
Current
Gate
Trigger
Current
Peak Gate
Current
ID, IR
IH
IL
IGT
IGM
I t, А с
mA
mA
mA
mA
А
Package
2
2
Thyristor
BT300-600R
КУ405А
BT300-800R
КУ405Б
КУ713А
КУ713Б
600
800
600
800
8.0
21
≤0.5
≤100
≤120
≤30
2.0
40
1060
≤0.1
≤100
≤100
≤50
4.0
КУ714А
КУ714Б
1200
1600
25
265
≤0.2
≤80
≤100
≤60
2.0
TO-218
21
≤0.5
≤90
≤60
≤50
2.0
TO-220AB
40
880
≤0.1
≤80
≤100
≤50
8.0
25
265
≤0.2
≤100
≤120
≤100
2.0
Triac
КУ613А
КУ613Б
КУ903А
КУ903Б
КУ904А
КУ904Б
TO-220AB
TO-218
8.0
BTA208-600B
BTA208-800B
600
800
600
800
1200
1600
82
TRANSISTOR
TO-218
TO-218
INTEGRATED CIRCUITS
• Voltage Regulators
Part
Pin to Pin
Compatibility
Positive Voltage Regulators
KP1180EHXXA 78XXAC
KP1180EHXXБ 78XXC
KP1180EHXXВ 78XXB
Output Voltage,
V
5; 6; 8; 9; 10, 12;
15; 18; 20; 24
5; 6; 8;
9:10;12;15;18;24
КР1181ЕНХХА 78LXXAC
5; 6; 8; 9; 12; 15;
КР1181ЕНХХБ 78LXXC
18; 24
Positive Low Dropout Regulators
IL2931Z-X
LM2931Z-X
3.3; 5.0; 9.0
IL2931AZ-X
LM2931AZ-X
IL2940CT-5
LM2940CT-5
5.0
IL2940CT-12
LM2940CT-12 12.0
3.3; 5; 8; 9; 12;
IL78RXX
KA78RXX
15
1.2; 1.25; 1.5;
IL1117A-XX
AMS1117A-XX 1.8; 2.5; 2.85;
3.3; 5
1.2; 1.25; 1.5;
К1283ЕНХХ
UR233-XХ
1.8; 2.5; 2.85;
3.3; 5
IL2954IT-3.3
LM2954IT-3.3
3.3
IL2940IT-5.0
LM2940IT-5.0
5.0
IL3480-X
LM3480
3.3; 5.0
1.25; 1.5; 1.8;
К1282ЕНХХ
LT1084-XХ
2.5; 2.85; 3.3;
3.6; 5.0
1.25; 1.5; 1.8;
IL1085-X
LT1085
2.5; 2.85; 3.3;
3.6; 5.0
К1234ЕН3АП
LT1086-3.3
3.3
Negative Regulators
КР1179ЕНХХА IL79XXAC
5; 6; 8; 9; 12; 15;
КР1179ЕНХХБ IL79XXC
18; 20; 24
КР1179ЕНХХВ IL79XXB
КР1199ЕНХХА 79LXXAC
5; 6; 8; 9; 12; 15;
КР1199ЕНХХБ 79LXXC
18; 24
К1261ЕНХХП
78FXX
Output
Output
Current,
Voltage
A
Tolerance, %
Tested Operating
Junction Temp.
Range, °C
Package
1.0
2
4
4
Tj= -10…+125
Tj= -10…+125
Tj= -45…+125
TO-220
1.0
4
Tj= -10…+125
TO-126
0.1
5
10
Tj= -10…+125
TO-92
1.0
1.0
5
3.8
3
3
Tj= -10…+125
Tj= -10…+125
TO-92
TO-220
TO-220
TO-220
1.0
2.5
Tj= -20…+80
TO-220
1.0
1.5
Tj= -40…+125
TO-220
TO-126
0.8
1.5
Tj= -40…+125
TO-220
TO-126
0.250
0.250
0.1
1
1
4
Tj= -40…+125
Tj= -40…+125
Tj= -10…+125
TO-220
TO-220
TO-92
5.0
1.5
Tj= -10…+125
TO-220
3.0
1.5
Tj= -10…+125
TO-220
1.5
2
Tj=-10…+125
TO-220
2
4
4
5
10
Tj= -10…+125
Tj= -10…+125
Tj= -45…+125
TO-220
Tj= -10…+125
TO-92
0.1
1.0
0.1
Tj= -40…+125
Adjustable Voltage Regulators
Part
К1285ЕР1П
IL2931CD
Pin to Pin
Compatibility
LM317L
LM2931C
Function
Package
Adjustable Output Positive Voltage Regulator 0.1 A; Tj= -40…+125°C
Adjustable Dropout Voltage Regulator 0.1 A; (3…24 V) Tj= -40…+125°C
TO-92
SO-8
Switching Regulator
Part
IL2596 – 3.3
IL2596 – 5
IL2596 – 12
IL2596 – ADJ
Pin to Pin
Compatibility
LM2596 – 3.3
LM2596 – 5
LM2596 – 12
LM2596 – ADJ
Function
Power Converter 150kHz 3A Step-Down Voltage
Regulator
Tj=-40…+125°C
Package
TO-220 AB/5
83
TRANSISTOR
INTEGRATED CIRCUITS
• Precision Low Voltage Reference
Pin to Pin
Function
Compatibility
K1242EP1
TL431
Programmable precision references.
This monolithic IC voltage references operate as a
low temperature coefficient zener which is
programmable from Uref to 37 with two external
resistors.
The characteristics of these references make them
excellents replacements for zener diodes in many
applications such as digital voltmeters, power
supplies, and operation amplifier circultry.
Programmable precision references.
K142EP2ПИМ TL432
This monolithic IC voltage references operate as a
low temperature coefficient zener which is
programmable from Uref to 16 with two external
resistors.
The characteristics of these references make them
excellents replacements for zener diodes in many
applications such as digital voltmeters, power
supplies, and operation amplifier circultry.
Part
Features
Package
Vref = 2.5…37 V
TO-92
SOT-23
I k max=100 mA
SO-8
… Shunt Reference
Dynamic
… Impedance Z ≤ 0.5 Ω
… Tolerance 0.5%; 1%; 2%
…
…
Vref= 1.24…16V
Ikmax = 100 mA
… Shunt Reference
Dynamic
… Impedance Z ≤ 0.5 Ω
… Tolerance 0.5%; 1%
…
TO-92
…
• Voltage Detectors
Pin to Pin
Compatibility
Part
К1274СПХХП
KIA70ХХ
Function
Package
Voltage Detector
Ucc max= 15 V; IOLmax<16 mA;
Us= 2.1/2.3/2.5/2.9/ 3.3/ 3.6/3.7/ 3.9/ 4.2/ 4.5 V
TO-92
• Melody IC
Part
ВТ8028-ХХ
ВТ8031-ХХ
ХХ – Melody code
Maximum Number
of Tunes
(Notes)
16 (64)
2 (127)
Icc, μA
Vcc
V
1.3 – 3.3
1.3 – 3.3
84
TRANSISTOR
Tune Play
Stop
60
1.0
0.5
0.5
Package
TO-92
TO-92
INTEGRATED CIRCUITS
• ICs for Television
Part
ЭKP1087EУ1
К1033ЕУ25Р
К1033ЕУ25Т
Pin to Pin
Compatibility
TDA4605-02
UC3843
ЭKP1568KH1
IL3842ANF
UC3842
IL3844NF
UC3844
IL3845NF
UC3845
IL9005N
Function
Characteristics
Control IC for SMPS Vcc = 8.0 ÷ 14 V
Using
Consumption Current
MOS-Transistors
- at start-up
≤1.5 mA
- on-state
≤ 6.0 mA
Current Mode PWM Vcc = 7.0 ÷ 25 V
Controller
Consumption Current
- before turn on ≤1.0 mA
- after turn on ≤17 mA
Start Threshold VTH (ST) = 7.8 ÷ 9.0 V
PWM 0 ÷ 94%
TV Band Decoder
Vcc = 10.8 ÷ 13.2 V
Icc ≤ 20 mA
Vо = -0.3 ÷ Vcc+0.3 V
Current Mode PWM Vcc = 11.5 ÷ 25 V
Controller
Consumption Current
- before turn on ≤1.0 mA
- after turn on ≤17 mA
Start Threshold VTH (ST) = 14.5 ÷ 17.5 V
PWM 0 ÷ 94%
Current Mode PWM Vcc = 11.5 ÷ 25V
Controller
Consumption Current
- before turn on ≤1.0 mA
- after turn on ≤17 mA
Start Threshold VTH (ST) = 14.5 ÷ 17.5 V
PWM 0 ÷ 50%
Current Mode PWM Vcc = 11.5 ÷ 25V
Controller
Consumption Current:
- before turn on ≤1.0 mA
- after turn on ≤17 mA
Start Threshold VTH (ST) = 7.8 ÷ 9.0 V
PWM 0 ÷ 50%
TV Band Decoder
Vcc = 4.5 ÷ 5.5 V
Icc ≤ 15 mA
Vo =-0.3 ÷ Vcc + 0.3 V
Package
DIP-8
DIP-8
SO-8
DIP-8
DIP-8
DIP-8
DIP-8
DIP-8
85
TRANSISTOR
TSVETOTRON
DISCRETE SEMICONDUCTORS
Diodes
• Silicon Epitaxial Planar Switching Diodes
VF,
V
Part
1N4148
1N4147
LL4148
LL4147
1.0
1.0
1.0
1.0
• Zener Diodes
Part
BZV55-C2V7
BZV55-C3V0
BZV55-C3V3
BZV55-C3V6
BZV55-C3V9
BZV55-C4V3
BZV55-C4V7
BZV55-C5V1
BZV55-C5V6
BZV55-C6V2
BZV55-C6V8
BZV55-C7V5
BZV55-C8V2
BZV55-C9V1
BZV55-C10
BZV55-C11
BZV55-C12
BZX55-C2V7
BZX55-C3V0
BZX55-C3V3
BZX55-C3V6
BZX55-C3V9
BZX55-C4V3
BZX55-C4V7
BZX55-C5V1
BZX55-C5V6
BZX55-C6V2
BZX55-C6V8
BZX55-C7V5
BZX55-C8V2
BZX55-C9V1
BZX55-C10
BZX55-C11
BZX55-C12
BZX55-C13VO
BZX55-C15VO
BZX55-C16VO
BZX55-C18VO
BZX55-C20VO
BZX55-C22VO
BZX55-C24VO
BZX55-C27VO
BZX55-C30VO
BZX55-C33VO
BZX55-C36VO
BZX55-C39VO
BZX55-C43VO
IF,
mA
10
30
10
30
Vz, V
2.7
3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10.0
11.0
12.0
2.7
3.0
3.3
3.6
3.9
4.3
4.7
5.1
5.6
6.2
6.8
7.5
8.2
9.1
10.0
11.0
12.0
13.0
15.0
16.0
18.0
20.0
22.0
24.0
27.0
30.0
33.0
36.0
39.0
43.0
Ir,
μA
5.0
5.0
5.0
5.0
(Pmax=500 mW)
Iz, mA
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
2.5
2.5
Rdif max., Ω
85
85
85
85
85
75
60
35
25
10
8
7
7
10
15
20
20
85
85
85
85
85
75
60
35
25
10
8
7
7
10
15
20
20
26
30
40
50
55
55
80
80
80
80
80
90
90
IZ, mA
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
5
2.5
2.5
88
TSVETOTRON
Vr,
V
75
30
75
30
Ir, μA
10.0
4.0
2.0
2.0
2.0
1.0
0.5
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
10.0
4.0
2.0
2.0
2.0
1.0
0.5
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
0.1
Cd,
pF
4.0
10.0
4.0
10.0
Vr, V
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
2.0
3.0
5.0
6.0
7.0
7.5
8.5
9.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
1.0
2.0
3.0
5.0
6.0
7.0
7.5
8.5
9.0
10.0
11.0
12.0
14.0
15.0
17.0
18.0
20.0
22.0
24.0
27.0
28.0
32.0
Iz max, mA
135
125
115
105
95
90
85
80
70
64
58
53
47
43
40
36
32
135
125
115
105
90
90
85
80
70
64
58
53
47
43
40
36
32
29
27
24
21
20
18
16
14
13
12
11
10
9.2
Package
DO-35
DO-35
SOD-80
SOD-80
Package
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
SOD-80
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
DO-35
PACKAGE OUTLINES
PACKAGE OUTLINES
• 8- to 28-Pin Plastic SO (D/DW)
N
e
E
H
N/2
1 2 3
hx450
D
A
C
e
A1
L
B
Pins, N
JEDEC
Analog
Suffix
8
14
16
16
18
20
MS-012AA
MS-012AB
MS-012AC
MS-013AA
MS-013AB
MS-013AC
D
D
D
DW
DW
DW
24
28
MS-013AD MS-013AE
DW
DW
Dimension, mm
A
A1
B
C
D
E
e
e1
H
h
L
α
min
max
min
max
min
max
min
max
min
max
min
max
nom
nom
min
max
min
max
min
max
min
max
1.35
1.75
0.10
0.25
0.33
0.51
0.19
0.25
4.80
5.00
3.80
4.00
1.27
5.72
5.80
6.20
0.25
0.50
0.40
1.27
0°
8°
1.35
1.75
0.10
0.25
0.33
0.51
0.19
0.25
8.55
8.75
3.80
4.00
1.27
5.72
5.80
6.20
0.25
0.50
0.40
1.27
0°
8°
1.35
1.75
0.10
0.25
0.33
0.51
0.19
0.25
9.80
10.00
3.80
4.00
1.27
5.72
5.80
6.20
0.25
0.50
0.40
1.27
0°
8°
2.35
2.65
0.10
0.30
0.33
0.51
0.23
0.32
10.10
10.50
7.40
7.60
1.27
9.53
10.00
10.65
0.25
0.75
0.40
1.27
0°
8°
90
2.35
2.65
0.10
0.30
0.33
0.51
0.23
0.32
11.35
11.75
7.40
7.60
1.27
9.53
10.00
10.65
0.25
0.75
0.40
1.27
0°
8°
2.35
2.65
0.10
0.30
0.33
0.51
0.23
0.32
12.60
13.00
7.40
7.60
1.27
9.53
10.00
10.65
0.25
0.75
0.40
1.27
0°
8°
2.35
2.65
0.10
0.30
0.33
0.51
0.23
0.32
15.20
15.60
7.40
7.60
1.27
9.53
10.00
10.65
0.25
0.75
0.40
1.27
0°
8°
2.35
2.65
0.10
0.30
0.33
0.51
0.23
0.32
17.70
18.10
7.40
7.60
1.27
9.53
10.00
10.65
0.25
0.75
0.40
1.27
0°
8°
PACKAGE OUTLINES
• 8- to 64-Pin Plastic Dual-in-Line (N/NS)
N
E1
D
E
A1
A2
A
A1
B2
e
L
e2
B
C
Pins, N
JEDEC
Analog
Suffix
A
A1
A2
B
B2
C
D
E
E1
e
e2
L
α
8
14
16
18
20
22
24
28
30
40
42
52
56
64
MSMSMSMSMSMSMSMSMOMSMSMSMSSOT
001BA 001AA 001BB 001AC 001AD 010AA 001AF 011AB 026BB 011AC 020AB 020AD 020AE 274-1
N
N
N
N
N
N
N
N
NS
N
NS
NS
NS
NS
Dimension, mm
max
5.33
5.33
5.33
5.33
5.33
5.33
5.33
6.35
5.08
6.35
5.08
5.08
5.08
5.84
min
0.38
0.38
0.38
0.38
0.38
0.38
0.38
0.38
0.51
0.38
0.51
0.51
0.51
0.51
min
2.92
2.92
max
4.95
4.95
min
0.36
0.36
max
0.56
0.56
min
1.14
1.14
max
1.78
1.78
min
0.20
0.20
max
0.36
0.36
min
8.51 18.67
max 10.16 19.69
min
7.62
7.62
max
8.26
8.26
min
6.1
6.1
max
7.11
7.11
nom 2.54
2.54
nom 7.62
7.62
min
2.92
2.92
max
3.81
3.81
min
0°
0°
max 10°
10°
2.92
4.95
0.36
0.56
1.14
1.78
0.20
0.36
18.67
19.69
7.62
8.26
6.1
7.11
2.54
7.62
2.92
3.81
0°
10°
2.92
4.95
0.36
0.56
1.14
1.78
0.20
0.36
22.35
23.37
7.62
8.26
6.1
7.11
2.54
7.62
2.92
3.81
0°
10°
2.92
4.95
0.36
0.56
1.14
1.78
0.20
0.36
24.89
26.92
7.62
8.26
6.1
7.11
2.54
7.62
2.92
3.81
0°
10°
3.18
4.95
0.36
0.56
1.14
1.65
0.23
0.38
27.05
28.45
9.91
10.80
8.38
9.91
2.54
10.16
2.92
4.06
0°
15°
91
2.92
4.95
0.36
0.56
1.14
1.78
0.20
0.36
31.24
32.51
7.62
8.26
6.1
7.11
2.54
7.62
2.92
3.81
0°
10°
3.18
4.95
0.36
0.56
0.77
1.78
0.20
0.38
35.10
39.70
15.24
15.87
12.32
14.73
2.54
15.24
2.92
5.08
0°
10°
3.05
4.57
0.36
0.58
0.76
1.40
0.20
0.36
26.67
28.49
9.91
11.05
7.62
9.40
1.778
10.16
2.54
3.81
0°
10°
3.18
4.95
0.36
0.56
0.77
1.78
0.20
0.38
50.30
53.20
15.24
15.87
12.32
14.73
2.54
15.24
2.92
5.08
0°
10°
3.05
4.57
0.38
0.56
0.89
1.14
0.23
0.38
36.58
37.08
15.24
16.00
12.70
14.48
1.778
15.24
2.54
3.56
0°
10°
3.05
4.57
0.38
0.56
0.89
1.14
0.23
0.38
45.72
46.23
15.24
16.00
12.70
14.48
1.778
15.24
2.54
3.56
0°
10°
3.05
4.57
0.38
0.56
0.89
1.14
0.23
0.38
45.72
46.23
15.24
16.00
12.70
14.48
1.778
15.24
2.54
3.56
0°
15°
3.05
4.57
0.4
0.53
0.8
1.3
0.23
0.38
57.7
58.67
19.05
19.61
16.9
17.2
1.778
19.05
2.8
3.2
0°
15°
PACKAGE OUTLINES
• 24-Pin Metal Ceramic Dual-in-Line
Dimension
D
E
b
A
A1
b
b1
C
D
E
e
e1
e2
L
Z
A1
A
L
C
e2
e
e1
Z
b1
• 64- Pin Quad Plastic Flat (MQFP)
HE
HD
B
T 0.2 M
51
33
52
32
L
D
Q
L
L1
L1
20
Y
Z
Q
64
19
1
E
A
A1
B
C
D
E
e
HD
HE
Z
max
4.63
1.8
1.5
0.55
0.3
29.5
14.85
0.8
0.41
0.22
28.9
14.43
2.5
27.5
15.0
3.26
A1 A
Dimension
C
mm
min
3.74
1.25
мм
min
max
2.5
2.05
0.36
0.13
13.85
19.85
18.9
0.5
0.2
14.15
20.15
1.0
19.5
24.9
25.5
1.05
2.4
1.45
2.8
3°
0.15
1.1
7°
• 28-Pin Metal Ceramic Flat
D
C
Dimension
A
P1
A
A1
b
C
D
E
e
e1
L
P
P1
Z
14
15
b
e
E
1
e
A1
A1
28
Z
1
L
P
92
mm
min
2.75
max
3.46
0.7
0.31
0.13
12.43
18.09
0.45
0.2
12.7
18.3
1.25
16.25
6.0
25.77
13.43
26.1
13.7
1.05
PACKAGE OUTLINES
• 3-Pin Special Plastic Single-in-Line (SIL-3P)
5.6 0.15
6 0.15
8.4 0.15
А
1.3 0.125
01
02
03
1*
1* 1 .3 *
R2.5*
30.5 0.5
5.55*
7.1 0.15
4 0.15
0.8 max
3 pins 0.65-0.14
0.25 M
2.54
0.43 0.5
5.08
• TO-220AB/3
93
PACKAGE OUTLINES
• TO-3 Jumbo
• P-SOT223-4-1, P-SOT223-4-2
6.45 -0. 15
3.0 0.1
о
0.45 0.03
10
0.54
2.54 0.1
1.62
04
о
0.5min
0.7
о
15 max
3.5 -0.3
+0.1
0.1max
0.4
7.0 0.3
0.54
15 max
01
0.58 -0. 08
02
03
0.78 -0. 08
0.25
M
2.3
0.28 0.04
0.25
4.6
94
M
1.6 0.1
1.8max
PACKAGE OUTLINES
2.8 0.07
•P-TO-220-5-11 (TO-220AB/5)
10.4 max
3.6+0.075
4.8 max
1.35-0.1
17.85
2
45
15.75
9.2 0.15
R1.3 0.05
22.5 0.105
25.3 0.26
6.6 max
9.9 0.11
1.3
2.1
2.6 0.1
1-0.5
0.43 0.05
1
5
1.7
5 pins 0,9-0,1
0.25 M
3.4
4
0.25 M
4.5
4,0
•P-TO-220-5-12
10 0.2
2.8 0.2
11 0.5
13 0.5
9.25 0.2
15.65 0.3
17 0.3
4.4
6x
0.8
0...0.15
0.5
2.4
0.25 M
95
PACKAGE OUTLINES
• SIP 5
3.7 max
0.5 max
10 max
18.4 max
5 pins 0.54
R 0.25 M
0.8
0.1
0.4
1
2.5 0.1
2
3
4
5
4 x 2.5 = 10
•P-TO-263-5-1
96
-0.1
3.75 ±0.25
Key
PACKAGE OUTLINES
•P-TO-220-7-180
•P-TO-220-7-230
9.9 0.2
9.2 0.2
3.7 0.15
12.5 0.5
2.8
0.2
15.6 0.3
17.5 0.3
4.4
7x
0.6
0.5
2.4
0.25 M
0...0.15
97
PACKAGE OUTLINES
2.8 0.07
• 7-Pin Plastic Power Dual-in-Line (TO-220 AB/7)
9.9 0.11
4.5 1
+0.015
6.6 max
3.6
25.7 0.3
17.0
14.9
22.62
9.2 0.15
2
45
0,7 max
1.3
0.002
1
0.55
0.14
2.65 0.1
1.27
7 pins 0,7
5.08
0,1
6 127=7.62
• 9-Pin Plastic Power DIL-Bent-SIL (DBS-9P)
24-0.21
4.4 0.15
12 -0.18
19.8 0.026
2 0.06
12
0.35
2 0.2
17 max
3.2 0.15
1
9
2.54
0.65 0.05
0.25 M
98
0.4 0.05
4.3
0.8
M
5.08
PACKAGE OUTLINES
• SOT523-1
• 9-Pin Plastic Power Single-in-Line (SIL-9MPF)
21.8-0.21
15 0.09
1.65 0.03
3.3+0.075
+0.06
6.35 0.15
4.3 0.06
5.8 0.06
3 min
Key
1.2 0.125
1.2 0.125
0.7 max
18.5 max
8.7-0.15
2.6
9 pins 0.67-0.14
R 0.125 M
1 max
99
0.45-0.1
PACKAGE OUTLINES
• 9-Pin Plastic Power Single-in-Line (SIL-9P)
24-0.21
4.4
0.15
12-0.18
19.8 0.026
16.8 0.135
3.2 0.15
1
9
2.54
2 0.06
0.4 0.05
0.65 0.05
0.25 M
• 9-Pin Plastic Power DIL-Bent-SI (DBS-9MPF)
100
PACKAGE OUTLINES
• SIL-13P
Dimension
A
A2
bp
c
D(1)
d
Dh
E(1)
e
e1
e2
Eh
j
L
L3
m
Q
v
w
x
Z(1)
mm
Min
15.5
4.2
0.60
0.38
23.6
19.6
Max
17.0
4.6
0.75
0.48
24.0
20.0
10
11.8
12.2
3.4
1.7
5.08
6
3.1
11.0
1.6
3.4
12.4
2.4
4.3
1.8
2.1
0.8
0.25
0.03
1.45
2.00
• SIL-15P
Dimension
A
B
C
D
E
F
G
G1
H
L
L1
L2
L3
L4
M
M1
S
Dia1
R1
101
mm
Min
2.5
1.45
1.475
0.38
0.65
24.64
7.2
17.54
10.7
2.73
15.35
3.8
1.38
Max
5.0
2.7
1.55
1.725
0.48
0.75
1.27
17.78
20.2
25.16
7.6
18.06
10.85
2.87
4.55
5.08
15.85
3.875
1.88
PACKAGE OUTLINES
• QFP-80
Dimension
A
B
C
D
F
G
H
I
J
K
L
M
N
P
Q
R
S
mm
MIN
21.9
19.8
13.8
17.2
MAX
22.7
20.2
14.2
18.0
1.0
0.8
0.25 0.45±0.10
0.15
0.8 (T.P.)
1.6
2.0
0.6
1.0
0.15
0.10
2.7
0
0.2
0o
10o
3.0
• QFP-100
Dimension
A
B
C
D
F
G
H
I
J
K
L
M
N
P
Q
R
S
102
mm
MIN
23.0
19.8
13.0±0.2
17.0
MAX
23.4
20.2
14.2
17.4
0.8
0.6
0.20
0.40
0.15
0.65 (T.P.)
1.4
1.8
0.6
1.0
0.05
0.25
0.10
2.7
0.125±0.075 0.125±0.075
0o
10o
3.0
PACKAGE OUTLINES
• Case 22A-01
D
E
Dimension
b
D
E
L
P
P1
L
b
P1
P
j
k
α
mm
min
2.2
max
0.5
4.95
5.3
14.5
5.84
2.6
0.94
0.88
40°
1.12
1.12
50°
12.5
j
k
• DO-35
L
Dimension
L1
D
I1
d
I1
I3
I3
D
d
L
L1
max
1.9
0.56
4.4
3.8
H
l1
63.8
2.5
l3
H
mm
min
26.0
30.0
Notes:
1. I1 - Lead length is not controlled and
unmounted in this zone.
2. The following type of packages exist:
l3 ≥ 15 mm H ≤ 34.5 mm and
l3 ≥ 29.6 mm H = 62.78 ± 0.6 mm
103
PACKAGE OUTLINES
• MELF
mm
Dimension
min
2.4
2.3
4.7
D
d
H
L
max
2.5
2.4
5.1
0.4
L
L
• SOD-80 (MiniMELF)
D
d
mm
Dimension
min
1.6
D
d
H
L
3.3
max
1.7
1.5
3.7
0.4
H
• SOT-23
b
A
Dimension
C
D
e
e
E
D1
L
Q
A1
104
mm
A
A1
min
0.75
0.25
max
0.95
1.1
b
C
D
D1
0.38
0.5
1.2
2.1
0.46
0.65
1.4
2.5
E
e
L
Q
2.8
0.85
0.4
0.09
3.0
1.05
0.6
0.15
PACKAGE OUTLINES
• TO-18
D
E
Dimension
L
b
P1
P
b
D
E
L
P
P1
j
k
j
α
mm
min
2.2
max
0.5
4.95
5.3
14.5
5.84
2.6
0.94
0.88
40°
1.12
1.12
50°
12.5
k
• TO-72
D
E
Dimension
b
D
E
L
P
P1
L
b
P1
j
k
P
α
j
k
105
mm
min
2.2
max
0.5
4.95
5.3
14.5
5.84
2.6
0.94
0.88
40°
1.12
1.12
50°
12.5
PACKAGE OUTLINES
• TO-92
Q
Dimension
b
e
D
e
L1
d
A
E
E
b
D
d
A
e
L
L1
mm
min
4.6
max
5.1
0.5
5.0
1.65
3.8
1.2827
14.5
2.0
4.6
1.61
3.5
1.2573
12.5
Q
0.5
• TO-126
D
A
q1
E
P
Dimension
A
b
c
D
E
e
L
L1
L1
L
e
b
P
Q
q1
Q
c
106
mm
min
2.5
0.9
7.4
10.6
2.2
15.6
3.05
3.6
max
2.8
0.88
1.5
7.8
11.0
2.4
16.4
2.54
3.20
0.6
4.0
PACKAGE OUTLINES
• TO-218
A
D
F
Dimension
q1
P
E
E1
L1
L
b
e
Q
c
e
mm
A
b
С
D
E
E1
min
4.9
1.0
2.2
14.8
20.1
12.5
max
5.0
1.2
2.8
15.2
20.5
12.7
e
F
L
L1
5.4
1.98
14.0
1.5
5.6
2.1
15.0
1.9
P
Q
q1
4.0
0.5
4.0
4.2
0.6
4.1
• TO-220AB
A
D
q1
F
Dimension
P
B
E
q
L1
L
e
b
e
c
Q
107
mm
A
B
b
c
D
E
e
F
L
L1
min
4.2
5.9
0.6
2.3
10.3
15.2
2.2
1.1
12.5
3.06
max
4.8
6.8
0.8
2.6
10.7
15.9
2.6
1.2
14.5
3.54
P
Q
q
q1
3.6
0.55
15.785
2.6
3.72
0.75
16.215
3.0
PACKAGE OUTLINES
• TO-220AC
Dimension
A
B
b
c
D
E
e
F
L
L1
P
Q
q
q1
108
mm
min
4.2
5.9
0.6
2.3
10.3
15.2
2.2
1.1
12.5
3.06
3.6
0.55
15.785
2.6
max
4.8
6.8
0.8
2.6
10.7
15.9
2.6
1.2
14.5
3.54
3.72
0.75
16.215
3
PACKAGE OUTLINES
• DPACK
Dimension
A
B
C
D
E
F
G
H
J
K
L
R
S
U
V
mm
max
6.22
6.73
2.37
0.85
0.61
1.05
4.58
0.9
1.0
0.46
0.61
2.65
2.9
2.24
2.34
5.21
5.39
0.7
1.0
0.51
1.15
1.25
min
5.99
6.37
2.23
0.71
0.46
-
• D2PACK
Dimension
A
B
C
D
E
F
G
H
J
K
L
R
S
U
V
109
mm
max
8.71
10.28
4.55
1.4
1.3
0.85
5.08
2.3
2.5
0.46
0.6
4.76
5.24
2.54
6.89
7.11
1.45
1.55
2.3
2.7
1.4
min
8.49
9.92
4.25
1.15
1.1
0.71
HEADQUARTERS
INTEGRAL JOINT STOCK COMPANY
12, Korzhenevskogo Str., Minsk, 220108,
Republic of Belarus
Tel.: ................................... (375 17) 278 4627
.. .........................................(375 17) 278 4882
Fax: .....................................(375 17) 212 1521
E-mail: [email protected]
MARKETING DEPARTMENT
12, Korzhenevskogo Str., Minsk, 220108,
Republic of Belarus
Tel.: .................................... (375 17) 2789 963
Fax:..................................... (375 17) 2123 051
E-mail: [email protected]
http://www.integral.by
INTEGRALINTORG Foreign Trade Firm
12, Korzhenevskogo Str., Minsk, 220108,
Republic of Belarus
Tel.: .................................... (375 17) 278 4627
.. .........................................(375 17) 278 4882
Fax:......................................(375 17) 212 1521
E-mail: [email protected]
MICROELECTRONICS
SEMICONDUCTOR DEVICES Factory
subsidiary of INTEGRAL JSC
12, Korzhenevskogo Str., Minsk, 220108,
Republic of Belarus
Tel.: ....................................(375 17) 278 4627
marketing: ...........................(375 17) 212 3051
Fax: ....................................(375 17) 278 1622
E-mail: [email protected]
Research Design Center BELMICROSYSTEMS
subsidiary of INTEGRAL JSC
12, Korzhenevskogo Str., Minsk, 220108,
Republic of Belarus
Tel.: ....................................(375 17) 278 6587
...........................................(375 17) 212 6916
Fax: ....................................(375 17) 278 2822
E-mail: [email protected]
TRANSISTOR Subsidiary of INTEGRAL JSC
16, Korzhenevskogo Str., Minsk, 220108
Republic of Belarus
Tel.: …………………..(375 17) 212 3802
Fax: …………………..(375 17) 278 2917
E-mail: [email protected]
http://www.transistor.by
http://www.bms.by
DISTRIBUTORS AND REPRESENTATIVES
REPUBLIC OF KOREA
IK Semicon Co., Ltd.
Rm.503, DMC Hi-Tech Industrial Center, #1580,
Sangam-Dong, Mapo-Gu, Seoul, Korea
Tel.: .......................................(822) 3153-7090
Fax: .......................................(822) 3153 7095
E-mail: [email protected]
TAIWAN
FRANMAR INT. Inc.
th
4F-6, No.81, Sec.1, Shintai 5 Rd,
Shir City, Taipei, Taiwan 221, R.O.C.
Tel.: ...................................... (886 2) 2698 0575
Fax: ...................................... (886 2) 2698 0585
E-mail: [email protected]
ELEKTRONIKA SALES CORPORATION
16 Naransingapuram Street, Mount Road,
Chennai, 600002, INDIA
Tel.: .................................... (91 44) 2858 7765
Fax: .................................... (91 44) 2853 5833
E-mail: [email protected]
www.elektronikasales.com
J.P. ELECTRONIC DEVICES (INDIA) PVT LTD
# 29/30, Daffodils, Sector 14, Vashi, Navi
Mumbai 400705, INDIA
Tel. ............................ (91 22) 2578 5099
Fax .......................... (91 22) 5596 9976
E-mail: [email protected]
www.jpedindia.com
CHINA
Representative Office of SOE Semiconductor
Devices Factory “Integral” JSC in Shanghai
Rm. C304, No.808 Hongqiao road, Jiahua
Business Center, Shanghai
Tel.: ....................................(86 21) 6447 6981
............................................(86 21) 6447 8971
Mobile phone………………..(86 13) 6816 48069
Fax: ....................................(86 21) 6447 8507
E-mail: [email protected]
110