LVL1 LXP610

'$7$ 6+((7
$35,/ ìääç
5HYLVLRQ íïí
/;3çìí
/RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
*HQHUDO 'HVFULSWLRQ
ì
)HDWXUHV
7KH /;3çìí 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô RIIHUV
SLQðVHOHFWDEOH IUHTXHQF\ FRQYHUVLRQ EHWZHHQ 7ì DQG (ì
UDWHV DV ZHOO DV å DGGLWLRQDO UDWHV IURP ìïèéé 0+] WR
åïìäë 0+]ï 7KH RXWSXW FORFN LV IUHTXHQF\ðORFNHG WR WKH
LQSXW FORFNï :KHQ DQ LQSXW IUDPH V\QF SXOVH LV SURYLGHGñ
WKH &/$' SKDVHðORFNV WKH LQSXW DQG RXWSXW FORFNV
‡ 7UDQVODWHV EHWZHHQ ìí GLIIHUHQW IUHTXHQFLHVï
*HQHUDWHV EDVLF DQG KLJK IUHTXHQF\ RXWSXW FORFNV DQG
IUDPH V\QF IURP DQ LQSXW FORFN DQG LWV IUDPH V\QFï
‡ +LJK )UHTXHQF\ 2XWSXW FORFN IRU KLJKHUðWKDQðEDXG UDWH
EDFNSODQH V\VWHPV
WKH LQSXW IUDPH V\QF SXOVHï 7KH IUDPH V\QF SRODULW\ LV DOVR
‡ /RZ RXWSXW MLWWHU PHHWV $7÷7 3XEOLFDWLRQ çëéìì IRU
ìïèéé 0+]ñ DQG ,78 5HFRPPHQGDWLRQ *ïåëê IRU ëïíéå
0+]
SLQðVHOHFWDEOHï
‡ 'LJLWDO FRQWURO RI IUHTXHQF\ FRQYHUVLRQ SURFHVV
WRJHWKHUñ DQG ORFNV WKH å N+] RXWSXW IUDPH V\QF SXOVH WR
)LYH GLIIHUHQW KLJK IUHTXHQF\ RXWSXW FORFNV DUH DYDLODEOH
IRU DSSOLFDWLRQV ZKLFK UHTXLUH D KLJKHUðWKDQðEDXG UDWH
EDFNSODQH RU V\VWHP FORFNï 7KH KLJK IUHTXHQF\ RXWSXW
õ+)2ô FORFN YDULHV ZLWK WKH LQSXW FORFN IUHTXHQF\ï
/HYHO 2QH©V SDWHQWHG ORFNLQJ PHWKRG HQDEOHV WKH &/$' WR
SHUIRUP IUHTXHQF\ FRQYHUVLRQ ZLWK QR H[WHUQDO FRPSRð
QHQWVñ ZKLOH JHQHUDWLQJ YHU\ OLWWOH MLWWHU RQ WKH RXWSXW FORFNï
7KH FRQYHUVLRQ LV GLJLWDOO\ FRQWUROOHG VR WKH RXWSXW FORFN LV
DV DFFXUDWH DV WKH LQSXW FORFNï
7KH &/$' LV DQ DGYDQFHG &026 GHYLFHï ,W UHTXLUHV RQO\
D VLQJOH òè 9 SRZHU VXSSO\ï
‡ 3LQðVHOHFWDEOH RSHUDWLRQ PRGH
‡ /RZðSRZHU è 9 RQO\ &026 LQ ìéðSLQ SODVWLF ',3 RU
ëåðSLQ 3/&&
Input
Divider
‡ ,QWHUQDO WLPLQJ V\VWHP IRU &KDQQHO %DQNVñ 'LJLWDO /RRS
&DUULHUVñ 0XOWLSOH[HUVñ ,QWHUQDO 7LPLQJ *HQHUDWRUVñ HWFï
‡ &RQYHUVLRQ EHWZHHQ 7ìî(ì FORFN UDWHV DQG KLJKHU IUHð
TXHQF\ EDFNSODQH UDWHV õ7ìî(ì FRQYHUWHUô
‡ 6SHFLDO EDFNSODQH LQWHUIDFHV õHïJï 17, ëïèç 0+]ô
Feedback
Divider
SEL
FSI
P1
P2
P3
P4
L1
Output
Divider
é
è
æ
å
$SSOLFDWLRQV
/;7çìí %ORFN 'LDJUDP
CLKI
ê
ç
‡ 1R H[WHUQDO FRPSRQHQWV
Analog
Phase-Locked
Loop
ë
ä
ìí
ìì
ìë
HFO
ìê
CLKO
ìé
Frequency
Converter
Frame Sync
Generator
FSP
FSO
ìè
Frequency
Select
Logic
çðìì
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
ìé
ìê
ìë
ìì
ìí
ä
å
/;3çìí1(
9&&
3é
)6,
)63
6(/
3ë
*1'
QîF
+)2
QîF
QîF
QîF
&/.,
QîF
è
ç
æ
å
ä
ìí
ìì
/;7çìí3(
ëè
ëé
ëê
ëë
ëì
ëí
ìä
ìë
ìê
ìé
ìè
ìç
ìæ
ìå
ì
ë
ê
é
è
ç
æ
QîF
)6,
QîF
)63
QîF
6(/
QîF
QîF
&/.2
3ì
*1'
3ë
QîF
QîF
3ê
)62
+)2
QîF
&/.,
&/.2
3ì
é
ê
ë
ì
ëå
ëæ
ëç
QîF
QîF
)62
3ê
9&&
3é
QîF
)LJXUH ìã /;7çìí 3LQ $VVLJQPHQWV
7DEOH ìã 3LQ 'HVFULSWLRQV
3LQ ú
6\P
,î2
'HVFULSWLRQ
',3
3/&&
ì
æ
ä
ìê
ì
ìé
ìç
ëæ
3ê
3ì
3ë
3é
,
,
,
,
3URJUDP 3LQV êñ ìñ ëñ éï 3URJUDP SLQV FRQWURO IUHTXHQF\ FRQYHUVLRQ DQG )62
SXOVH ZLGWK LQ FRQMXQFWLRQ ZLWK WKH 6(/ SLQ DV OLVWHG LQ 7DEOH ëï
ë
ë
)62
2
ê
ç
+)2
2
è
ìí
&/.,
,
)UDPH 6\QF 2XWSXWï )UDPH V\QFKURQL]DWLRQ RXWSXW DW å N+]ï )62 LV V\QFKHG WR
&/.2 DQG WR )6, õLI )6, LV SURYLGHGïô $FWLYH /RZ XQOHVV )63 ìï
+LJK )UHTXHQF\ 2XWSXWï $ KLJK IUHTXHQF\ RXWSXW ZKLFK FDQ EH XVHG WR FORFN
H[WHUQDO GHYLFHVï +)2 RXWSXWV DUH GHWHUPLQHG LQ DFFRUGDQFH ZLWK 7DEOH ëï
&ORFN ,QSXWï 3ULPDU\ UDWH FORFN WR EH FRQYHUWHGï
ç
ìê
&/.2
í
å
ìè
*1'
&ORFN 2XWSXWï 3ULPDU\ UDWH FORFN GHULYHG IURP &/.,ï
*URXQGï
ìí
ëí
6(/
,
0RGH 6HOHFWï &RQWUROV IUHTXHQF\ FRQYHUVLRQ DQG )62 SXOVH ZLGWK LQ FRQMXQFWLRQ
ZLWK 3URJUDP SLQV ìðéñ DV OLVWHG LQ 7DEOH ëï
)UDPH 6\QF 3RODULW\ï :KHQ +LJKñ FDXVHV )6, DQG )62 WR EH DFWLYH +LJK SXOVHVï
ìì
ëë
)63
,
ìë
ëé
)6,
,
ìé
ëå
9&&
,
çðìë
)UDPH 6\QF ,QSXWï )UDPH V\QFKURQL]DWLRQ SXOVH õå N+] RU DQ\ VXEðUDWH PXOWLð
SOHôï $FWLYH /RZ ZKHQ )63 íï $FWLYH +LJK ZKHQ )63 ìï
3RZHU 6XSSO\ ,QSXWï òè 9 SRZHU VXSSO\ LQSXWï
L1
)XQFWLRQDO 'HVFULSWLRQ
)81&7,21$/ '(6&5,37,21
7KH &/$' FRQYHUWV DQ LQSXW FORFN õ&/.,ô DW D SDUWLFXODU
IUHTXHQF\ WR DQ RXWSXW FORFN õ&/.2ô DW D GLIIHUHQW IUHð
TXHQF\ï ,W DOVR SURGXFHV D IUDPH V\QF RXWSXW õ)62ô DQG D
KLJK IUHTXHQF\ RXWSXW FORFN õ+)2ôï 7KH +)2 IUHTXHQF\
LV D PXOWLSOH õë[ñ ê[ñ é[ñ RU è[ô RI &/.2ï 7KH VSHFLILF IUHð
TXHQFLHV DUH GHWHUPLQHG E\ WKH 0RGH 6HOHFW õ6(/ô DQG
3URJUDP õ3ì ð 3éô LQSXWVï 7DEOHV ë DQG ê OLVW WKH &/.2 DQG
+)2 IUHTXHQFLHV DYDLODEOH ZLWK D JLYHQ LQSXW &/.,ï
õ7DEOH ë LV NH\HG WR 3URJUDP 3LQ VHWWLQJVâ 7DEOH ê LV NH\HG
WR &/., IUHTXHQFLHVïô 5HIHU WR 7HVW 6SHFLILFDWLRQV IRU RXWð
SXW IUDPH V\QF DOLJQPHQWVï
,I DQ LQSXW IUDPH V\QF SXOVH LV QRW SURYLGHGñ WKH )6, SLQ
VKRXOG EH WLHG +LJK RU /RZï &/.2 DQG )62 DUH VWLOO JHQð
HUDWHG ZLWK WKH &/.2 IUHTXHQF\ ORFNHG WR &/.,ï
2XWSXW -LWWHU
7KH &/$' RXWSXW MLWWHU PHHWV WKH IROORZLQJ VSHFLILFDWLRQVã
‡ ëïíéå 0+] RU éïíäç 0+] WR ìïèéé 0+]ã ,Q WKLV
PRGH RI RSHUDWLRQñ WKH &/$' PHHWV WKH RXWSXW MLWWHU
UHTXLUHPHQWV RI $7÷7 3XEOLFDWLRQ çëéììï :KHQ
WKHUH LV QR MLWWHU RQ LQSXW FORFN &/.,ñ WKH PD[LPXP
MLWWHU RQ &/.2 LV íïíëí 8, SS ZLWK QR EDQGOLPLWLQJñ
íïíìí 8, LQ WKH ìí +] ð éí N+] EDQGñ DQG íïíìë 8, LQ
WKH å ð éí N+] EDQGï
‡ ìïèéé 0+] WR ëïíéå 0+] RU éïíäç 0+]ã ,Q WKLV PRGH
RI RSHUDWLRQ ZKHQ WKHUH LV QR RQñ MLWWHU LQSXW FORFN
&/.,MLWWHU RQ LQSXW FORFN &/.,ñ PD[LPXPñ WKH MLWWHU
RQ &/.2 LV íïíêè 8, SS RYHU WKH PD[LPXP MLWWHU RQ
&/.2 LV íïíêè 8, SS RYHU WKH UDQJH RI ëí +] WR ìíí
N+]ñ íïíëèñ DQG 83 SS LQ WKH ìåðìíí .+] DQG íïíëè
83 SS LQ WKH ìåðìíí N+] EDQGï
&/.2 LV DOZD\V IUHTXHQF\ðORFNHG WR &/.,ï :KHQ D IUDPH
V\QF LQSXW õ)6,ô LV VXSSOLHGñ &/., DQG &/.2 DUH DOVR
SKDVHðORFNHGï 7KH &/$' DFFHSWV )6, SXOVHV DW å N+]ñ RU
DW DQ\ VXEðUDWH PXOWLSOH õLïHïñ ìñ ë RU é N+]ôï 7KH IUDPH
V\QF RXWSXW õ)62ô SXOVH LV V\QFKURQL]HG WR WKH )6, SXOVHï
:KHQ DQ å N+] )6, LV ILUVW DVVHUWHGñ WKH &/., DQG &/.2
ULVLQJ HGJHV ZLOO EH DOLJQHG ZLWKLQ D PD[LPXP RI èíí PVï
)RU RWKHU )6, UDWHVñ WKH DOLJQPHQW SHULRG LV FRUUHVSRQGð
LQJO\ OHQJWKHQHGï )RU H[DPSOHñ DW é N+]ñ WKH )6,î)62
DOLJQPHQW LV FRPSOHWHG ZLWKLQ D PD[LPXP RI RQH VHFRQGï
V
7DEOH ëã 3URJUDP 3LQ )XQFWLRQV
0RGH 6HOHFW
3é 3ê 3ë 3ì
í
í
í
í
í
í
í
í
ì
ì
ì
ì
ì
ì
ì
ì
í
í
í
í
ì
ì
ì
ì
í
í
í
í
ì
ì
ì
ì
L1
í
í
ì
ì
í
í
ì
ì
í
í
ì
ì
í
í
ì
ì
í
ì
í
ì
í
ì
í
ì
í
ì
í
ì
í
ì
í
ì
6(/
í
6(/
ì
&/.,
&/.2
+)2
)62
&/.,
&/.2
+)2
)62
ìïèéé
êïíåå
ìïèéé
ìïèéé
ìïèéé
çïìæç
ìïèéé
çïìæç
êïíåå
êïíåå
êïíåå
ìïèéé
çïìæç
çïìæç
çïìæç
çïìæç
ëïíéå
ëïíéå
ëïíéå
ëïíéå
ëïèçí
éïíäç
ëïèçí
ëïíéå
ëïíéå
éïíäç
ëïíéå
éïíäç
ëïèçí
éïíäç
ëïèçí
éïíäç
çïìéé
åïìäë
çïìéé
åïìäë
æïçåí
åïìäë
æïçåí
åïìäë
çïìéé
åïìäë
çïìéé
åïìäë
æïçåí
åïìäë
æïçåí
åïìäë
/RQJ õ/ô
6KRUW õ6ô
/
6
/
/
/
6
/
/
/
/
/
/
/
/
ëïíéå
ëïíéå
ëïíéå
ëïíéå
ëïèçí
åïìäë
ëïèçí
åïìäë
ëïíéå
éïíäç
ëïíéå
éïíäç
ëïèçí
åïìäë
ëïèçí
åïìäë
êïíåå
êïíåå
ìïèéé
ìïèéé
ìïèéé
êïíåå
ìïèéé
ìïèéé
êïíåå
êïíåå
êïíåå
ìïèéé
ìïèéé
êïíåå
ìïèéé
ìïèéé
çïìæç
çïìæç
çïìæç
çïìæç
æïæëí
çïìæç
æïæëí
çïìæç
çïìæç
çïìæç
çïìæç
çïìæç
æïæëí
çïìæç
æïæëí
çïìæç
/
/
/
/
/
/
/
/
/
/
/
/
/
/
/
/
çðìê
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
-LWWHU 7UDQVIHU
7KH &/$' LV VHQVLWLYH WR MLWWHU RQ WKH LQSXW FORFN LQ FHUWDLQ
IUHTXHQF\ EDQGV 7KH MLWWHU WUDQVIHU FXUYH LV GHWHUPLQHG E\
WKH IUHTXHQF\ DQG DPSOLWXGH RI WKH LQSXW MLWWHUï 7HVW 6SHFLð
ILFDWLRQ )LJXUHV é DQG è VKRZ QRPLQDO MLWWHU WUDQVIHU PHDð
VXUHG LQ QDQRVHFRQGVï 7KHVH ILJXUHV JUDSK RXWSXW MLWWHU
õOHVV LQWULQVLF MLWWHUô GLYLGHG E\ LQSXW MLWWHU õíïëè 8,ôï -LWWHU
WUDQVIHU IURP D ëïíéå 0+] &/., WR D ìïèéé 0+] &/.2 LV
VKRZQ LQ )LJXUH éï ,Q WKLV PRGHñ MLWWHU LQ WKH FULWLFDO å N+]
EDQG LV DWWHQXDWHG ZKLOH MLWWHU LQ WKH ìå ð æí N+] EDQG LV
WUDQVIHUUHG ZLWK D VPDOO QHW JDLQï -LWWHU WUDQVIHU IURP D
ìïèéé 0+] &/., WR D ëïíéå 0+] &/.2 LV VKRZQ LQ )LJð
XUH èï ,Q ERWK PRGHVñ ZLWK DQ LQSXW MLWWHU OHYHO RI íïëè 8,ñ
MLWWHU WUDQVIHU LV KHOG EHORZ D QHW JDLQ RI ìïììíï õ-LWWHU WUDQVð
IHU YDULHV ZLWK WKH LQSXW MLWWHU OHYHOï 3HUIRUPDQFH LQ D SDUð
WLFXODU DSSOLFDWLRQ VKRXOG EH YHULILHG LQ WKH DFWXDO FLUFXLWïô
7DEOH êã ,QSXW WR 2XWSXW )UHTXHQF\ &RQYHUVLRQ 2SWLRQV
çðìé
&/.,
&/.2
+)2
)62
3é 3ê 3ë 3ì
6(/
ìïèéé
ëïíéå
çïìéé
/RQJ õ/ô
í
í
;
í
í
ìïèéé
ëïíéå
åïìäë
6KRUW õ6ô
í
í
ì
ì
í
ìïèéé
ëïèçí
æïçåí
/
í
ì
;
í
í
ìïèéé
éïíäç
åïìäë
/
ì
í
ì
ì
í
ëïíéå
ìïèéé
çïìæç
/
í
í
ì
;
ì
ëïíéå
ëïíéå
êïíåå
êïíåå
çïìæç
çïìæç
/
/
í
ì
í
í
í
;
;
í
ì
ì
ëïèçí
ìïèéé
æïæëí
/
;
ì
;
í
ì
êïíåå
ëïíéå
çïìéé
/
ì
í
;
í
í
êïíåå
ëïíéå
åïìäë
6
í
í
í
ì
í
êïíåå
éïíäç
åïìäë
/
ì
í
í
ì
í
éïíäç
ìïèéé
çïìæç
/
ì
í
ì
ì
ì
éïíäç
êïíåå
çïìæç
/
ì
í
í
ì
ì
çïìæç
ëïíéå
åïìäë
6
í
ì
ì
ì
í
çïìæç
ëïèçí
æïçåí
/
ì
ì
;
í
í
çïìæç
çïìæç
éïíäç
éïíäç
åïìäë
åïìäë
/
/
í
ì
ì
ì
í
;
ì
ì
í
í
åïìäë
ìïèéé
çïìæç
/
;
ì
ì
ì
ì
åïìäë
êïíåå
çïìæç
/
;
ì
í
ì
ì
L1
$SSOLFDWLRQ ,QIRUPDWLRQ
$33/,&$7,21 ,1)250$7,21
)UDPH 6\QF *HQHUDWLRQ
$ IUDPH V\QF SXOVH LV UHTXLUHG WR V\QFKURQL]H WKH LQSXW DQG
RXWSXW FORFNVï ,I D IUDPH V\QF SXOVH LV QRW SURYLGHG RQ WKH
EDFNSODQHñ RQH FDQ EH JHQHUDWHG IURP WKH H[LVWLQJ ëïíéå
0+] EDFNSODQH FORFNï $ W\SLFDO )6, JHQHUDWLRQ FLUFXLW LV
VKRZQ LQ )LJXUH ëï
3RZHU 6XSSO\ 'HFRXSOLQJ DQG
)LOWHULQJ
7KH /;3çìí &/$' LV GHVLJQHG WR PHHW $7÷7 3XEOLFDWLRQ
çëéìì VSHFLILFDWLRQV IRU MLWWHU LQ WKH UDQJH IURP ìí +] WR
ìíí N+]ï 3URSHU SRZHU VXSSO\ GHFRXSOLQJ LV FULWLFDO IRU
PHHWLQJ WKHVH VSHFLILFDWLRQVï $V VKRZQ LQ )LJXUH êñ D VWDQð
GDUG íïì “) E\SDVV FDSDFLWRU LV UHFRPPHQGHGï
6ZLWFKLQJ SRZHU VXSSOLHV ZKLFK RSHUDWH EHORZ ìíí N+]
PD\ SURGXFH QRLVH VSLNHV ZKLFK FDQ DIIHFW WKH DQDORJ VHFð
WLRQV RI WKH &/$'ï 7KHVH VSLNHV VKRXOG EH ILOWHUHG ZLWK
DQ 5& QHWZRUN DW WKH &/$' 9&& SLQï
7\SLFDO $SSOLFDWLRQ
)LJXUH ê VKRZV D W\SLFDO DSSOLFDWLRQ FLUFXLW XVLQJ D SDLU RI
/;3çìí &/$'V WR FRQYHUW EHWZHHQ WKH ëïèç 0+] EDFNð
SODQH IUHTXHQF\ DQG WKH ìïèéé 0+] 7ì UDWHï 7KH &/$' DW
WKH WRS RI WKH ILJXUH SURYLGHV WKH ìïèéé 0+] 7&/. IRU WKH
7ì IUDPHU DQG WUDQVFHLYHUï )RU FRQYHUVLRQ IURP ëïèç 0+]
WR ìïèéé 0+]ñ 3ìñ 3ëñ DQG 3é DUH WLHG /RZâ DQG 3ì DQG
6(/ DUH WLHG +LJKï ,Q WKLV FRQILJXUDWLRQñ WKH /;3çìí +)2
LV æïæëí 0+]ï
7KH &/$' DW WKH ERWWRP RI )LJXUH ê SURGXFHV WKH
ëïèç 0+] EDFNSODQH FORFNï
)RU FRQYHUVLRQ IURP
ìïèéé 0+] WR ëïèç 0+]ñ 3ìñ 3ëñ 3ê DQG 3é DUH WLHG +LJKâ
DQG 6(/ LV WLHG /RZï 7KH +)2 SURGXFHG LQ WKLV FRQILJXð
UDWLRQ LV æïçåí 0+]ï
7KH &/$' LV D PRQROLWKLF VLOLFRQ GHYLFH ZKLFK LQFRUSRð
UDWHV ERWK DQDORJ DQG GLJLWDO FLUFXLWVï &/$' DSSOLFDWLRQ
FLUFXLW GHVLJQ PD\ UHTXLUH FORVHU DWWHQWLRQ WR SRZHU VXSSO\
ILOWHULQJ DQG E\SDVVLQJ WKDQ UHTXLUHG IRU VWULFWO\ GLJLWDO
GHYLFHVï
)LJXUH ëã )UDPH 6\QF õ)6,ô *HQHUDWLRQ &LUFXLW
ò è9
ëïíéå 0+]
6\VWHP
&ORFN
L1
/6ìçê
%LQDU\ &WU
/6ìçê
%LQDU\ &WU
'ê
'ë
'ì
'í
'ê
'ë
'ì
'í
4ê
4ë
4ì
4í
&/.
7 5LSSOH
3 &DUU\
LD CL
4ê
4ë
4ì
4í
&/.
7 5LSSOH
3 &DUU\
LD CL
LS74
PR
Q
D
CLK Q
CL
6\VWHP )UDPH
6\QF 2XWSXW
)6, WR &/$'
çðìè
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
)LJXUH êã 7\SLFDO $SSOLFDWLRQ &LUFXLW
/;3çìí &/$'
å N+]
å N+]
æïæëí 0+]
ëïèç 0+]
QîF
3ë
)63
3é
*1'
)6,
9&&
)62
6(/
+)2
3ê
&/.,
%$&.3/$1(
7Rî)URP
6\VWHP
%DFNSODQH
3ì
òè9
/;7êíé$
75$16&(,9(5
ìïèéé 0+]
7&/.
7&/.
7326
7326
71(*
71(*
7ì î (6)
)5$0(5
ëïèç 0+]
7Rî)URP
6\VWHP
%DFNSODQH
æïçåí 0+]
å N+]
òè9
å N+]
çðìç
&/.2
íïì µ)
51(*
51(*
5326
5326
5&/.
5&/.
/;3çìí &/$'
&/.2
ìïèéé
0+]
&/.,
+)2
3ê
)62
9&&
3ì
*1'
3ë
)63
3é
6(/
)6,
QîF
òè9
íïì µ)
L1
7HVW 6SHFLILFDWLRQV
7(67 63(&,),&$7,216
127(
7KH PLQLPXP DQG PD[LPXP YDOXHV LQ 7DEOHV é WKURXJK å DQG )LJXUHV é WKURXJK ìì UHSUHVHQW WKH SHUIRUPDQFH VSHFLILFDð
WLRQV RI WKH /;7çìí DQG DUH JXDUDQWHHG E\ WHVWñ H[FHSW ZKHUH QRWHG E\ GHVLJQï
7DEOH éã $EVROXWH 0D[LPXP 9DOXHV
3DUDPHWHU
6XSSO\ YROWDJH õUHIHUHQFHG WR *1'ô
9ROWDJHñ DQ\ ,î2 SLQ
&XUUHQWñ DQ\ ,î2 SLQì
6WRUDJH WHPSHUDWXUH
3RZHU GLVVLSDWLRQ
6\PERO
0LQ
0D[
8QLWV
59òñ 79ò
9,2
,,2
767*
3'
ðíïê
*1' ð íïê
ðìí
ðçè
¤
æïí
9&& ò íïê
ìí
òìèí
êéí
9
9
P$
•&
P:
&$87,21
([FHHGLQJ WKHVH YDOXHV PD\ FDXVH SHUPDQHQW GDPDJHï )XQFWLRQDO RSHUDWLRQ XQGHU WKHVH FRQGLWLRQV LV QRW LPSOLHGï
([SRVXUH WR PD[LPXP UDWLQJ FRQGLWLRQV IRU H[WHQGHG SHULRGV PD\ DIIHFW GHYLFH UHOLDELOLW\ï
ìï 7UDQVLHQW FXUUHQWV RI XS WR ìíí P$ ZLOO QRW FDXVH 6&5 ODWFKðXSï
7DEOH èã 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQV
3DUDPHWHU
6XSSO\ YROWDJHì
6XSSO\ FXUUHQW
2SHUDWLQJ WHPSHUDWXUH
6\PERO
0LQ
7\S
0D[
8QLWV
9&&
,&&
,&&
723
éïæè
¤
¤
ðéí
èïí
¤
¤
¤
èïëè
å
ìé
åè
9
P$
P$
•&
7HVW &RQGLWLRQV
1R 77/ ORDGLQJ
)XOO 77/ ORDGLQJ
ìï 9ROWDJHV ZLWK UHVSHFW WR JURXQG XQOHVV RWKHUZLVH VSHFLILHGï
7DEOH çã 'LJLWDO (OHFWULFDO &KDUDFWHULVWLFV õ2YHU 5HFRPPHQGHG 5DQJHô
3DUDPHWHU
,QSXW /RZ YROWDJH
,QSXW +LJK YROWDJH
2XWSXW /RZ YROWDJH õ,2/ òìïç P$ô
2XWSXW /RZ YROWDJH õ,2/ á òìí “$ô
2XWSXW +LJK YROWDJH õ,2+ ðíïé P$ô
2XWSXW +LJK YROWDJH õ,2+ á ðìí “$ô
,QSXW OHDNDJH FXUUHQW
L1
6\P
0LQ
0D[
8QLWV
9,/
9,+
92/
92/
92+
92+
,//
¤
ëïí
¤
¤
ëïé
éïè
ðìí
íïå
¤
íïé
íïë
¤
¤
ìí
9
9
9
9
9
9
“$
çðìæ
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
7DEOH æã
2XWSXW -LWWHU 6SHFLILFDWLRQV
6\P
)UHTXHQF\
6SHFì
7\Së
0D[
8QLWV
7HVW &RQGLWLRQV
2XWSXW -LWWHU RQ &/.2
&/.2 ìïèéé 0+]
7-ì
1R %DQGOLPLWLQJ
ìí +] WR éí N+]
å N+] WR éí N+]
íïíèí
íïíëè
íïíëè
2XWSXW -LWWHU RQ &/.2
&/.2 ëïíéå 0+]
7-ë
ëí +] WR ìíí N+]
ìïèíí
íïëíí
íïíìí
íïííè
íïííç
íïíëè
íïëí
íïíìí
íïíìë
íïíêè
8, SS
8, SS
8, SS
UI pp
íïíìè
íïíëè
8, SS
&/., ëïíéå RU éïíäç 0+]
-, í
FSI DSSOLHG
&/., ìïèéé 0+]ñ
-, í
FSI DSSOLHG
3DUDPHWHU
ìå N+] WR ìíí N+]
ìï 6SHFLILFDWLRQV IURP $7÷7 3XEOLFDWLRQ çëéìì DQG ,78 5HFRPPHQGDWLRQV *ïåëê õIRU ìïèéé 0+] DQG ëïíéå 0+]ñ UHVSHFWLYHO\ôï
ëï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
)LJXUH éã
1RPLQDO -LWWHU 7UDQVIHU ð ëïíéå 0+] &/., WR ìïèéé 0+] &/.2 õ,QSXW -LWWHU
íïëè 8,)
1HW *DLQ QVîQV
ìïìèí
ìïìíí
ìïíèí
ìïííí
íïäèí
íïäíí
í
ëí N+]
éí N+]
çí N+]
åí N+]
-LWWHU )UHTXHQF\
)LJXUH èã 1RPLQDO -LWWHU 7UDQVIHU ð ìïèéé 0+] &/., WR ëïíéå 0+] &/.2 õ,QSXW -LWWHU
íïëè 8,)
1HW *DLQ QVîQV
ìïìèí
ìïìíí
ìïíèí
ìïííí
íïäèí
íïäíí
íïåèí
í
ëí N+]
éí N+]
çí N+]
åí N+]
-LWWHU )UHTXHQF\
çðìå
L1
7HVW 6SHFLILFDWLRQV
7DEOH åã 7LPLQJ 9DOXHV õVHH )LJXUH çô
3DUDPHWHU
6\P
0LQLPXP
0D[LPXP
8QLWV
&DSWXUH UDQJH RQ &/.,
¤
‘ìíííí
¤
SSP
/RFN UDQJH RQ &/.,
¤
‘ìíííí
¤
SSP
,QSXW FORFN GXW\ F\FOH
¤
êè
çè
ø
5LVHîIDOO WLPH RQ &/.,ñ )6,
7UI
¤
éí
QV
5LVHîIDOO WLPH RQ &/.2ñ )62ñ +)2 ZLWK D ëè S) ORDG
7UI
¤
éí
QV
)LJXUH çã 5LVH DQG )DOO 7LPHV
7UI
7UI
9,+î92+
9,/î92/
7DEOH äã 7LPLQJ 9DOXHV õVHH )LJXUH æ WKURXJK )LJXUH ììô
3DUDPHWHU
6\P
0LQLPXP
7\S
0D[LPXP
8QLWV
FSI VHWXS WLPH IURP &/., ULVLQJ
7VXL
éç
¤
¤
QV
FSIî&./, KROG WLPH
7KL
êí
¤
¤
QV
FSI SXOVH ZLGWK õ/RZô
7ZL
æç
¤
7&/., ì
QV
&/.2 GHOD\ IURP &/.,
7G&
ðìè
í
òìè
QV
&/.2 GXW\ F\FOH
&GF
éä
¤
èì
ø
)62 GHOD\ IURP +)2
7G)
ðè
¤
êí
QV
)62 SXOVH ZLGWK õORZô
7:2
¤
¤
7&/.2 ë
QV
&/.2 GHOD\ IURP +)2
7G+
ðìè
¤
òìè
QV
ìï 7&/., LV WKH SHULRG RI &/.,ï
ëï 7&/.2 LV WKH SHULRG RI &/.2ï
L1
çðìä
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
)LJXUH æã 7LPLQJ 5HODWLRQVKLSV ð )6, î &/., WR &/.2 î )62 DQG +)2
&/.,
7VXL
7KL
7VXL
)6,
7ZL
7M
&/.2
7G&
7M
RU
7G&
&/.2
&/.2
7ZR
)62
7G)
7G)
+)2
7G+
7G+
&/.2
çðëí
L1
7HVW 6SHFLILFDWLRQV
)LJXUH åã 2XWSXW )UDPH 6\QF $OLJQPHQW ZKHQ +)2
ë [ &/.2
&/.2
õéïíäçô
+)2
õåïìäëô
)62
&/.2
õêïíååô
+)2
õçïìæçô
)62
)LJXUH äã
2XWSXW )UDPH 6\QF $OLJQPHQW ZKHQ +)2
ê [ &/.2
)LJXUH ìíã 2XWSXW )UDPH 6\QF $OLJQPHQW ZKHQ +)2
é [ &/.2
&/.2
õëïèçí RU
ëïíéåô
+)2
õæïçåí RU
çïìééô
)62
&/.2
õìïèéé RU
ëïíéåô
+)2
õçïìæç RU
åïìäëô
)62
õVKRUWô
)62
õORQJô
L1
çðëì
/;3çìí /RZð-LWWHU 0XOWLð5DWH &ORFN $GDSWHU õ&/$'ô
)LJXUH ììã 2XWSXW )UDPH 6\QF $OLJQPHQW ZKHQ +)2
è [ &/.2
&/.2
õìïèééô
+)2
õæïæëíô
)62
çðëë
L1