TI1 AM5716AABCDA Sitara processors silicon revision 2.0 Datasheet

Product
Folder
Sample &
Buy
Technical
Documents
Tools &
Software
Support &
Community
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
AM571x Sitara™ Processors
Silicon Revision 2.0
1 Device Overview
1.1
Features
1
• For Silicon Revision 1.0 information, see SPRS919
• ARM® Cortex®-A15 Microprocessor Subsystem
• C66x Floating-Point VLIW DSP
– Fully Object-Code Compatible With C67x and
C64x+
– Up to Thirty-two 16 × 16-Bit Fixed-Point
Multiplies per Cycle
• Up to 512KB of On-Chip L3 RAM
• Level 3 (L3) and Level 4 (L4) Interconnects
• DDR3/DDR3L Memory Interface (EMIF) Module
– Supports up to DDR3-1333 (667 MHz)
– Up to 2GB across single chip select
• Dual ARM® Cortex®-M4 Coprocessors
• IVA-HD Subsystem
• Display Subsystem
– Full-HD Video (1920 × 1080p, 60 fps)
– Multiple Video Input and Video Output
– 2D and 3D Graphics
– Display Controller With DMA Engine and up to
Three Pipelines
– HDMI™ Encoder: HDMI 1.4a and DVI 1.0
Compliant
• 2x Dual-Core Programmable Real-Time Unit and
Industrial Communication Subsystem (PRU-ICSS)
• Accelerator (BB2D) Subsystem
– Vivante™ GC320 Core
• Video Processing Engine (VPE)
• Available Single-Core PowerVR® SGX544 3D
GPU
• One Video Input Port (VIP) Module
– Support for up to Four Multiplexed Input Ports
• General-Purpose Memory Controller (GPMC)
• Enhanced Direct Memory Access (EDMA)
Controller
• Ethernet Subsystem
• Sixteen 32-Bit General-Purpose Timers
• 32-Bit MPU Watchdog Timer
• Five High-Speed Inter-Integrated Circuit (I2C) Ports
• HDQ™/1-Wire® Interface
• Ten Configurable UART/IrDA/CIR Modules
• Four Multichannel Serial Peripheral Interfaces
(McSPI)
• Quad SPI Interface (QSPI)
• SATA Gen2 Interface
• Eight Multichannel Audio Serial Port (McASP)
Modules
• SuperSpeed USB 3.0 Dual-Role Device
• High-Speed USB 2.0 Dual-Role Device
• Four MultiMedia Card/Secure Digital/Secure Digital
Input Output Interfaces (MMC/SD/SDIO)
• PCI Express® 3.0 Subsystems With Two 5-Gbps
Lanes
– One 2-lane Gen2-Compliant Port
– or Two 1-lane Gen2-Compliant Ports
• Dual Controller Area Network (DCAN) Modules
– CAN 2.0B Protocol
• MIPI® CSI-2 Camera Serial Interface
• Up to 215 General-Purpose I/O (GPIO) Pins
• Power, Reset, and Clock Management
• On-Chip Debug With CTools Technology
• 28-nm CMOS Technology
• 23 mm × 23 mm, 0.8-mm Pitch, 760-Pin BGA
(ABC)
1
An IMPORTANT NOTICE at the end of this data sheet addresses availability, warranty, changes, use in safety-critical applications,
intellectual property matters and other important disclaimers. PRODUCTION DATA.
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
1.2
•
•
•
Applications
Industrial Communication
Human Machine Interface (HMI)
Automation and Control
1.3
www.ti.com
•
•
High Performance Applications
Other General Use
Description
AM571x Sitara ARM applications processors are built to meet the intense processing needs of the modern
embedded products.
AM571x devices bring high processing performance through the maximum flexibility of a fully integrated
mixed processor solution. The devices also combine programmable video processing with a highly
integrated peripheral set.
Programmability is provided by a single-core ARM Cortex-A15 RISC CPU with Neon™ extensions and TI
C66x VLIW floating-point DSP cores. The ARM processor lets developers keep control functions separate
from vision algorithms programmed on the DSP and coprocessors, thus reducing the complexity of the
system software.
Additionally, TI provides a complete set of development tools for the ARM and C66x DSP, including C
compilers, a DSP assembly optimizer to simplify programming and scheduling, and a debugging interface
for visibility into source code execution.
The AM571x Sitara ARM processor family is qualified according to the AEC-Q100 Standard.
Device Information
PART NUMBER
AM571x
2
PACKAGE
BODY SIZE
FCBGA (760)
23.0 mm × 23.0 mm
Device Overview
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
1.4
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Functional Block Diagram
Figure 1-1 is functional block diagram for the device.
AM571x
Display Subsystem
MPU
IVA HD
(1x ARM
Cortex–A15)
1080p Video
Co-Processor
1x GFX Pipeline
LCD1
LCD2
3x Video Pipeline
GPU
BB2D
(1x SGX544 3D)
(GC320 2D)
DSP
(1x C66x
Co-Processor)
LCD3
Blend / Scale
HDMI 1.4a
IPU1
(Dual Cortex–M4)
IPU2
CSI2 x2
CAL
(Dual Cortex–M4)
EDMA
MMU x2
sDMA
VPE
VIP
High-Speed Interconnect
System
Connectivity
Spinlock
Timers x16
PWM SS x3
USB 3.0
PCIe SS x2
Mailbox x13
WDT
HDQ
Dual Role FS/HS/SS
w/ PHYs
PRU-ICCS x2
GPIO x8
RTC SS
KBD
USB 2.0
Dual Role FS/HS
w/ PHY
GMAC_SW
Program/Data Storage
Serial Interfaces
UART x10
QSPI
McSPI x4
McASP x8
DCAN x2
I2C x5
MMC / SD x4
SATA
DMM
512-KB
OCMC_RAM
w/ ECC
GPMC / ELM
(NAND/NOR/
Async)
EMIF
1x 32-bit
DDR3(L)
intro-001
Copyright © 2016, Texas Instruments Incorporated
Figure 1-1. AM571x Block Diagram
Device Overview
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
3
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table of Contents
1
Device Overview ......................................... 1
7.11
General-Purpose Memory Controller (GPMC) ..... 226
1.1
Features .............................................. 1
7.12
Timers .............................................. 250
1.2
Applications ........................................... 2
7.13
Inter-Integrated Circuit Interface (I2C) ............. 250
1.3
Description ............................................ 2
7.14
7.15
HDQ / 1-Wire Interface (HDQ1W) ................. 253
Universal Asynchronous Receiver Transmitter
(UART) ............................................. 255
7.16
Multichannel Serial Peripheral Interface (McSPI)
257
7.17
Quad Serial Peripheral Interface (QSPI)
263
........................... 3
Revision History ......................................... 5
Device Comparison ..................................... 6
3.1
Device Comparison Table ............................ 6
Terminal Configuration and Functions .............. 9
4.1
Terminal Assignment ................................. 9
4.2
Ball Characteristics .................................. 10
4.3
Multiplexing Characteristics ......................... 81
4.4
Signal Descriptions .................................. 98
Specifications ......................................... 140
5.1
Absolute Maximum Ratings........................ 140
5.2
ESD Ratings ....................................... 141
5.3
Power on Hours (POH) Limits ..................... 141
5.4
Recommended Operating Conditions ............. 142
5.5
Operating Performance Points ..................... 145
5.6
Power Consumption Summary .................... 164
5.7
Electrical Characteristics ........................... 164
5.8
Thermal Characteristics ............................ 174
5.9
Power Supply Sequences ......................... 175
Clock Specifications ................................. 180
6.1
Input Clock Specifications ......................... 181
6.2
DPLLs, DLLs Specifications ....................... 190
1.4
2
3
4
5
6
7
Functional Block Diagram
Timing Requirements and Switching
Characteristics ........................................ 194
7.1
Timing Test Conditions ............................ 194
7.2
Interface Clock Specifications
.....................
Timing Parameters and Information ...............
7.3
7.4
194
194
Recommended Clock and Control Signal Transition
Behavior............................................ 196
Virtual and Manual I/O Timing Modes ............. 196
7.5
7.18
7.19
7.20
7.21
8
9
267
287
287
Peripheral Component Interconnect Express
(PCIe) .............................................. 287
7.22
Controller Area Network Interface (DCAN) ........ 288
7.23
Ethernet Interface (GMAC_SW) ................... 289
7.24
eMMC/SD/SDIO
7.25
General-Purpose Interface (GPIO) ................ 325
7.26
PRU-ICSS Interfaces
7.27
System and Miscellaneous interfaces ............. 353
7.28
Test Interfaces ..................................... 353
...................................
..............................
302
325
Applications, Implementation, and Layout ...... 357
8.1
Power Supply Mapping ............................ 357
8.2
DDR3 Board Design and Layout Guidelines....... 358
8.3
8.4
High Speed Differential Signal Routing Guidance . 381
Power Distribution Network Implementation
Guidance ........................................... 381
8.5
Single-Ended Interfaces
8.6
Clock Routing Guidelines .......................... 383
...........................
381
Device and Documentation Support .............. 385
9.1
Device Nomenclature .............................. 385
9.2
Tools and Software ................................ 387
9.3
Documentation Support ............................ 387
9.4
Receiving Notification of Documentation Updates. 388
9.5
Related Links
Community Resources............................. 388
......................................
388
7.6
Video Input Ports (VIP) ............................ 198
9.6
7.7
7.8
Display Subsystem - Video Output Ports .......... 217
Display Subsystem - High-Definition Multimedia
Interface (HDMI) ................................... 225
9.7
Trademarks ........................................ 388
9.8
Electrostatic Discharge Caution
9.9
Glossary............................................ 389
7.9
Camera Serial Interface 2 CAL bridge (CSI2) ..... 226
7.10
External Memory Interface (EMIF)................. 226
...................
388
10 Mechanical Packaging and Orderable
Information ............................................. 390
10.1
4
.
..........
Multichannel Audio Serial Port (McASP) ..........
Universal Serial Bus (USB) ........................
Serial Advanced Technology Attachment (SATA) .
Mechanical Data ................................... 390
Table of Contents
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
2 Revision History
Changes from August 1, 2016 to November 29, 2016 (from B Revision (August 2016) to C Revision)
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
Page
Updated features list and block diagram .......................................................................................... 1
Added conformity to AEC-Q100 Standard in Section 1.3 ....................................................................... 2
Added link for AM57xx family Parametric table in Section 3.1 ................................................................. 6
Added device hex codes to Table 3-1 ............................................................................................. 6
Updated column description parameter [4] in Section 4.2 ..................................................................... 11
Updated DSIS Description for "blank" in Section 4.2 ........................................................................... 12
Added conformity to Q100 Standard in Table 5-2 and updated Table 5-3, and Table 5-4 .............................. 141
Fixed typos and clean-up in Table 5-4 .......................................................................................... 142
Updated rstoutn timing in Figure 5-1 ............................................................................................ 176
Updated Figure 5-1 and Figure 5-2 to allow either non-RTC or RTC PMIC implementations........................... 176
Updated Power down sequences footnote under Figure 5-2 ................................................................ 179
Added new timing information for VIP, DSS VOUT, GPMC, McSPI, QSPI, McASP and Ethernet Interfaces ........ 199
Added missing balls for vin1b in IOSET7 in Table 7-4 ....................................................................... 200
Updated Manual Modes for VIP, DSS, MMC and PRU-ICSS Interfaces ................................................... 207
Updated Virtual Mode Case Details for McASP2 in Table 7-52 ............................................................. 274
Fixed typo in naming of figures McASP1-8 for SYNC Mode in Table 7-58 ................................................ 282
Updated broken note in High-Speed Bypass Capacitors Table 8-10 ....................................................... 365
Updated symbolization in Printed Device Reference Figure 9-1 and Nomenclature Description Table 9-1 ........... 386
Added conformity to Q100 Standard by updating Figure 9-1 and Table 9-1 .............................................. 386
Revision History
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
5
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
3 Device Comparison
3.1
Device Comparison Table
Table 3-1 shows a comparison between AM571x devices, highlighting the differences. For a comparison
of the full AM57xx family of devices, refer to Parametric Table.
Table 3-1. Device Comparison
DEVICE
FEATURES
AM5718
AM5716
Features
CTRL_WKUP_STD_FUSE_DIE_ID_2[31:24] Base PN register bitfield value(4)
AM5718: 55 (0x37)
AM5716: 53 (0x35)
AM5718-E: 56 (0x38)
AM5716-E: 54 (0x36)
Processors/ Accelerators
Speed Grades
X
X, D
ARM Single Cortex-A15 Microprocessor Subsystem MPU core 0
(MPU)
Yes
C66x VLIW DSP
DSP1
Yes
BitBLT 2D Hardware Acceleration Engine (BB2D)
BB2D
Yes
No
Display Subsystem
VOUT1
Yes
No
VOUT2
Yes
No
VOUT3
Yes
No
HDMI
Yes
Dual ARM Cortex-M4 Image Processing Unit (IPU)
No
IPU1
Yes
IPU2(2)
Yes
Image Video Accelarator (IVA)
IVA
Yes
No
SGX544 Single-Core 3D Graphics Processing Unit
(GPU)
GPU
Yes
No
Video Input Port 1 (VIP1)
vin1a
Yes
vin1b
Yes
vin2a
Yes
vin2b
Yes
VPE
Yes
Video Processing Engine (VPE)
Program/Data Storage
On-Chip Shared Memory (RAM)
OCMC_RAM1
512KB
General-Purpose Memory Controller (GPMC)
GPMC
Yes
DDR3 Memory Controller
EMIF1
up to 2GB across single chip select
Dynamic Memory Manager (DMM)
DMM
Yes
Radio Support
Audio Tracking Logic (ATL)
ATL
Not Supported
(1)
Viterbi Coprocessor (VCP)
VCP1
Not Supported
(1)
VCP2
Not Supported
(1)
Peripherals
Dual Controller Area Network (DCAN) Interface
DCAN1
Yes
DCAN2
Yes
Enhanced DMA (EDMA)
EDMA
Yes
System DMA (DMA_SYSTEM)
DMA_SYSTEM
Ethernet Subsystem (Ethernet SS)
GMAC_SW[0]
MII, RMII, or RGMII
GMAC_SW[1]
MII, RMII, or RGMII
General Purpose I/O (GPIO)
GPIO
Inter-Integrated Circuit (I2C) Interface
I2C
6
Device Comparison
Yes
up to 215
5
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 3-1. Device Comparison (continued)
DEVICE
FEATURES
AM5718
AM5716
System Mailbox Module
MAILBOX
Media Local Bus Subsystem
MLB
Camera Adaptation Layer (CAL) Camera Serial
Interface 2 (CSI2)
CSI2_0
CSI2_1
Yes
Multichannel Audio Serial Port (McASP)
McASP1
16 serializers
McASP2
16 serializers
McASP3
4 serializers
McASP4
4 serializers
McASP5
4 serializers
McASP6
4 serializers
McASP7
4 serializers
McASP8
4 serializers
MMC1
1x UHSI 4b
MMC2
1x eMMC 8b
MMC3
1x SDIO 8b
MMC4
1x SDIO 4b
MultiMedia Card/Secure Digital/Secure Digital Input
Output Interface (MMC/SD/SDIO)
PCI Express 3.0 Port with Integrated PHY
13
13
Not Supported
(1)
Yes
PCIe_SS1
up to two lanes (second lane shared with
PCIe_SS2 and USB1)
PCIe_SS2
Single lane (shared with PCIe_SS1 and USB1)
2x Programmable Real-Time Unit Subsystem and
Industrial Communication Subsystem (PRU-ICSS)
PRU-ICSS1
Yes
PRU-ICSS2
Yes
Serial Advanced Technology Attachment (SATA)
SATA
Yes
Real-Time Clock Subsystem (RTCSS)(3)
RTCSS
Yes
Multichannel Serial Peripheral Interface (McSPI)
McSPI
HDQ1W
HDQ1W
Yes
Quad SPI (QSPI)
QSPI
Yes
Spinlock Module
SPINLOCK
Yes
Keyboard Controller (KBD)
KBD
Yes
Timers, General-Purpose
TIMERS GP
16
Timer, Watchdog
WD TIMER
Yes
Pulse-Width Modulation Subsystem (PWMSS)
PWMSS1
Yes
PWMSS2
Yes
PWMSS3
Yes
Universal Asynchronous Receiver/Transmitter
(UART)
UART
10
Universal Serial Bus (USB3.0)
USB1 (SuperSpeed, Dual-RoleDevice [DRD]
Yes
Universal Serial Bus (USB2.0)
USB2 (HighSpeed, Dual-RoleDevice [DRD], with embedded HS
PHY)
Yes
4
USB3 (Highspeed, OTG2.0, with
ULPI)
Not Supported
(1)
USB4 (Highspeed, OTG2.0, with
ULPI)
Not Supported
(1)
Device Comparison
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
7
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) Features noted as “not supported,” must not be used. Their functionality is not supported by TI for this family of devices. These features
are subject to removal without notice on future device revisions. Any information regarding the unsupported features has been retained
in the documentation solely for the purpose of clarifying signal names or for consistency with previous feature descriptions.
(2) IPU2 subsystem is dedicated to IVA support and is not available for other processing.
(3) RTC only mode is not supported feature.
(4) For more details about the CTRL_WKUP_STD_FUSE_DIE_ID_2 register and Base PN bitfield, see the AM571x Technical Reference
Manual.
8
Device Comparison
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
4 Terminal Configuration and Functions
4.1
Terminal Assignment
Figure 4-1 shows the ball locations for the 760 plastic ball grid array (PBGA) package and are used in
conjunction with Table 4-2 through Table 4-35 to locate signal names and ball grid numbers.
SPRS906_BALL_01
Figure 4-1. ABC S-PBGA-N760 Package (Bottom View)
NOTE
The following bottom balls are not pinned out: AF7 / AF10 / AF13 / AF16 / AF19 / AE4 /
AE25 / AB26 / W3 / W26 / T3 / T26 / N3 / N26 / K3 / K26 / G3 / D4 / D25 / C10 / C13 / C16 /
C19 / C22.
These balls do not exist on the package.
NOTE
The following bottom balls are not connected: AH11 / AH12 / AG2 / AG8 / AG11 / AG12 /
AF4 / AF6 / AF8 / AF9 / AE3 / AE5 / AE6 / AE8 / AE9 / AD3 / AD8 / AD9 / Y15 / Y16 / V18 /
V19 / U18 / U19 / U22 / U23 / U24 / U25 / U26 / U27 / U28 / T22 / T23 / T27 / T28 / R20 /
R22 / R23 / R24 / R25 / R26 / R27 / R28 / P19 / P22 / P23 / P24 / P25 / P26 / P27 / N20 /
N22 / N23 / N27 / N28 / M20 / M21 / M22 / M23 / M24 / M25 / M26 / M27 / M28 / L20 / L21 /
L22 / L23 / L24 / L25 / L26 / L27 / L28 / K20 / K21 / K22 / K23 / K27 / K28 / J20 / J21 / J22 /
J23 / J24 / J25 / J26 / J27 / H20 / H21 / H22 / H23 / H24 / H25 / H26 / H27 / H28 / G22 /
G23 / G24 / G25 / G26 / G27 / G28 / F24 / F25 / F26 / F27 / F28 / E24 / E26 / E27 / E28.
These balls can be connected as desired, including to vss.
4.1.1
Unused Balls Connection Requirements
This section describes the Unused/Reserved balls connection requirements.
NOTE
The following balls are reserved: A27 / K14 / Y5 / Y10 / B28
These balls must be left unconnected.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
9
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
All unused power supply balls must be supplied with the voltages specified in the
Section 5.4, Recommended Operating Conditions, unless alternative tie-off options are
included in Section 4.4, Signal Descriptions.
Table 4-1. Unused Balls Specific Connection Requirements
BALLS
CONNECTION REQUIREMENTS
AE15 / AC15 / AE14 / D20 / AD17 / AC16 / V27
These balls must be connected to GND through an external pull
resistor if unused
E20 / D21 / E23 / C20 / C21 / V28 / F18
These balls must be connect to the corresponding power supply
through an external pull resistor if unused
AF14 (rtc_iso)
This ball should be connected to the corresponding power supply
through an external pull resistor if unused; or can be connected to
F22 (porz) when RTC unused (level translation may be needed)
AB17 (rtc_porz)
This ball should be connected to VSS when RTC is unused; or can
be connected to F22 (porz) when RTC unused (level translation may
be needed)
NOTE
All other unused signal balls with a Pad Configuration Register can be left unconnected with
their internal pullup or pulldown resistor enabled.
NOTE
All other unused signal balls without Pad Configuration Register can be left unconnected.
4.2
Ball Characteristics
Table 4-2 describes the terminal characteristics and the signals multiplexed on each ball. The following list
describes the table column headers:
1. BALL NUMBER: Ball number(s) on the bottom side associated with each signal on the bottom.
2. BALL NAME: Mechanical name from package device (name is taken from muxmode 0).
3. SIGNAL NAME: Names of signals multiplexed on each ball (also notice that the name of the ball is the
signal name in muxmode 0).
NOTE
Table 4-2 does not take into account the subsystem multiplexing signals. Subsystem
multiplexing signals are described in Section 4.4, Signal Descriptions.
NOTE
In the Driver off mode, the buffer is configured in high-impedance.
10
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
NOTE
In some cases Table 4-2 may present more than one signal name per muxmode for the
same ball. First signal in the list is the dominant function as selected via
CTRL_CORE_PAD_* register.
All other signals are virtual functions that present alternate multiplexing options. This virtual
functions
are
controlled
via
CTRL_CORE_ALT_SELECT_MUX
or
CTRL_CORE_VIP_MUX_SELECT register. For more information on how to use this options,
please refer to Device TRM, Chapter Control Module, Section Pad Configuration Registers.
4. PN: This column shows if the functionality is applicable for AM5716 device. Note that the Ball
Characteristics table presents a functionality of AM5718. If the cell is empty it means that the signal is
available in all devices.
- Yes - Functionality is presented in AM5716
- No - Functionality is not presented in AM5716
An empty box means Yes.
5. MUXMODE: Multiplexing mode number:
(a) MUXMODE 0 is the primary mode; this means that when MUXMODE=0 is set, the function
mapped on the pin corresponds to the name of the pin. The primary muxmode is not necessarily
the default muxmode.
NOTE
The default mode is the mode at the release of the reset; also see the RESET REL.
MUXMODE column.
(b) MUXMODE 1 through 15 are possible muxmodes for alternate functions. On each pin, some
muxmodes are effectively used for alternate functions, while some muxmodes are not used. Only
MUXMODE values which correspond to defined functions should be used.
6. TYPE: Signal type and direction:
– I = Input
– O = Output
– IO = Input or Output
– D = Open drain
– DS = Differential Signaling
– A = Analog
– PWR = Power
– GND = Ground
– CAP = LDO Capacitor
7. BALL RESET STATE: The state of the terminal at power-on reset:
– drive 0 (OFF): The buffer drives VOL (pulldown or pullup resistor not activated).
– drive 1 (OFF): The buffer drives VOH (pulldown or pullup resistor not activated).
– OFF: High-impedance
– PD: High-impedance with an active pulldown resistor
– PU: High-impedance with an active pullup resistor
8. BALL RESET REL. STATE: The state of the terminal at the deactivation of the rstoutn signal (also
mapped to the PRCM SYS_WARM_OUT_RST signal).
– drive 0 (OFF): The buffer drives VOL (pulldown or pullup resistor not activated).
– drive clk (OFF): The buffer drives a toggling clock (pulldown or pullup resistor not activated).
– drive 1 (OFF): The buffer drives VOH (pulldown or pullup resistor not activated).
– OFF: High-impedance
– PD: High-impedance with an active pulldown resistor
– PU: High-impedance with an active pullup resistor
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
11
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
For more information on the CORE_PWRON_RET_RST reset signal and its reset sources,
see the Power, Reset, and Clock Management / PRCM Reset Management Functional
Description section of the Device TRM.
9. BALL RESET REL. MUXMODE: This muxmode is automatically configured at the release of the
rstoutn signal (also mapped to the PRCM SYS_WARM_OUT_RST signal).
10. IO VOLTAGE VALUE: This column describes the IO voltage value (VDDS supply).
11. POWER: The voltage supply that powers the terminal IO buffers.
NOTE
VOUT1, VOUT2 and VOUT3 are only supported at 1.8V and not at 3.3V. This must be
considered in the pin mux programming and VDDSHVx supply connections.
12. HYS: Indicates if the input buffer is with hysteresis:
– Yes: With hysteresis
– No: Without hysteresis
An empty box means "Yes".
NOTE
For more information, see the hysteresis values in Section 5.7, Electrical Characteristics.
13. BUFFER TYPE: Drive strength of the associated output buffer.
NOTE
For programmable buffer strength:
– The default value is given in Table 4-2.
– A note describes all possible values according to the selected muxmode.
14. PULLUP / PULLDOWN TYPE: Denotes the presence of an internal pullup or pulldown resistor.
Pullup and pulldown resistors can be enabled or disabled via software.
15. DSIS: The deselected input state (DSIS) indicates the state driven on the peripheral input (logic "0" or
logic "1") when the peripheral pin function is not selected by any of the PINCNTLx registers.
– 0: Logic 0 driven on the peripheral's input signal port.
– 1: Logic 1 driven on the peripheral's input signal port.
– blank: Pin state driven on the peripheral's input signal port.
NOTE
Configuring two pins to the same input signal is not supported as it can yield unexpected
results. This can be easily prevented with the proper software configuration (Hi-Z mode is not
an input signal).
NOTE
When a pad is set into a multiplexing mode which is not defined by pin multiplexing, that
pad’s behavior is undefined. This should be avoided.
12
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
NOTE
Some of the EMIF1 signals have an additional state change at the release of porz. The state
that the signals change to at the release of porz is as follows:
drive 0 (OFF) for: ddr1_csn0, ddr1_ck, ddr1_nck, ddr1_casn, ddr1_rasn, ddr1_wen,
ddr1_ba[2:0], ddr1_a[15:0].
OFF for: ddr1_ecc_d[7:0], ddr1_dqm[3:0], ddr1_dqm_ecc, ddr1_dqs[3:0], ddr1_dqsn[3:0],
ddr1_dqs_ecc, ddr1_dqsn_ecc, ddr1_d[31:0].
NOTE
Dual rank support is not available on this device, but signal names are retained for
consistency with the AM57xx family of devices.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
13
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
K9
cap_vbbldo_dsp
cap_vbbldo_dsp
CAP
Y14
cap_vbbldo_gpu
cap_vbbldo_gpu
CAP
J10
cap_vbbldo_iva
cap_vbbldo_iva
CAP
J16
cap_vbbldo_mpu
cap_vbbldo_mpu
CAP
T20
cap_vddram_core1
cap_vddram_core1
CAP
L9
cap_vddram_core3
cap_vddram_core3
CAP
J19
cap_vddram_core4
cap_vddram_core4
CAP
J9
cap_vddram_dsp
cap_vddram_dsp
CAP
Y13
cap_vddram_gpu
cap_vddram_gpu
CAP
K16
cap_vddram_iva
cap_vddram_iva
CAP
K19
cap_vddram_mpu
cap_vddram_mpu
AE1
csi2_0_dx0
csi2_0_dx0
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AF1
csi2_0_dx1
csi2_0_dx1
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AF2
csi2_0_dx2
csi2_0_dx2
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AH4
csi2_0_dx3
csi2_0_dx3
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AH3
csi2_0_dx4
csi2_0_dx4
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AD2
csi2_0_dy0
csi2_0_dy0
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AE2
csi2_0_dy1
csi2_0_dy1
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AF3
csi2_0_dy2
csi2_0_dy2
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AG4
csi2_0_dy3
csi2_0_dy3
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AG3
csi2_0_dy4
csi2_0_dy4
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AG5
csi2_1_dx0
csi2_1_dx0
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AG6
csi2_1_dx1
csi2_1_dx1
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AH7
csi2_1_dx2
csi2_1_dx2
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AH5
csi2_1_dy0
csi2_1_dy0
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AH6
csi2_1_dy1
csi2_1_dy1
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
AG7
csi2_1_dy2
csi2_1_dy2
0
I
1.8
vdda_csi
Yes
LVCMOS
CSI2
PU/PD
14
CAP
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
G19
BALL NAME [2]
dcan1_rx
SIGNAL NAME [3]
dcan1_tx
MUXMODE
[5]
TYPE [6]
dcan1_rx
0
IO
uart8_txd
2
O
mmc2_sdwp
3
I
sata1_led
4
O
6
IO
gpio1_15
14
IO
Driver off
15
I
dcan1_tx
0
IO
uart8_rxd
2
I
3
I
6
IO
gpio1_14
14
IO
Driver off
15
I
hdmi1_cec
G20
PN [4]
No
mmc2_sdcd
hdmi1_hpd
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
AD20
ddr1_a0
ddr1_a0
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC19
ddr1_a1
ddr1_a1
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC20
ddr1_a2
ddr1_a2
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB19
ddr1_a3
ddr1_a3
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF21
ddr1_a4
ddr1_a4
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AH22
ddr1_a5
ddr1_a5
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG23
ddr1_a6
ddr1_a6
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE21
ddr1_a7
ddr1_a7
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF22
ddr1_a8
ddr1_a8
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE22
ddr1_a9
ddr1_a9
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AD21
ddr1_a10
ddr1_a10
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AD22
ddr1_a11
ddr1_a11
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC21
ddr1_a12
ddr1_a12
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF18
ddr1_a13
ddr1_a13
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE17
ddr1_a14
ddr1_a14
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
15
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
AD18
ddr1_a15
ddr1_a15
0
O
PD
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF17
ddr1_ba0
ddr1_ba0
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE18
ddr1_ba1
ddr1_ba1
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB18
ddr1_ba2
ddr1_ba2
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC18
ddr1_casn
ddr1_casn
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG24
ddr1_ck
ddr1_ck
0
O
PD
drive 0 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG22
ddr1_cke
ddr1_cke
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AH23
ddr1_csn0
ddr1_csn0
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB16
ddr1_csn1
ddr1_csn1
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF25
ddr1_d0
ddr1_d0
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF26
ddr1_d1
ddr1_d1
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG26
ddr1_d2
ddr1_d2
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AH26
ddr1_d3
ddr1_d3
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF24
ddr1_d4
ddr1_d4
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE24
ddr1_d5
ddr1_d5
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF23
ddr1_d6
ddr1_d6
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE23
ddr1_d7
ddr1_d7
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC23
ddr1_d8
ddr1_d8
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF27
ddr1_d9
ddr1_d9
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG27
ddr1_d10
ddr1_d10
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF28
ddr1_d11
ddr1_d11
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE26
ddr1_d12
ddr1_d12
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC25
ddr1_d13
ddr1_d13
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
16
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
AC24
ddr1_d14
ddr1_d14
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AD25
ddr1_d15
ddr1_d15
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
V20
ddr1_d16
ddr1_d16
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
W20
ddr1_d17
ddr1_d17
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB28
ddr1_d18
ddr1_d18
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC28
ddr1_d19
ddr1_d19
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC27
ddr1_d20
ddr1_d20
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y19
ddr1_d21
ddr1_d21
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB27
ddr1_d22
ddr1_d22
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y20
ddr1_d23
ddr1_d23
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA23
ddr1_d24
ddr1_d24
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y22
ddr1_d25
ddr1_d25
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y23
ddr1_d26
ddr1_d26
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA24
ddr1_d27
ddr1_d27
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y24
ddr1_d28
ddr1_d28
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA26
ddr1_d29
ddr1_d29
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA25
ddr1_d30
ddr1_d30
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA28
ddr1_d31
ddr1_d31
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AD23
ddr1_dqm0
ddr1_dqm0
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AB23
ddr1_dqm1
ddr1_dqm1
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC26
ddr1_dqm2
ddr1_dqm2
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AA27
ddr1_dqm3
ddr1_dqm3
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
V26
ddr1_dqm_ecc
ddr1_dqm_ecc
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
17
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
AH25
ddr1_dqs0
ddr1_dqs0
0
IO
PD
PD
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
AE27
ddr1_dqs1
ddr1_dqs1
0
IO
PD
PD
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
AD27
ddr1_dqs2
ddr1_dqs2
0
IO
PD
PD
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
Y28
ddr1_dqs3
ddr1_dqs3
0
IO
PD
PD
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
AG25
ddr1_dqsn0
ddr1_dqsn0
0
IO
PU
PU
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
AE28
ddr1_dqsn1
ddr1_dqsn1
0
IO
PU
PU
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
AD28
ddr1_dqsn2
ddr1_dqsn2
0
IO
PU
PU
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
Y27
ddr1_dqsn3
ddr1_dqsn3
0
IO
PU
PU
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
V28
ddr1_dqsn_ecc
ddr1_dqsn_ecc
0
IO
PU
PU
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
V27
ddr1_dqs_ecc
ddr1_dqs_ecc
0
IO
PD
PD
1.35/1.5
vdds_ddr1
NA
LVCMOS
DDR
PUx/PDy
W22
ddr1_ecc_d0
ddr1_ecc_d0
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
V23
ddr1_ecc_d1
ddr1_ecc_d1
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
W19
ddr1_ecc_d2
ddr1_ecc_d2
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
W23
ddr1_ecc_d3
ddr1_ecc_d3
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y25
ddr1_ecc_d4
ddr1_ecc_d4
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
V24
ddr1_ecc_d5
ddr1_ecc_d5
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
V25
ddr1_ecc_d6
ddr1_ecc_d6
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
Y26
ddr1_ecc_d7
ddr1_ecc_d7
0
IO
PD
PD
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AH24
ddr1_nck
ddr1_nck
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AE20
ddr1_odt0
ddr1_odt0
0
O
PD
drive 0 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AC17
ddr1_odt1
ddr1_odt1
0
O
PD
drive 0 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AF20
ddr1_rasn
ddr1_rasn
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
AG21
ddr1_rst
ddr1_rst
0
O
PD
drive 0 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
18
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
Y18
ddr1_vref0
ddr1_vref0
0
PWR
OFF
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
NA
AH21
ddr1_wen
ddr1_wen
0
O
PU
drive 1 (OFF)
1.35/1.5
vdds_ddr1
No
LVCMOS
DDR
PUx/PDy
G21
emu0
emu0
0
IO
PU
PU
0
1.8/3.3
vddshv3
Yes
gpio8_30
14
IO
Dual Voltage PU/PD
LVCMOS
emu1
0
IO
PU
PU
0
1.8/3.3
vddshv3
Yes
gpio8_31
14
IO
Dual Voltage PU/PD
LVCMOS
gpio6_10
0
IO
PU
PU
15
1.8/3.3
vddshv7
Yes
mdio_mclk
1
O
Dual Voltage PU/PD
LVCMOS
i2c3_sda
2
IO
vin2b_hsync1
4
I
vin1a_clk0
9
I
ehrpwm2A
10
O
pr2_mii_mt1_clk
11
I
pr2_pru0_gpi0
12
I
pr2_pru0_gpo0
13
O
gpio6_10
14
IO
Driver off
15
I
gpio6_11
0
IO
PU
PU
15
1.8/3.3
vddshv7
Yes
mdio_d
1
IO
Dual Voltage PU/PD
LVCMOS
i2c3_scl
2
IO
vin2b_vsync1
4
I
vin1a_de0
9
I
ehrpwm2B
10
O
pr2_mii1_txen
11
O
pr2_pru0_gpi1
12
I
pr2_pru0_gpo1
13
O
gpio6_11
14
IO
Driver off
15
I
D24
AC5
AB4
emu1
gpio6_10
gpio6_11
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
19
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E21
BALL NAME [2]
gpio6_14
SIGNAL NAME [3]
gpio6_15
gpio6_16
0
IO
1
IO
dcan2_tx
2
IO
uart10_rxd
3
I
6
O
vin2a_hsync0
vin1a_hsync0
8
I
i2c3_sda
9
IO
timer1
10
IO
gpio6_14
14
IO
Driver off
15
I
gpio6_15
0
IO
mcasp1_axr9
1
IO
dcan2_rx
2
IO
uart10_txd
3
O
6
O
vin2a_vsync0
vin1a_vsync0
8
I
i2c3_scl
9
IO
timer2
10
IO
gpio6_15
14
IO
Driver off
15
I
gpio6_16
0
IO
mcasp1_axr10
1
IO
vout2_fld
20
TYPE [6]
mcasp1_axr8
vout2_vsync
F21
MUXMODE
[5]
gpio6_14
vout2_hsync
F20
PN [4]
No
No
6
O
vin2a_fld0
vin1a_fld0
No
8
I
clkout1
9
O
timer3
10
IO
gpio6_16
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
R6
BALL NAME [2]
gpmc_a0
SIGNAL NAME [3]
gpmc_a1
gpmc_a2
TYPE [6]
0
O
vin1a_d16
2
I
3
O
vin2a_d0
vin1a_d0
4
I
vin1b_d0
6
I
i2c4_scl
7
IO
uart5_rxd
8
I
gpio7_3
gpmc_a26
gpmc_a16
14
IO
Driver off
15
I
gpmc_a1
0
O
vin1a_d17
2
I
vout3_d17
T6
MUXMODE
[5]
gpmc_a0
vout3_d16
T9
PN [4]
No
3
O
vin2a_d1
vin1a_d1
4
I
vin1b_d1
6
I
i2c4_sda
7
IO
uart5_txd
8
O
gpio7_4
14
IO
Driver off
15
I
gpmc_a2
0
O
vin1a_d18
2
I
vout3_d18
No
3
O
vin2a_d2
vin1a_d2
No
4
I
vin1b_d2
6
I
uart7_rxd
7
I
uart5_ctsn
8
I
gpio7_5
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
21
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
T7
BALL NAME [2]
gpmc_a3
SIGNAL NAME [3]
PN [4]
0
O
qspi1_cs2
1
O
2
I
3
O
vin2a_d3
vin1a_d3
4
I
vin1b_d3
6
I
uart7_txd
7
O
uart5_rtsn
8
O
gpio7_6
14
IO
Driver off
15
I
gpmc_a4
0
O
qspi1_cs3
1
O
2
I
3
O
vin2a_d4
vin1a_d4
4
I
vin1b_d4
6
I
i2c5_scl
7
IO
uart6_rxd
8
I
gpio1_26
14
IO
Driver off
15
I
gpmc_a5
0
O
vin1a_d21
2
I
vout3_d19
gpmc_a4
No
vin1a_d20
vout3_d20
R9
gpmc_a5
vout3_d21
22
TYPE [6]
gpmc_a3
vin1a_d19
P6
MUXMODE
[5]
No
3
O
vin2a_d5
vin1a_d5
No
4
I
vin1b_d5
6
I
i2c5_sda
7
IO
uart6_txd
8
O
gpio1_27
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
R5
BALL NAME [2]
gpmc_a6
SIGNAL NAME [3]
gpmc_a7
gpmc_a8
0
O
2
I
3
O
vin2a_d6
vin1a_d6
4
I
vin1b_d6
6
I
uart8_rxd
7
I
uart6_ctsn
8
I
gpio1_28
14
IO
Driver off
15
I
gpmc_a7
0
O
vin1a_d23
2
I
gpmc_a9
No
3
O
vin2a_d7
vin1a_d7
4
I
vin1b_d7
6
I
uart8_txd
7
O
uart6_rtsn
8
O
gpio1_29
14
IO
Driver off
15
I
gpmc_a8
0
O
vin1a_hsync0
2
I
vout3_hsync
R4
TYPE [6]
vin1a_d22
vout3_d23
N7
MUXMODE
[5]
gpmc_a6
vout3_d22
P5
PN [4]
No
3
O
vin1b_hsync1
6
I
timer12
7
IO
spi4_sclk
8
IO
gpio1_30
14
IO
Driver off
15
I
gpmc_a9
0
O
vin1a_vsync0
2
I
vout3_vsync
No
3
O
vin1b_vsync1
No
6
I
timer11
7
IO
spi4_d1
8
IO
gpio1_31
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
23
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
N9
BALL NAME [2]
gpmc_a10
SIGNAL NAME [3]
gpmc_a11
R3
24
gpmc_a12
gpmc_a13
TYPE [6]
0
O
vin1a_de0
2
I
3
O
vin1b_clk1
6
I
timer10
7
IO
spi4_d0
8
IO
gpio2_0
14
IO
Driver off
15
I
gpmc_a11
0
O
vin1a_fld0
2
I
vout3_fld
P4
MUXMODE
[5]
gpmc_a10
vout3_de
P9
PN [4]
No
3
O
vin2a_fld0
vin1a_fld0
No
4
I
vin1b_de1
6
I
timer9
7
IO
spi4_cs0
8
IO
gpio2_1
14
IO
Driver off
15
I
gpmc_a12
0
O
vin2a_clk0
vin1a_clk0
4
I
gpmc_a0
5
O
vin1b_fld1
6
I
timer8
7
IO
spi4_cs1
8
IO
dma_evt1
9
I
gpio2_2
14
IO
Driver off
15
I
gpmc_a13
0
O
qspi1_rtclk
1
I
vin2a_hsync0
vin1a_hsync0
4
I
timer7
7
IO
spi4_cs2
8
IO
dma_evt2
9
I
gpio2_3
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
T2
U2
U1
P3
R2
BALL NAME [2]
gpmc_a14
gpmc_a15
gpmc_a16
gpmc_a17
gpmc_a18
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
gpmc_a14
0
O
qspi1_d3
1
IO
vin2a_vsync0
vin1a_vsync0
4
I
timer6
7
IO
spi4_cs3
8
IO
gpio2_4
14
IO
Driver off
15
I
gpmc_a15
0
O
qspi1_d2
1
IO
vin2a_d8
vin1a_d8
4
I
timer5
7
IO
gpio2_5
14
IO
Driver off
15
I
gpmc_a16
0
O
qspi1_d0
1
IO
vin2a_d9
vin1a_d9
4
I
gpio2_6
14
IO
Driver off
15
I
gpmc_a17
0
O
qspi1_d1
1
IO
vin2a_d10
vin1a_d10
4
I
gpio2_7
14
IO
Driver off
15
I
gpmc_a18
0
O
qspi1_sclk
1
IO
vin2a_d11
vin1a_d11
4
I
gpio2_8
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
25
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
K7
M7
J5
K6
26
BALL NAME [2]
gpmc_a19
gpmc_a20
gpmc_a21
gpmc_a22
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
gpmc_a19
0
O
mmc2_dat4
1
IO
gpmc_a13
2
O
vin2a_d12
vin1a_d12
4
I
vin2b_d0
vin1b_d0
6
I
gpio2_9
14
IO
Driver off
15
I
gpmc_a20
0
O
mmc2_dat5
1
IO
gpmc_a14
2
O
vin2a_d13
vin1a_d13
4
I
vin2b_d1
vin1b_d1
6
I
gpio2_10
14
IO
Driver off
15
I
gpmc_a21
0
O
mmc2_dat6
1
IO
gpmc_a15
2
O
vin2a_d14
vin1a_d14
4
I
vin2b_d2
vin1b_d2
6
I
gpio2_11
14
IO
Driver off
15
I
gpmc_a22
0
O
mmc2_dat7
1
IO
gpmc_a16
2
O
vin2a_d15
vin1a_d15
4
I
vin2b_d3
vin1b_d3
6
I
gpio2_12
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
J7
J4
J6
H4
BALL NAME [2]
gpmc_a23
gpmc_a24
gpmc_a25
gpmc_a26
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
gpmc_a23
0
O
mmc2_clk
1
IO
gpmc_a17
2
O
vin2a_fld0
vin1a_fld0
4
I
vin2b_d4
vin1b_d4
6
I
gpio2_13
14
IO
Driver off
15
I
gpmc_a24
0
O
mmc2_dat0
1
IO
gpmc_a18
2
O
vin1a_d8
4
I
vin2b_d5
vin1b_d5
6
I
gpio2_14
14
IO
Driver off
15
I
gpmc_a25
0
O
mmc2_dat1
1
IO
gpmc_a19
2
O
vin1a_d9
4
I
vin2b_d6
vin1b_d6
6
I
gpio2_15
14
IO
Driver off
15
I
gpmc_a26
0
O
mmc2_dat2
1
IO
gpmc_a20
2
O
vin1a_d10
4
I
vin2b_d7
vin1b_d7
6
I
gpio2_16
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
27
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
H5
M6
BALL NAME [2]
gpmc_a27
gpmc_ad0
SIGNAL NAME [3]
gpmc_ad1
gpmc_ad2
0
O
1
IO
gpmc_a21
2
O
vin1a_d11
4
I
vin2b_hsync1
vin1b_hsync1
6
I
gpio2_17
14
IO
Driver off
15
I
gpmc_ad0
0
IO
vin1a_d0
2
I
3
O
gpio1_6
14
IO
sysboot0
15
I
gpmc_ad1
0
IO
vin1a_d1
2
I
gpmc_ad3
3
O
14
IO
sysboot1
15
I
gpmc_ad2
0
IO
vin1a_d2
2
I
gpmc_ad4
3
O
14
IO
sysboot2
15
I
gpmc_ad3
0
IO
vin1a_d3
2
I
gpmc_ad5
3
O
14
IO
sysboot3
15
I
gpmc_ad4
0
IO
vin1a_d4
2
I
No
3
O
gpio1_10
14
IO
sysboot4
15
I
gpmc_ad5
0
IO
vin1a_d5
2
I
vout3_d5
28
No
gpio1_9
vout3_d4
L4
No
gpio1_8
vout3_d3
L6
No
gpio1_7
vout3_d2
M1
TYPE [6]
mmc2_dat3
vout3_d1
L5
MUXMODE
[5]
gpmc_a27
vout3_d0
M2
PN [4]
No
3
O
gpio1_11
No
14
IO
sysboot5
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
L3
BALL NAME [2]
gpmc_ad6
SIGNAL NAME [3]
gpmc_ad7
gpmc_ad8
0
IO
2
I
3
O
gpio1_12
14
IO
sysboot6
15
I
gpmc_ad7
0
IO
vin1a_d7
2
I
gpmc_ad9
3
O
14
IO
sysboot7
15
I
gpmc_ad8
0
IO
vin1a_d8
2
I
gpmc_ad10
3
O
14
IO
sysboot8
15
I
gpmc_ad9
0
IO
vin1a_d9
2
I
gpmc_ad11
3
O
14
IO
sysboot9
15
I
gpmc_ad10
0
IO
vin1a_d10
2
I
gpmc_ad12
No
3
O
gpio7_28
14
IO
sysboot10
15
I
gpmc_ad11
0
IO
vin1a_d11
2
I
vout3_d11
H1
No
gpio7_19
vout3_d10
J2
No
gpio7_18
vout3_d9
J1
No
gpio1_13
vout3_d8
K2
TYPE [6]
vin1a_d6
vout3_d7
L1
MUXMODE
[5]
gpmc_ad6
vout3_d6
L2
PN [4]
No
3
O
gpio7_29
14
IO
sysboot11
15
I
gpmc_ad12
0
IO
vin1a_d12
2
I
vout3_d12
No
3
O
gpio1_18
No
14
IO
sysboot12
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
29
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
J3
BALL NAME [2]
gpmc_ad13
SIGNAL NAME [3]
gpmc_ad14
gpmc_ad15
0
IO
2
I
3
O
gpio1_19
14
IO
sysboot13
15
I
gpmc_ad14
0
IO
vin1a_d14
2
I
N6
30
gpmc_advn_ale
gpmc_ben0
No
3
O
gpio1_20
14
IO
sysboot14
15
I
gpmc_ad15
0
IO
vin1a_d15
2
I
vout3_d15
N1
TYPE [6]
vin1a_d13
vout3_d14
H3
MUXMODE
[5]
gpmc_ad13
vout3_d13
H2
PN [4]
No
3
O
gpio1_21
No
14
IO
sysboot15
15
I
gpmc_advn_ale
0
O
gpmc_cs6
1
O
clkout2
2
O
gpmc_wait1
3
I
vin2a_vsync0
vin1a_vsync0
4
I
gpmc_a2
5
O
gpmc_a23
6
O
timer3
7
IO
i2c3_sda
8
IO
dma_evt2
9
I
gpio2_23
gpmc_a19
14
IO
Driver off
15
I
gpmc_ben0
0
O
gpmc_cs4
1
O
vin2b_de1
vin1b_de1
6
I
timer2
7
IO
dma_evt3
9
I
gpio2_26
gpmc_a21
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
OFF
OFF
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
M4
P7
T1
H6
BALL NAME [2]
gpmc_ben1
gpmc_clk
gpmc_cs0
gpmc_cs1
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
gpmc_ben1
0
O
gpmc_cs5
1
O
vin2b_clk1
vin1b_clk1
4
I
gpmc_a3
5
O
vin2b_fld1
vin1b_fld1
6
I
timer1
7
IO
dma_evt4
9
I
gpio2_27
gpmc_a22
14
IO
Driver off
15
I
gpmc_clk
0
IO
gpmc_cs7
1
O
clkout1
2
O
gpmc_wait1
3
I
vin2a_hsync0
vin1a_hsync0
4
I
vin2a_de0
vin1a_de0
5
I
vin2b_clk1
vin1b_clk1
6
I
timer4
7
IO
i2c3_scl
8
IO
dma_evt1
9
I
gpio2_22
gpmc_a20
14
IO
Driver off
15
I
gpmc_cs0
0
O
gpio2_19
14
IO
Driver off
15
I
gpmc_cs1
0
O
mmc2_cmd
1
IO
gpmc_a22
2
O
vin2a_de0
vin1a_de0
4
I
vin2b_vsync1
vin1b_vsync1
6
I
gpio2_18
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv11
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
31
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
P2
P1
BALL NAME [2]
gpmc_cs2
gpmc_cs3
SIGNAL NAME [3]
PN [4]
0
O
qspi1_cs0
1
IO
gpio2_20
gpmc_a23
gpmc_a13
14
IO
Driver off
15
I
gpmc_cs3
0
O
qspi1_cs1
1
O
2
I
3
O
gpmc_a1
5
O
gpio2_21
gpmc_a24
gpmc_a14
14
IO
Driver off
15
I
gpmc_oen_ren
0
O
gpio2_24
14
IO
Driver off
15
I
gpmc_wait0
0
I
gpio2_28
gpmc_a25
gpmc_a15
14
IO
Driver off
15
I
gpmc_wen
0
O
gpio2_25
14
IO
vout3_clk
N2
M3
gpmc_oen_ren
gpmc_wait0
gpmc_wen
TYPE [6]
gpmc_cs2
vin1a_clk0
M5
MUXMODE
[5]
No
Driver off
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv10
Yes
Dual Voltage PU/PD
LVCMOS
15
I
AG16
hdmi1_clockx
hdmi1_clockx
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AH16
hdmi1_clocky
hdmi1_clocky
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AG17
hdmi1_data0x
hdmi1_data0x
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AH17
hdmi1_data0y
hdmi1_data0y
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AG18
hdmi1_data1x
hdmi1_data1x
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AH18
hdmi1_data1y
hdmi1_data1y
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AG19
hdmi1_data2x
hdmi1_data2x
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
AH19
hdmi1_data2y
hdmi1_data2y
No
0
O
1.8
vdda_hdmi
NA
HDMIPHY
Pdy
C20
i2c1_scl
i2c1_scl
0
IO
1.8/3.3
vddshv3
Yes
Driver off
15
I
Dual Voltage PU/PD
LVCMOS I2C
C21
i2c1_sda
i2c1_sda
0
IO
1.8/3.3
vddshv3
Yes
Driver off
15
I
Dual Voltage PU/PD
LVCMOS I2C
32
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
F17
BALL NAME [2]
i2c2_scl
SIGNAL NAME [3]
i2c2_scl
i2c2_sda
MUXMODE
[5]
TYPE [6]
0
IO
1
IO
Driver off
15
I
i2c2_sda
0
IO
1
IO
hdmi1_ddc_sda
C25
PN [4]
hdmi1_ddc_scl
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS I2C
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS I2C
Driver off
15
I
AH15
ljcb_clkn
ljcb_clkn
0
IO
1.8
vdda_pcie
NA
LJCB
NA
AG15
ljcb_clkp
ljcb_clkp
0
IO
1.8
vdda_pcie
NA
LJCB
NA
B14
mcasp1_aclkr
mcasp1_aclkr
0
IO
1
IO
6
O
vin2a_d0
vin1a_d0
8
I
i2c4_sda
10
IO
gpio5_0
14
IO
Driver off
15
I
mcasp1_aclkx
0
IO
vin1a_fld0
7
I
i2c3_sda
10
IO
pr2_mdio_mdclk
11
O
pr2_pru1_gpi7
12
I
pr2_pru1_gpo7
13
O
gpio7_31
14
IO
Driver off
15
I
mcasp1_axr0
0
IO
uart6_rxd
3
I
vin1a_vsync0
7
I
i2c5_sda
10
IO
pr2_mii0_rxer
11
I
pr2_pru1_gpi8
12
I
pr2_pru1_gpo8
13
O
gpio5_2
14
IO
Driver off
15
I
mcasp7_axr2
vout2_d0
C14
G12
mcasp1_aclkx
mcasp1_axr0
No
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
33
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
F12
G13
BALL NAME [2]
mcasp1_axr1
mcasp1_axr2
SIGNAL NAME [3]
PN [4]
0
IO
uart6_txd
3
O
vin1a_hsync0
7
I
i2c5_scl
10
IO
pr2_mii_mt0_clk
11
I
pr2_pru1_gpi9
12
I
pr2_pru1_gpo9
13
O
gpio5_3
14
IO
Driver off
15
I
mcasp1_axr2
0
IO
mcasp6_axr2
1
IO
3
I
6
O
vin2a_d2
vin1a_d2
8
I
gpio5_4
14
IO
Driver off
15
I
mcasp1_axr3
0
IO
mcasp6_axr3
1
IO
3
O
6
O
vin2a_d3
vin1a_d3
8
I
gpio5_5
14
IO
Driver off
15
I
mcasp1_axr4
0
IO
mcasp4_axr2
1
IO
vout2_d2
mcasp1_axr3
No
uart6_rtsn
vout2_d3
E12
mcasp1_axr4
vout2_d4
F13
mcasp1_axr5
No
6
O
vin2a_d4
vin1a_d4
8
I
gpio5_6
14
IO
Driver off
15
I
mcasp1_axr5
0
IO
mcasp4_axr3
1
IO
vout2_d5
34
TYPE [6]
mcasp1_axr1
uart6_ctsn
J11
MUXMODE
[5]
No
6
O
vin2a_d5
vin1a_d5
No
8
I
gpio5_7
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C12
BALL NAME [2]
mcasp1_axr6
SIGNAL NAME [3]
mcasp1_axr7
A11
mcasp1_axr8
mcasp1_axr9
TYPE [6]
0
IO
mcasp5_axr2
1
IO
6
O
vin2a_d6
vin1a_d6
8
I
gpio5_8
14
IO
Driver off
15
I
mcasp1_axr7
0
IO
mcasp5_axr3
1
IO
vout2_d7
B12
MUXMODE
[5]
mcasp1_axr6
vout2_d6
D12
PN [4]
No
6
O
vin2a_d7
vin1a_d7
No
8
I
timer4
10
IO
gpio5_9
14
IO
Driver off
15
I
mcasp1_axr8
0
IO
mcasp6_axr0
1
IO
spi3_sclk
3
IO
vin1a_d15
7
I
timer5
10
IO
pr2_mii0_txen
11
O
pr2_pru1_gpi10
12
I
pr2_pru1_gpo10
13
O
gpio5_10
14
IO
Driver off
15
I
mcasp1_axr9
0
IO
mcasp6_axr1
1
IO
spi3_d1
3
IO
vin1a_d14
7
I
timer6
10
IO
pr2_mii0_txd3
11
O
pr2_pru1_gpi11
12
I
pr2_pru1_gpo11
13
O
gpio5_11
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
35
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
B13
A12
E14
36
BALL NAME [2]
mcasp1_axr10
mcasp1_axr11
mcasp1_axr12
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mcasp1_axr10
0
IO
mcasp6_aclkx
1
IO
mcasp6_aclkr
2
IO
spi3_d0
3
IO
vin1a_d13
7
I
timer7
10
IO
pr2_mii0_txd2
11
O
pr2_pru1_gpi12
12
I
pr2_pru1_gpo12
13
O
gpio5_12
14
IO
Driver off
15
I
mcasp1_axr11
0
IO
mcasp6_fsx
1
IO
mcasp6_fsr
2
IO
spi3_cs0
3
IO
vin1a_d12
7
I
timer8
10
IO
pr2_mii0_txd1
11
O
pr2_pru1_gpi13
12
I
pr2_pru1_gpo13
13
O
gpio4_17
14
IO
Driver off
15
I
mcasp1_axr12
0
IO
mcasp7_axr0
1
IO
spi3_cs1
3
IO
vin1a_d11
7
I
timer9
10
IO
pr2_mii0_txd0
11
O
pr2_pru1_gpi14
12
I
pr2_pru1_gpo14
13
O
gpio4_18
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
A13
G14
F14
J14
BALL NAME [2]
mcasp1_axr13
mcasp1_axr14
mcasp1_axr15
mcasp1_fsr
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mcasp1_axr13
0
IO
mcasp7_axr1
1
IO
vin1a_d10
7
I
timer10
10
IO
pr2_mii_mr0_clk
11
I
pr2_pru1_gpi15
12
I
pr2_pru1_gpo15
13
O
gpio6_4
14
IO
Driver off
15
I
mcasp1_axr14
0
IO
mcasp7_aclkx
1
IO
mcasp7_aclkr
2
IO
vin1a_d9
7
I
timer11
10
IO
pr2_mii0_rxdv
11
I
pr2_pru1_gpi16
12
I
pr2_pru1_gpo16
13
O
gpio6_5
14
IO
Driver off
15
I
mcasp1_axr15
0
IO
mcasp7_fsx
1
IO
mcasp7_fsr
2
IO
vin1a_d8
7
I
timer12
10
IO
pr2_mii0_rxd3
11
I
pr2_pru0_gpi20
12
I
pr2_pru0_gpo20
13
O
gpio6_6
14
IO
Driver off
15
I
mcasp1_fsr
0
IO
mcasp7_axr3
1
IO
vout2_d1
6
O
vin2a_d1
vin1a_d1
No
8
I
i2c4_scl
10
IO
gpio5_1
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
37
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
D14
E15
BALL NAME [2]
mcasp1_fsx
mcasp2_aclkr
SIGNAL NAME [3]
B15
mcasp2_aclkx
mcasp2_axr0
mcasp2_axr1
0
IO
7
I
i2c3_scl
10
IO
pr2_mdio_data
11
IO
gpio7_30
14
IO
Driver off
15
I
mcasp2_aclkr
0
IO
mcasp8_axr2
1
IO
6
O
vin2a_d8
vin1a_d8
8
I
Driver off
15
I
mcasp2_aclkx
0
IO
vin1a_d7
7
I
pr2_mii0_rxd2
11
I
pr2_pru0_gpi18
12
I
pr2_pru0_gpo18
13
O
Driver off
15
I
mcasp2_axr0
0
IO
6
O
vin2a_d10
vin1a_d10
8
I
Driver off
15
I
mcasp2_axr1
0
IO
6
O
vin2a_d11
vin1a_d11
8
I
Driver off
15
I
mcasp2_axr2
0
IO
mcasp3_axr2
1
IO
vin1a_d5
7
I
pr2_mii0_rxd0
11
I
pr2_pru0_gpi16
12
I
pr2_pru0_gpo16
13
O
gpio6_8
14
IO
Driver off
15
I
vout2_d11
C15
38
mcasp2_axr2
TYPE [6]
vin1a_de0
vout2_d10
A15
MUXMODE
[5]
mcasp1_fsx
vout2_d8
A19
PN [4]
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
A16
D15
BALL NAME [2]
mcasp2_axr3
mcasp2_axr4
SIGNAL NAME [3]
mcasp2_axr5
mcasp2_axr6
0
IO
1
IO
vin1a_d4
7
I
pr2_mii0_rxlink
11
I
pr2_pru0_gpi17
12
I
pr2_pru0_gpo17
13
O
gpio6_9
14
IO
Driver off
15
I
mcasp2_axr4
0
IO
mcasp8_axr0
1
IO
6
O
vin2a_d12
vin1a_d12
No
8
I
gpio1_4
14
IO
Driver off
15
I
mcasp2_axr5
0
IO
mcasp8_axr1
1
IO
6
O
vin2a_d13
vin1a_d13
No
8
I
gpio6_7
14
IO
Driver off
15
I
mcasp2_axr6
0
IO
mcasp8_aclkx
1
IO
2
IO
6
O
vin2a_d14
vin1a_d14
8
I
gpio2_29
14
IO
Driver off
15
I
mcasp2_axr7
0
IO
mcasp8_fsx
1
IO
2
IO
6
O
vin2a_d15
vin1a_d15
8
I
gpio1_5
14
IO
Driver off
15
I
mcasp8_aclkr
vout2_d14
A17
mcasp2_axr7
TYPE [6]
mcasp3_axr3
vout2_d13
B17
MUXMODE
[5]
mcasp2_axr3
vout2_d12
B16
PN [4]
No
mcasp8_fsr
vout2_d15
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
39
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
A20
BALL NAME [2]
mcasp2_fsr
SIGNAL NAME [3]
B18
B19
40
mcasp2_fsx
mcasp3_aclkx
mcasp3_axr0
MUXMODE
[5]
TYPE [6]
mcasp2_fsr
0
IO
mcasp8_axr3
1
IO
vout2_d9
A18
PN [4]
6
O
vin2a_d9
vin1a_d9
No
8
I
Driver off
15
I
mcasp2_fsx
0
IO
vin1a_d6
7
I
pr2_mii0_rxd1
11
I
pr2_pru0_gpi19
12
I
pr2_pru0_gpo19
13
O
Driver off
15
I
mcasp3_aclkx
0
IO
mcasp3_aclkr
1
IO
mcasp2_axr12
2
IO
uart7_rxd
3
I
vin1a_d3
7
I
pr2_mii0_crs
11
I
pr2_pru0_gpi12
12
I
pr2_pru0_gpo12
13
O
gpio5_13
14
IO
Driver off
15
I
mcasp3_axr0
0
IO
mcasp2_axr14
2
IO
uart7_ctsn
3
I
uart5_rxd
4
I
vin1a_d1
7
I
pr2_mii1_rxer
11
I
pr2_pru0_gpi14
12
I
pr2_pru0_gpo14
13
O
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C17
F15
C18
BALL NAME [2]
mcasp3_axr1
mcasp3_fsx
mcasp4_aclkx
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mcasp3_axr1
0
IO
mcasp2_axr15
2
IO
uart7_rtsn
3
O
uart5_txd
4
O
vin1a_d0
7
I
vin1a_fld0
9
I
pr2_mii1_rxlink
11
I
pr2_pru0_gpi15
12
I
pr2_pru0_gpo15
13
O
Driver off
15
I
mcasp3_fsx
0
IO
mcasp3_fsr
1
IO
mcasp2_axr13
2
IO
uart7_txd
3
O
vin1a_d2
7
I
pr2_mii0_col
11
I
pr2_pru0_gpi13
12
I
pr2_pru0_gpo13
13
O
gpio5_14
14
IO
Driver off
15
I
mcasp4_aclkx
0
IO
mcasp4_aclkr
1
IO
spi3_sclk
2
IO
uart8_rxd
3
I
i2c4_sda
4
IO
6
O
vin2a_d16
vin1a_d16
8
I
vin1a_d15
9
I
Driver off
15
I
vout2_d16
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
41
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
G16
BALL NAME [2]
mcasp4_axr0
SIGNAL NAME [3]
mcasp4_axr1
mcasp4_fsx
0
IO
2
IO
uart8_ctsn
3
I
uart4_rxd
4
I
6
O
vin2a_d18
vin1a_d18
8
I
vin1a_d13
9
I
Driver off
15
I
mcasp4_axr1
0
IO
spi3_cs0
2
IO
uart8_rtsn
3
O
uart4_txd
4
O
6
O
vin2a_d19
vin1a_d19
8
I
vin1a_d12
9
I
pr2_pru1_gpi0
12
I
pr2_pru1_gpo0
13
O
Driver off
15
I
mcasp4_fsx
0
IO
mcasp4_fsr
1
IO
spi3_d1
2
IO
uart8_txd
3
O
i2c4_scl
4
IO
6
O
vin2a_d17
vin1a_d17
8
I
vin1a_d14
9
I
Driver off
15
I
vout2_d17
42
TYPE [6]
spi3_d0
vout2_d19
A21
MUXMODE
[5]
mcasp4_axr0
vout2_d18
D17
PN [4]
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
AA3
BALL NAME [2]
mcasp5_aclkx
SIGNAL NAME [3]
mcasp5_axr0
mcasp5_axr1
TYPE [6]
0
IO
mcasp5_aclkr
1
IO
spi4_sclk
2
IO
uart9_rxd
3
I
i2c5_sda
4
IO
6
O
vin2a_d20
vin1a_d20
8
I
vin1a_d11
9
I
pr2_pru1_gpi1
12
I
pr2_pru1_gpo1
13
O
Driver off
15
I
mcasp5_axr0
0
IO
spi4_d0
2
IO
uart9_ctsn
3
I
uart3_rxd
4
I
6
O
vin2a_d22
vin1a_d22
8
I
vin1a_d9
9
I
pr2_mdio_mdclk
11
O
pr2_pru1_gpi3
12
I
pr2_pru1_gpo3
13
O
Driver off
15
I
mcasp5_axr1
0
IO
spi4_cs0
2
IO
uart9_rtsn
3
O
uart3_txd
4
O
6
O
vin2a_d23
vin1a_d23
8
I
vin1a_d8
9
I
pr2_mdio_data
11
IO
pr2_pru1_gpi4
12
I
pr2_pru1_gpo4
13
O
Driver off
15
I
vout2_d22
AA4
MUXMODE
[5]
mcasp5_aclkx
vout2_d20
AB3
PN [4]
vout2_d23
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
43
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
AB9
BALL NAME [2]
mcasp5_fsx
SIGNAL NAME [3]
V1
mdio_d
mdio_mclk
MUXMODE
[5]
TYPE [6]
mcasp5_fsx
0
IO
mcasp5_fsr
1
IO
spi4_d1
2
IO
uart9_txd
3
O
i2c5_scl
4
IO
6
O
vin2a_d21
vin1a_d21
8
I
vin1a_d10
9
I
pr2_pru1_gpi2
12
I
pr2_pru1_gpo2
13
O
Driver off
15
I
mdio_d
0
IO
uart3_ctsn
1
I
mii0_txer
3
O
vin2a_d0
4
I
vin1b_d0
5
I
pr1_mii0_rxlink
11
I
pr2_pru1_gpi1
12
I
pr2_pru1_gpo1
13
O
gpio5_16
14
IO
Driver off
15
I
mdio_mclk
0
O
uart3_rtsn
1
O
mii0_col
3
I
vin2a_clk0
4
I
vin1b_clk1
5
I
pr1_mii0_col
11
I
pr2_pru1_gpi0
12
I
pr2_pru1_gpo0
13
O
gpio5_15
14
IO
Driver off
15
I
vout2_d21
U4
PN [4]
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
AB2
mlbp_clk_n
mlbp_clk_n
0
I
vdds_mlbp
No
BMLB18
NA
AB1
mlbp_clk_p
mlbp_clk_p
0
I
vdds_mlbp
No
BMLB18
NA
AA2
mlbp_dat_n
mlbp_dat_n
0
IO
OFF
OFF
vdds_mlbp
No
BMLB18
NA
AA1
mlbp_dat_p
mlbp_dat_p
0
IO
OFF
OFF
vdds_mlbp
No
BMLB18
NA
AC2
mlbp_sig_n
mlbp_sig_n
0
IO
OFF
OFF
vdds_mlbp
No
BMLB18
NA
AC1
mlbp_sig_p
mlbp_sig_p
0
IO
OFF
OFF
vdds_mlbp
No
BMLB18
NA
44
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
W6
Y6
AA6
Y4
AA5
Y3
W7
Y9
AD4
BALL NAME [2]
mmc1_clk
mmc1_cmd
mmc1_dat0
mmc1_dat1
mmc1_dat2
mmc1_dat3
mmc1_sdcd
mmc1_sdwp
mmc3_clk
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mmc1_clk
0
IO
gpio6_21
14
IO
Driver off
15
I
mmc1_cmd
0
IO
gpio6_22
14
IO
Driver off
15
I
mmc1_dat0
0
IO
gpio6_23
14
IO
Driver off
15
I
mmc1_dat1
0
IO
gpio6_24
14
IO
Driver off
15
I
mmc1_dat2
0
IO
gpio6_25
14
IO
Driver off
15
I
mmc1_dat3
0
IO
gpio6_26
14
IO
Driver off
15
I
mmc1_sdcd
0
I
uart6_rxd
3
I
i2c4_sda
4
IO
gpio6_27
14
IO
Driver off
15
I
mmc1_sdwp
0
I
uart6_txd
3
O
i2c4_scl
4
IO
gpio6_28
14
IO
Driver off
15
I
mmc3_clk
0
IO
vin2b_d7
4
I
vin1a_d7
9
I
ehrpwm2_tripzone_input
10
IO
pr2_mii1_txd3
11
O
pr2_pru0_gpi2
12
I
pr2_pru0_gpo2
13
O
gpio6_29
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
SDIO2KV183 Pux/PDy
3
PU
PU
15
1.8/3.3
vddshv8
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv8
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
45
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
AC4
AC7
AC6
46
BALL NAME [2]
mmc3_cmd
mmc3_dat0
mmc3_dat1
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mmc3_cmd
0
IO
spi3_sclk
1
IO
vin2b_d6
4
I
vin1a_d6
9
I
eCAP2_in_PWM2_out
10
IO
pr2_mii1_txd2
11
O
pr2_pru0_gpi3
12
I
pr2_pru0_gpo3
13
O
gpio6_30
14
IO
Driver off
15
I
mmc3_dat0
0
IO
spi3_d1
1
IO
uart5_rxd
2
I
vin2b_d5
4
I
vin1a_d5
9
I
eQEP3A_in
10
I
pr2_mii1_txd1
11
O
pr2_pru0_gpi4
12
I
pr2_pru0_gpo4
13
O
gpio6_31
14
IO
Driver off
15
I
mmc3_dat1
0
IO
spi3_d0
1
IO
uart5_txd
2
O
vin2b_d4
4
I
vin1a_d4
9
I
eQEP3B_in
10
I
pr2_mii1_txd0
11
O
pr2_pru0_gpi5
12
I
pr2_pru0_gpo5
13
O
gpio7_0
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
AC9
AC3
AC8
BALL NAME [2]
mmc3_dat2
mmc3_dat3
mmc3_dat4
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mmc3_dat2
0
IO
spi3_cs0
1
IO
uart5_ctsn
2
I
vin2b_d3
4
I
vin1a_d3
9
I
eQEP3_index
10
IO
pr2_mii_mr1_clk
11
I
pr2_pru0_gpi6
12
I
pr2_pru0_gpo6
13
O
gpio7_1
14
IO
Driver off
15
I
mmc3_dat3
0
IO
spi3_cs1
1
IO
uart5_rtsn
2
O
vin2b_d2
4
I
vin1a_d2
9
I
eQEP3_strobe
10
IO
pr2_mii1_rxdv
11
I
pr2_pru0_gpi7
12
I
pr2_pru0_gpo7
13
O
gpio7_2
14
IO
Driver off
15
I
mmc3_dat4
0
IO
spi4_sclk
1
IO
uart10_rxd
2
I
vin2b_d1
4
I
vin1a_d1
9
I
ehrpwm3A
10
O
pr2_mii1_rxd3
11
I
pr2_pru0_gpi8
12
I
pr2_pru0_gpo8
13
O
gpio1_22
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
47
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
AD6
AB8
AB5
BALL NAME [2]
mmc3_dat5
mmc3_dat6
mmc3_dat7
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
mmc3_dat5
0
IO
spi4_d1
1
IO
uart10_txd
2
O
vin2b_d0
4
I
vin1a_d0
9
I
ehrpwm3B
10
O
pr2_mii1_rxd2
11
I
pr2_pru0_gpi9
12
I
pr2_pru0_gpo9
13
O
gpio1_23
14
IO
Driver off
15
I
mmc3_dat6
0
IO
spi4_d0
1
IO
uart10_ctsn
2
I
vin2b_de1
4
I
vin1a_hsync0
9
I
ehrpwm3_tripzone_input
10
IO
pr2_mii1_rxd1
11
I
pr2_pru0_gpi10
12
I
pr2_pru0_gpo10
13
O
gpio1_24
14
IO
Driver off
15
I
mmc3_dat7
0
IO
spi4_cs0
1
IO
uart10_rtsn
2
O
vin2b_clk1
4
I
vin1a_vsync0
9
I
eCAP3_in_PWM3_out
10
IO
pr2_mii1_rxd0
11
I
pr2_pru0_gpi11
12
I
pr2_pru0_gpo11
13
O
gpio1_25
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv7
Yes
Dual Voltage PU/PD
LVCMOS
D21
nmin_dsp
nmin_dsp
0
I
PD
PD
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Y11
on_off
on_off
0
O
PU
drive 1 (OFF)
1.8/3.3
vddshv5
Yes
BC1833IHHV PU/PD
AG13
pcie_rxn0
pcie_rxn0
0
I
OFF
OFF
1.8
vdda_pcie0
NA
SERDES
NA
AH13
pcie_rxp0
pcie_rxp0
0
I
OFF
OFF
1.8
vdda_pcie0
NA
SERDES
NA
48
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
AG14
pcie_txn0
pcie_txn0
0
O
1.8
vdda_pcie0
NA
SERDES
NA
AH14
pcie_txp0
pcie_txp0
0
O
1.8
vdda_pcie0
NA
SERDES
NA
F22
porz
porz
0
I
1.8/3.3
vddshv3
Yes
IHHV1833
PU/PD
E23
resetn
resetn
0
I
PU
PU
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
U5
rgmii0_rxc
rgmii0_rxc
0
I
PD
PD
15
1.8/3.3
vddshv9
Yes
rmii1_txen
2
O
Dual Voltage PU/PD
LVCMOS
mii0_txclk
3
I
vin2a_d5
4
I
vin1b_d5
5
I
pr1_mii_mt0_clk
11
I
pr2_pru1_gpi11
12
I
pr2_pru1_gpo11
13
O
gpio5_26
14
IO
Driver off
15
I
rgmii0_rxctl
0
I
PD
PD
15
1.8/3.3
vddshv9
Yes
rmii1_txd1
2
O
Dual Voltage PU/PD
LVCMOS
mii0_txd3
3
O
vin2a_d6
4
I
vin1b_d6
5
I
pr1_mii0_txd3
11
O
pr2_pru1_gpi12
12
I
pr2_pru1_gpo12
13
O
gpio5_27
14
IO
Driver off
15
I
rgmii0_rxd0
0
I
PD
PD
15
1.8/3.3
vddshv9
Yes
rmii0_txd0
1
O
Dual Voltage PU/PD
LVCMOS
mii0_txd0
3
O
vin2a_fld0
4
I
vin1b_fld1
5
I
pr1_mii0_txd0
11
O
pr2_pru1_gpi16
12
I
pr2_pru1_gpo16
13
O
gpio5_31
14
IO
Driver off
15
I
V5
W2
rgmii0_rxctl
rgmii0_rxd0
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
49
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
Y2
V3
V4
50
BALL NAME [2]
rgmii0_rxd1
rgmii0_rxd2
rgmii0_rxd3
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
rgmii0_rxd1
0
I
rmii0_txd1
1
O
mii0_txd1
3
O
vin2a_d9
4
I
pr1_mii0_txd1
11
O
pr2_pru1_gpi15
12
I
pr2_pru1_gpo15
13
O
gpio5_30
14
IO
Driver off
15
I
rgmii0_rxd2
0
I
rmii0_txen
1
O
mii0_txen
3
O
vin2a_d8
4
I
pr1_mii0_txen
11
O
pr2_pru1_gpi14
12
I
pr2_pru1_gpo14
13
O
gpio5_29
14
IO
Driver off
15
I
rgmii0_rxd3
0
I
rmii1_txd0
2
O
mii0_txd2
3
O
vin2a_d7
4
I
vin1b_d7
5
I
pr1_mii0_txd2
11
O
pr2_pru1_gpi13
12
I
pr2_pru1_gpo13
13
O
gpio5_28
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
W9
V9
U6
BALL NAME [2]
rgmii0_txc
rgmii0_txctl
rgmii0_txd0
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
rgmii0_txc
0
O
uart3_ctsn
1
I
rmii1_rxd1
2
I
mii0_rxd3
3
I
vin2a_d3
4
I
vin1b_d3
5
I
spi3_d0
7
IO
spi4_cs2
8
IO
pr1_mii0_rxd3
11
I
pr2_pru1_gpi5
12
I
pr2_pru1_gpo5
13
O
gpio5_20
14
IO
Driver off
15
I
rgmii0_txctl
0
O
uart3_rtsn
1
O
rmii1_rxd0
2
I
mii0_rxd2
3
I
vin2a_d4
4
I
vin1b_d4
5
I
spi3_cs0
7
IO
spi4_cs3
8
IO
pr1_mii0_rxd2
11
I
pr2_pru1_gpi6
12
I
pr2_pru1_gpo6
13
O
gpio5_21
14
IO
Driver off
15
I
rgmii0_txd0
0
O
rmii0_rxd0
1
I
mii0_rxd0
3
I
vin2a_d10
4
I
spi4_cs0
7
IO
uart4_rtsn
8
O
pr1_mii0_rxd0
11
I
pr2_pru1_gpi10
12
I
pr2_pru1_gpo10
13
O
gpio5_25
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
51
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
V6
U7
V7
52
BALL NAME [2]
rgmii0_txd1
rgmii0_txd2
rgmii0_txd3
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
rgmii0_txd1
0
O
rmii0_rxd1
1
I
mii0_rxd1
3
I
vin2a_vsync0
4
I
vin1b_vsync1
5
I
spi4_d0
7
IO
uart4_ctsn
8
IO
pr1_mii0_rxd1
11
I
pr2_pru1_gpi9
12
I
pr2_pru1_gpo9
13
O
gpio5_24
14
IO
Driver off
15
I
rgmii0_txd2
0
O
rmii0_rxer
1
I
mii0_rxer
3
I
vin2a_hsync0
4
I
vin1b_hsync1
5
I
spi4_d1
7
IO
uart4_txd
8
O
pr1_mii0_rxer
11
I
pr2_pru1_gpi8
12
I
pr2_pru1_gpo8
13
O
gpio5_23
14
IO
Driver off
15
I
rgmii0_txd3
0
O
rmii0_crs
1
I
mii0_crs
3
I
vin2a_de0
4
I
vin1b_de1
5
I
spi4_sclk
7
IO
uart4_rxd
8
I
pr1_mii0_crs
11
I
pr2_pru1_gpi7
12
I
pr2_pru1_gpo7
13
O
gpio5_22
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
U3
BALL NAME [2]
RMII_MHZ_50_CLK
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
RMII_MHZ_50_CLK
0
IO
vin2a_d11
4
I
pr2_pru1_gpi2
12
I
pr2_pru1_gpo2
13
O
gpio5_17
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
PD
PD
F23
rstoutn
rstoutn
0
O
PD
PD
E18
rtck
rtck
0
O
PU
OFF
gpio8_29
14
IO
15
0
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
AF14
rtc_iso
rtc_iso
0
I
1.8/3.3
vddshv5
Yes
IHHV1833
PU/PD
AE14
rtc_osc_xi_clkin32
rtc_osc_xi_clkin32
0
I
1.8
vdda_rtc
No
LVCMOS
OSC
NA
AD14
rtc_osc_xo
rtc_osc_xo
0
O
1.8
vdda_rtc
No
LVCMOS
OSC
NA
AB17
rtc_porz
rtc_porz
0
I
1.8/3.3
vddshv5
Yes
IHHV1833
PU/PD
AH9
sata1_rxn0
sata1_rxn0
0
I
OFF
OFF
1.8
vdda_sata
NA
SATAPHY
NA
AG9
sata1_rxp0
sata1_rxp0
0
I
OFF
OFF
1.8
vdda_sata
NA
SATAPHY
NA
AG10
sata1_txn0
sata1_txn0
0
O
1.8
vdda_sata
NA
SATAPHY
NA
AH10
sata1_txp0
sata1_txp0
0
O
1.8
vdda_sata
NA
SATAPHY
NA
A24
spi1_cs0
spi1_cs0
0
IO
gpio7_10
14
IO
Driver off
15
I
spi1_cs1
0
IO
sata1_led
2
O
spi2_cs1
3
IO
gpio7_11
14
IO
Driver off
15
I
spi1_cs2
0
IO
uart4_rxd
1
I
mmc3_sdcd
2
I
spi2_cs2
3
IO
dcan2_tx
4
IO
mdio_mclk
5
O
6
IO
gpio7_12
14
IO
Driver off
15
I
A22
B21
spi1_cs1
spi1_cs2
hdmi1_hpd
No
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
53
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
B20
BALL NAME [2]
spi1_cs3
SIGNAL NAME [3]
F16
A25
B24
G17
B22
A26
E20
54
spi1_d0
spi1_d1
spi1_sclk
spi2_cs0
spi2_d0
spi2_d1
spi2_sclk
tclk
MUXMODE
[5]
TYPE [6]
spi1_cs3
0
IO
uart4_txd
1
O
mmc3_sdwp
2
I
spi2_cs3
3
IO
dcan2_rx
4
IO
mdio_d
5
IO
6
IO
gpio7_13
14
IO
Driver off
15
I
spi1_d0
0
IO
gpio7_9
14
IO
Driver off
15
I
spi1_d1
0
IO
gpio7_8
14
IO
Driver off
15
I
spi1_sclk
0
IO
gpio7_7
14
IO
Driver off
15
I
spi2_cs0
0
IO
uart3_rtsn
1
O
uart5_txd
2
O
gpio7_17
14
IO
Driver off
15
I
spi2_d0
0
IO
uart3_ctsn
1
I
uart5_rxd
2
I
gpio7_16
14
IO
Driver off
15
I
spi2_d1
0
IO
uart3_txd
1
O
gpio7_15
14
IO
Driver off
15
I
spi2_sclk
0
IO
uart3_rxd
1
I
gpio7_14
14
IO
Driver off
15
I
tclk
0
I
hdmi1_cec
B25
PN [4]
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
0
1.8/3.3
vddshv3
Yes
IQ1833
Terminal Configuration and Functions
PU/PD
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
D23
F19
BALL NAME [2]
tdi
tdo
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
tdi
0
I
gpio8_27
14
I
tdo
0
O
gpio8_28
14
IO
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
0
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
0
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
0
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
F18
tms
tms
0
I
PU
PU
D20
trstn
trstn
0
I
PD
PD
E25
uart1_ctsn
uart1_ctsn
0
I
PU
PU
15
1.8/3.3
vddshv4
Yes
uart9_rxd
2
I
Dual Voltage PU/PD
LVCMOS
mmc4_clk
3
IO
gpio7_24
14
IO
Driver off
15
I
uart1_rtsn
0
O
PU
PU
15
1.8/3.3
vddshv4
Yes
uart9_txd
2
O
Dual Voltage PU/PD
LVCMOS
mmc4_cmd
3
IO
gpio7_25
14
IO
Driver off
15
I
uart1_rxd
0
I
PU
PU
15
1.8/3.3
vddshv4
Yes
mmc4_sdcd
3
I
Dual Voltage PU/PD
LVCMOS
gpio7_22
14
IO
Driver off
15
I
uart1_txd
0
O
PU
PU
15
1.8/3.3
vddshv4
Yes
mmc4_sdwp
3
I
Dual Voltage PU/PD
LVCMOS
gpio7_23
14
IO
Driver off
15
I
uart2_ctsn
0
I
PU
PU
15
1.8/3.3
vddshv4
Yes
uart3_rxd
2
I
Dual Voltage PU/PD
LVCMOS
mmc4_dat2
3
IO
uart10_rxd
4
I
uart1_dtrn
5
O
gpio1_16
14
IO
Driver off
15
I
C27
B27
C26
D27
uart1_rtsn
uart1_rxd
uart1_txd
uart2_ctsn
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
55
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C28
D28
D26
V2
56
BALL NAME [2]
uart2_rtsn
uart2_rxd
uart2_txd
uart3_rxd
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
uart2_rtsn
0
O
uart3_txd
1
O
uart3_irtx
2
O
mmc4_dat3
3
IO
uart10_txd
4
O
uart1_rin
5
I
gpio1_17
14
IO
Driver off
15
I
uart2_rxd
0
I
uart3_ctsn
1
I
uart3_rctx
2
O
mmc4_dat0
3
IO
uart2_rxd
4
I
uart1_dcdn
5
I
gpio7_26
14
IO
Driver off
15
I
uart2_txd
0
O
uart3_rtsn
1
O
uart3_sd
2
O
mmc4_dat1
3
IO
uart2_txd
4
O
uart1_dsrn
5
I
gpio7_27
14
IO
Driver off
15
I
uart3_rxd
0
I
rmii1_crs
2
I
mii0_rxdv
3
I
vin2a_d1
4
I
vin1b_d1
5
I
spi3_sclk
7
IO
pr1_mii0_rxdv
11
I
pr2_pru1_gpi3
12
I
pr2_pru1_gpo3
13
O
gpio5_18
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PU
PU
15
1.8/3.3
vddshv4
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv4
Yes
Dual Voltage PU/PD
LVCMOS
PU
PU
15
1.8/3.3
vddshv4
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv9
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
Y1
BALL NAME [2]
uart3_txd
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
uart3_txd
0
O
rmii1_rxer
2
I
mii0_rxclk
3
I
vin2a_d2
4
I
vin1b_d2
5
I
spi3_d1
7
IO
spi4_cs1
8
IO
pr1_mii_mr0_clk
11
I
pr2_pru1_gpi4
12
I
pr2_pru1_gpo4
13
O
gpio5_19
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
PD
PD
15
1.8/3.3
vddshv9
HYS [12]
Yes
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
Dual Voltage PU/PD
LVCMOS
AC12
usb1_dm
usb1_dm
0
IO
OFF
OFF
3.3
vdda33v_usb NA
1
USBPHY
NA
AD12
usb1_dp
usb1_dp
0
IO
OFF
OFF
3.3
vdda33v_usb NA
1
USBPHY
NA
AB10
usb1_drvvbus
usb1_drvvbus
0
O
PD
PD
1.8/3.3
vddshv6
timer16
7
IO
Dual Voltage PU/PD
LVCMOS
gpio6_12
14
IO
Driver off
15
I
15
Yes
AF11
usb2_dm
usb2_dm
0
IO
3.3
vdda33v_usb No
2
USBPHY
NA
AE11
usb2_dp
usb2_dp
0
IO
3.3
vdda33v_usb No
2
USBPHY
NA
AC10
usb2_drvvbus
usb2_drvvbus
0
O
1.8/3.3
vddshv6
Yes
timer15
7
IO
Dual Voltage PU/PD
LVCMOS
gpio6_13
14
IO
Driver off
15
I
usb_rxn0
0
I
pcie_rxn1
1
I
usb_rxp0
0
I
pcie_rxp1
1
I
usb_txn0
0
O
pcie_txn1
1
O
usb_txp0
0
O
pcie_txp1
1
O
AF12
AE12
AC11
AD11
usb_rxn0
usb_rxp0
usb_txn0
usb_txp0
PD
PD
15
OFF
OFF
1.8
vdda_usb1
NA
SERDES
NA
OFF
OFF
1.8
vdda_usb1
NA
SERDES
NA
1.8
vdda_usb1
NA
SERDES
NA
1.8
vdda_usb1
NA
SERDES
NA
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
57
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
H13, H14, J17,
J18, L7, L8, N10,
N13, P11, P12,
P13, R11, R16,
R19, T13, T16,
T19, U13, U16,
U8, U9, V16, V8
vdd
vdd
PWR
AA12
vdda33v_usb1
vdda33v_usb1
PWR
Y12
vdda33v_usb2
vdda33v_usb2
PWR
P14
vdda_core_gmac
vdda_core_gmac
PWR
W12
vdda_csi
vdda_csi
PWR
R17
vdda_ddr
vdda_ddr
PWR
N11
vdda_debug
vdda_debug
PWR
N12
vdda_dsp_iva
vdda_dsp_iva
PWR
R14
vdda_gpu
vdda_gpu
PWR
Y17
vdda_hdmi
vdda_hdmi
PWR
N16
vdda_mpu_abe
vdda_mpu_abe
PWR
AD16, AE16
vdda_osc
vdda_osc
PWR
AA17
vdda_pcie
vdda_pcie
PWR
AA16
vdda_pcie0
vdda_pcie0
PWR
M14
vdda_per
vdda_per
PWR
P15
vdda_pll_spare
vdda_pll_spare
PWR
AB13
vdda_rtc
vdda_rtc
PWR
V13
vdda_sata
vdda_sata
PWR
AA13
vdda_usb1
vdda_usb1
PWR
AB12
vdda_usb2
vdda_usb2
PWR
W14
vdda_usb3
vdda_usb3
PWR
P16
vdda_video
vdda_video
PWR
G18, H17, M8,
M9, N8, P8, R8,
T8, V21, V22,
W17, W18
vdds18v
vdds18v
PWR
AA18, AA19, N21, vdds18v_ddr1
P20, P21, W21,
Y21
vdds18v_ddr1
PWR
E3, E5, G4, G5,
H8, H9
vddshv1
vddshv1
PWR
B6, D10, E10,
H10, H11
vddshv2
vddshv2
PWR
B23, D16, D22,
E16, E22, G15,
H15, H16, H18,
H19
vddshv3
vddshv3
PWR
C24
vddshv4
vddshv4
PWR
58
BALL
RESET
STATE [7]
Terminal Configuration and Functions
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
V12
vddshv5
vddshv5
PWR
AD5, AD7, AE7,
AF5
vddshv6
vddshv6
PWR
AB6, AB7
vddshv7
vddshv7
PWR
W8, Y8
vddshv8
vddshv8
PWR
U10, W4, W5
vddshv9
vddshv9
PWR
N4, N5, P10, R10, vddshv10
R7, T4, T5
vddshv10
PWR
J8, K8
vddshv11
vddshv11
PWR
AA21, AA22,
AB21, AB22,
AB24, AB25,
AC22, AD26,
AG20, AG28,
AH27, T24, T25,
W16, W27
vdds_ddr1
vdds_ddr1
PWR
AA7, Y7
vdds_mlbp
vdds_mlbp
PWR
K10, K11, L10,
L11, M10, M11
vdd_dsp
vdd_dsp
PWR
U11, U12, V10,
V11, V14, W10,
W11, W13
vdd_gpu
vdd_gpu
PWR
J13, K12, K13,
L12, M12, M13
vdd_iva
vdd_iva
PWR
K17, K18, L15,
L16, L17, L18,
L19, M15, M16,
M17, M18, N17,
N18, P17, P18,
R18
vdd_mpu
vdd_mpu
PWR
AB15
vdd_rtc
vdd_rtc
E1
vin2a_clk0
vin2a_clk0
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PWR
0
I
4
O
emu5
5
O
kbd_row0
9
I
eQEP1A_in
10
I
pr1_edio_data_in0
12
I
pr1_edio_data_out0
13
O
gpio3_28
gpmc_a27
gpmc_a17
14
IO
Driver off
15
I
vout2_fld
BALL
RESET
STATE [7]
No
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
59
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
F2
BALL NAME [2]
vin2a_d0
SIGNAL NAME [3]
vin2a_d0
vin2a_d1
vin2a_d2
I
O
emu10
5
O
uart9_ctsn
7
I
spi4_d0
8
IO
kbd_row4
9
I
ehrpwm1B
10
O
pr1_uart0_rxd
11
I
pr1_edio_data_in5
12
I
pr1_edio_data_out5
13
O
gpio4_1
14
IO
Driver off
15
I
vin2a_d1
0
I
4
O
emu11
5
O
uart9_rtsn
7
O
spi4_cs0
8
IO
kbd_row5
9
I
ehrpwm1_tripzone_input
10
IO
pr1_uart0_txd
11
O
pr1_edio_data_in6
12
I
pr1_edio_data_out6
13
O
gpio4_2
14
IO
Driver off
15
I
vin2a_d2
0
I
4
O
emu12
5
O
uart10_rxd
8
I
kbd_row6
9
I
eCAP1_in_PWM1_out
10
IO
pr1_ecap0_ecap_capin_apwm_o
11
IO
pr1_edio_data_in7
12
I
pr1_edio_data_out7
13
O
gpio4_3
14
IO
Driver off
15
I
vout2_d21
60
TYPE [6]
4
vout2_d22
D1
MUXMODE
[5]
0
vout2_d23
F3
PN [4]
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E2
BALL NAME [2]
vin2a_d3
SIGNAL NAME [3]
vin2a_d3
vin2a_d4
vin2a_d5
TYPE [6]
I
4
O
emu13
5
O
uart10_txd
8
O
kbd_col0
9
O
ehrpwm1_synci
10
I
pr1_edc_latch0_in
11
I
pr1_pru1_gpi0
12
I
pr1_pru1_gpo0
13
O
gpio4_4
14
IO
Driver off
15
I
vin2a_d4
0
I
4
O
emu14
5
O
uart10_ctsn
8
I
kbd_col1
9
O
ehrpwm1_synco
10
O
pr1_edc_sync0_out
11
O
pr1_pru1_gpi1
12
I
pr1_pru1_gpo1
13
O
gpio4_5
14
IO
Driver off
15
I
vin2a_d5
0
I
4
O
emu15
5
O
uart10_rtsn
8
O
kbd_col2
9
O
eQEP2A_in
10
I
pr1_edio_sof
11
O
pr1_pru1_gpi2
12
I
pr1_pru1_gpo2
13
O
gpio4_6
14
IO
Driver off
15
I
vout2_d19
F4
MUXMODE
[5]
0
vout2_d20
D2
PN [4]
vout2_d18
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
61
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C1
BALL NAME [2]
vin2a_d6
SIGNAL NAME [3]
vin2a_d6
vin2a_d7
vin2a_d8
I
O
emu16
5
O
mii1_rxd1
8
I
kbd_col3
9
O
eQEP2B_in
10
I
pr1_mii_mt1_clk
11
I
pr1_pru1_gpi3
12
I
pr1_pru1_gpo3
13
O
gpio4_7
14
IO
Driver off
15
I
vin2a_d7
0
I
4
O
emu17
5
O
mii1_rxd2
8
I
kbd_col4
9
O
eQEP2_index
10
IO
pr1_mii1_txen
11
O
pr1_pru1_gpi4
12
I
pr1_pru1_gpo4
13
O
gpio4_8
14
IO
Driver off
15
I
vin2a_d8
0
I
4
O
emu18
5
O
mii1_rxd3
8
I
kbd_col5
9
O
eQEP2_strobe
10
IO
pr1_mii1_txd3
11
O
pr1_pru1_gpi5
12
I
pr1_pru1_gpo5
13
O
gpio4_9
gpmc_a26
14
IO
Driver off
15
I
vout2_d15
62
TYPE [6]
4
vout2_d16
F5
MUXMODE
[5]
0
vout2_d17
E4
PN [4]
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E6
BALL NAME [2]
vin2a_d9
SIGNAL NAME [3]
vin2a_d9
vin2a_d10
vin2a_d11
TYPE [6]
I
4
O
emu19
5
O
mii1_rxd0
8
I
kbd_col6
9
O
ehrpwm2A
10
O
pr1_mii1_txd2
11
O
pr1_pru1_gpi6
12
I
pr1_pru1_gpo6
13
O
gpio4_10
gpmc_a25
14
IO
Driver off
15
I
vin2a_d10
0
I
mdio_mclk
3
O
vout2_d13
F6
MUXMODE
[5]
0
vout2_d14
D3
PN [4]
No
4
O
kbd_col7
9
O
ehrpwm2B
10
O
pr1_mdio_mdclk
11
O
pr1_pru1_gpi7
12
I
pr1_pru1_gpo7
13
O
gpio4_11
gpmc_a24
14
IO
Driver off
15
I
vin2a_d11
0
I
mdio_d
3
IO
vout2_d12
No
4
O
kbd_row7
No
9
I
ehrpwm2_tripzone_input
10
IO
pr1_mdio_data
11
IO
pr1_pru1_gpi8
12
I
pr1_pru1_gpo8
13
O
gpio4_12
gpmc_a23
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
63
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
D5
BALL NAME [2]
vin2a_d12
SIGNAL NAME [3]
vin2a_d13
vin2a_d14
0
I
3
O
4
O
mii1_rxclk
8
I
kbd_col8
9
O
eCAP2_in_PWM2_out
10
IO
pr1_mii1_txd1
11
O
pr1_pru1_gpi9
12
I
pr1_pru1_gpo9
13
O
gpio4_13
14
IO
Driver off
15
I
vin2a_d13
0
I
rgmii1_txctl
3
O
No
4
O
mii1_rxdv
8
I
kbd_row8
9
I
eQEP3A_in
10
I
pr1_mii1_txd0
11
O
pr1_pru1_gpi10
12
I
pr1_pru1_gpo10
13
O
gpio4_14
14
IO
Driver off
15
I
vin2a_d14
0
I
rgmii1_txd3
3
O
vout2_d9
64
TYPE [6]
rgmii1_txc
vout2_d10
C3
MUXMODE
[5]
vin2a_d12
vout2_d11
C2
PN [4]
No
4
O
mii1_txclk
No
8
I
eQEP3B_in
10
I
pr1_mii_mr1_clk
11
I
pr1_pru1_gpi11
12
I
pr1_pru1_gpo11
13
O
gpio4_15
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C4
BALL NAME [2]
vin2a_d15
SIGNAL NAME [3]
vin2a_d16
0
I
3
O
4
O
mii1_txd0
8
O
eQEP3_index
10
IO
pr1_mii1_rxdv
11
I
pr1_pru1_gpi12
12
I
pr1_pru1_gpo12
13
O
gpio4_16
14
IO
Driver off
15
I
vin2a_d16
0
I
vin2b_d7
2
I
3
O
4
O
mii1_txd1
8
O
eQEP3_strobe
10
IO
pr1_mii1_rxd3
11
I
pr1_pru1_gpi13
12
I
pr1_pru1_gpo13
13
O
gpio4_24
14
IO
Driver off
15
I
vin2a_d17
0
I
vin2b_d6
2
I
3
O
4
O
mii1_txd2
8
O
ehrpwm3A
10
O
pr1_mii1_rxd2
11
I
pr1_pru1_gpi14
12
I
pr1_pru1_gpo14
13
O
gpio4_25
14
IO
Driver off
15
I
vout2_d7
vin2a_d17
TYPE [6]
rgmii1_txd2
No
rgmii1_txd1
D6
MUXMODE
[5]
vin2a_d15
vout2_d8
B2
PN [4]
No
rgmii1_txd0
vout2_d6
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
65
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C5
BALL NAME [2]
vin2a_d18
SIGNAL NAME [3]
PN [4]
0
I
vin2b_d5
2
I
3
I
4
O
mii1_txd3
8
O
ehrpwm3B
10
O
pr1_mii1_rxd1
11
I
pr1_pru1_gpi15
12
I
pr1_pru1_gpo15
13
O
gpio4_26
14
IO
Driver off
15
I
vin2a_d19
0
I
vin2b_d4
2
I
3
I
4
O
mii1_txer
8
O
ehrpwm3_tripzone_input
10
IO
pr1_mii1_rxd0
11
I
pr1_pru1_gpi16
12
I
pr1_pru1_gpo16
13
O
gpio4_27
14
IO
Driver off
15
I
vin2a_d20
0
I
vin2b_d3
2
I
3
I
4
O
mii1_rxer
8
I
eCAP3_in_PWM3_out
10
IO
pr1_mii1_rxer
11
I
pr1_pru1_gpi17
12
I
pr1_pru1_gpo17
13
O
gpio4_28
14
IO
Driver off
15
I
vout2_d5
vin2a_d19
No
rgmii1_rxctl
vout2_d4
B3
vin2a_d20
No
rgmii1_rxd3
vout2_d3
66
TYPE [6]
vin2a_d18
rgmii1_rxc
A3
MUXMODE
[5]
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
B4
BALL NAME [2]
vin2a_d21
SIGNAL NAME [3]
PN [4]
0
I
vin2b_d2
2
I
3
I
4
O
mii1_col
8
I
pr1_mii1_rxlink
11
I
pr1_pru1_gpi18
12
I
pr1_pru1_gpo18
13
O
gpio4_29
14
IO
Driver off
15
I
vin2a_d22
0
I
vin2b_d1
2
I
3
I
4
O
mii1_crs
8
I
pr1_mii1_col
11
I
pr1_pru1_gpi19
12
I
pr1_pru1_gpo19
13
O
gpio4_30
14
IO
Driver off
15
I
vin2a_d23
0
I
vin2b_d0
2
I
3
I
4
O
mii1_txen
8
O
pr1_mii1_crs
11
I
pr1_pru1_gpi20
12
I
pr1_pru1_gpo20
13
O
gpio4_31
14
IO
Driver off
15
I
vout2_d2
vin2a_d22
No
rgmii1_rxd1
vout2_d1
A4
vin2a_d23
TYPE [6]
vin2a_d21
rgmii1_rxd2
B5
MUXMODE
[5]
No
rgmii1_rxd0
vout2_d0
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
67
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
G2
BALL NAME [2]
vin2a_de0
SIGNAL NAME [3]
vin2a_fld0
vin2a_hsync0
0
I
1
I
vin2b_fld1
2
I
vin2b_de1
3
I
4
O
emu6
5
O
kbd_row1
9
I
eQEP1B_in
10
I
pr1_edio_data_in1
12
I
pr1_edio_data_out1
13
O
gpio3_29
14
IO
Driver off
15
I
vin2a_fld0
0
I
vin2b_clk1
2
I
No
4
O
emu7
5
O
eQEP1_index
10
IO
pr1_edio_data_in2
12
I
pr1_edio_data_out2
13
O
gpio3_30
gpmc_a27
gpmc_a18
14
IO
Driver off
15
I
vin2a_hsync0
0
I
vin2b_hsync1
3
I
vout2_hsync
68
TYPE [6]
vin2a_fld0
vout2_clk
G1
MUXMODE
[5]
vin2a_de0
vout2_de
H7
PN [4]
No
4
O
emu8
No
5
O
uart9_rxd
7
I
spi4_sclk
8
IO
kbd_row2
9
I
eQEP1_strobe
10
IO
pr1_uart0_cts_n
11
I
pr1_edio_data_in3
12
I
pr1_edio_data_out3
13
O
gpio3_31
gpmc_a27
14
IO
Driver off
15
I
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
G6
BALL NAME [2]
vin2a_vsync0
SIGNAL NAME [3]
F11
vout1_clk
vout1_d0
MUXMODE
[5]
TYPE [6]
vin2a_vsync0
0
I
vin2b_vsync1
3
I
vout2_vsync
D11
PN [4]
4
O
emu9
5
O
uart9_txd
7
O
spi4_d1
8
IO
kbd_row3
9
I
ehrpwm1A
10
O
pr1_uart0_rts_n
11
O
pr1_edio_data_in4
12
I
pr1_edio_data_out4
13
O
gpio4_0
14
IO
Driver off
15
I
0
O
vin2a_fld0
vin1a_fld0
3
I
vin1a_fld0
4
I
spi3_cs0
8
IO
gpio4_19
14
IO
Driver off
15
I
0
O
uart5_rxd
2
I
vin2a_d16
vin1a_d16
3
I
vin1a_d16
4
I
spi3_cs2
8
IO
pr1_uart0_cts_n
10
I
pr2_pru1_gpi18
12
I
pr2_pru1_gpo18
13
O
gpio8_0
14
IO
Driver off
15
I
vout1_clk
vout1_d0
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv1
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
69
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
G10
F10
G11
70
BALL NAME [2]
vout1_d1
vout1_d2
vout1_d3
SIGNAL NAME [3]
vout1_d1
PN [4]
TYPE [6]
0
O
uart5_txd
2
O
vin2a_d17
vin1a_d17
3
I
vin1a_d17
4
I
pr1_uart0_rts_n
10
O
pr2_pru1_gpi19
12
I
pr2_pru1_gpo19
13
O
gpio8_1
14
IO
Driver off
15
I
0
O
emu2
2
O
vin2a_d18
vin1a_d18
3
I
vin1a_d18
4
I
obs0
5
O
obs16
6
O
obs_irq1
7
O
pr1_uart0_rxd
10
I
pr2_pru1_gpi20
12
I
pr2_pru1_gpo20
13
O
gpio8_2
14
IO
Driver off
15
I
0
O
emu5
2
O
vin2a_d19
vin1a_d19
3
I
vin1a_d19
4
I
obs1
5
O
obs17
6
O
obs_dmarq1
7
O
pr1_uart0_txd
10
O
pr2_pru0_gpi0
12
I
pr2_pru0_gpo0
13
O
gpio8_3
14
IO
Driver off
15
I
vout1_d2
vout1_d3
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E9
F9
F8
BALL NAME [2]
vout1_d4
vout1_d5
vout1_d6
SIGNAL NAME [3]
vout1_d4
PN [4]
TYPE [6]
0
O
emu6
2
O
vin2a_d20
vin1a_d20
3
I
vin1a_d20
4
I
obs2
5
O
obs18
6
O
pr1_ecap0_ecap_capin_apwm_o
10
IO
pr2_pru0_gpi1
12
I
pr2_pru0_gpo1
13
O
gpio8_4
14
IO
Driver off
15
I
0
O
emu7
2
O
vin2a_d21
vin1a_d21
3
I
vin1a_d21
4
I
obs3
5
O
obs19
6
O
pr2_edc_latch0_in
10
I
pr2_pru0_gpi2
12
I
pr2_pru0_gpo2
13
O
gpio8_5
14
IO
Driver off
15
I
0
O
emu8
2
O
vin2a_d22
vin1a_d22
3
I
vin1a_d22
4
I
obs4
5
O
obs20
6
O
pr2_edc_latch1_in
10
I
pr2_pru0_gpi3
12
I
pr2_pru0_gpo3
13
O
gpio8_6
14
IO
Driver off
15
I
vout1_d5
vout1_d6
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
71
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E7
E8
D9
72
BALL NAME [2]
vout1_d7
vout1_d8
vout1_d9
SIGNAL NAME [3]
vout1_d7
PN [4]
TYPE [6]
0
O
emu9
2
O
vin2a_d23
vin1a_d23
3
I
vin1a_d23
4
I
pr2_edc_sync0_out
10
O
pr2_pru0_gpi4
12
I
pr2_pru0_gpo4
13
O
gpio8_7
14
IO
Driver off
15
I
0
O
uart6_rxd
2
I
vin2a_d8
vin1a_d8
3
I
vin1a_d8
4
I
pr2_edc_sync1_out
10
O
pr2_pru0_gpi5
12
I
pr2_pru0_gpo5
13
O
gpio8_8
14
IO
Driver off
15
I
0
O
uart6_txd
2
O
vin2a_d9
vin1a_d9
3
I
vin1a_d9
4
I
pr2_edio_latch_in
10
I
pr2_pru0_gpi6
12
I
pr2_pru0_gpo6
13
O
gpio8_9
14
IO
Driver off
15
I
vout1_d8
vout1_d9
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
D7
D8
A5
BALL NAME [2]
vout1_d10
vout1_d11
vout1_d12
SIGNAL NAME [3]
vout1_d10
PN [4]
TYPE [6]
0
O
emu3
2
O
vin2a_d10
vin1a_d10
3
I
vin1a_d10
4
I
obs5
5
O
obs21
6
O
obs_irq2
7
O
pr2_edio_sof
10
O
pr2_pru0_gpi7
12
I
pr2_pru0_gpo7
13
O
gpio8_10
14
IO
Driver off
15
I
0
O
emu10
2
O
vin2a_d11
vin1a_d11
3
I
vin1a_d11
4
I
obs6
5
O
obs22
6
O
obs_dmarq2
7
O
pr2_uart0_cts_n
10
I
pr2_pru0_gpi8
12
I
pr2_pru0_gpo8
13
O
gpio8_11
14
IO
Driver off
15
I
0
O
emu11
2
O
vin2a_d12
vin1a_d12
3
I
vin1a_d12
4
I
obs7
5
O
obs23
6
O
pr2_uart0_rts_n
10
O
pr2_pru0_gpi9
12
I
pr2_pru0_gpo9
13
O
gpio8_12
14
IO
Driver off
15
I
vout1_d11
vout1_d12
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
73
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C6
C8
C7
74
BALL NAME [2]
vout1_d13
vout1_d14
vout1_d15
SIGNAL NAME [3]
vout1_d13
PN [4]
TYPE [6]
0
O
emu12
2
O
vin2a_d13
vin1a_d13
3
I
vin1a_d13
4
I
obs8
5
O
obs24
6
O
pr2_uart0_rxd
10
I
pr2_pru0_gpi10
12
I
pr2_pru0_gpo10
13
O
gpio8_13
14
IO
Driver off
15
I
0
O
emu13
2
O
vin2a_d14
vin1a_d14
3
I
vin1a_d14
4
I
obs9
5
O
obs25
6
O
pr2_uart0_txd
10
O
pr2_pru0_gpi11
12
I
pr2_pru0_gpo11
13
O
gpio8_14
14
IO
Driver off
15
I
0
O
emu14
2
O
vin2a_d15
vin1a_d15
3
I
vin1a_d15
4
I
obs10
5
O
obs26
6
O
pr2_ecap0_ecap_capin_apwm_o
10
IO
pr2_pru0_gpi12
12
I
pr2_pru0_gpo12
13
O
gpio8_15
14
IO
Driver off
15
I
vout1_d14
vout1_d15
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
B7
B8
A7
BALL NAME [2]
vout1_d16
vout1_d17
vout1_d18
SIGNAL NAME [3]
vout1_d16
PN [4]
TYPE [6]
0
O
uart7_rxd
2
I
vin2a_d0
vin1a_d0
3
I
vin1a_d0
4
I
pr2_edio_data_in0
10
I
pr2_edio_data_out0
11
O
pr2_pru0_gpi13
12
I
pr2_pru0_gpo13
13
O
gpio8_16
14
IO
Driver off
15
I
0
O
uart7_txd
2
O
vin2a_d1
vin1a_d1
3
I
vin1a_d1
4
I
pr2_edio_data_in1
10
I
pr2_edio_data_out1
11
O
pr2_pru0_gpi14
12
I
pr2_pru0_gpo14
13
O
gpio8_17
14
IO
Driver off
15
I
0
O
emu4
2
O
vin2a_d2
vin1a_d2
3
I
vin1a_d2
4
I
obs11
5
O
obs27
6
O
pr2_edio_data_in2
10
I
pr2_edio_data_out2
11
O
pr2_pru0_gpi15
12
I
pr2_pru0_gpo15
13
O
gpio8_18
14
IO
Driver off
15
I
vout1_d17
vout1_d18
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
75
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
A8
C9
A9
76
BALL NAME [2]
vout1_d19
vout1_d20
vout1_d21
SIGNAL NAME [3]
vout1_d19
PN [4]
TYPE [6]
0
O
emu15
2
O
vin2a_d3
vin1a_d3
3
I
vin1a_d3
4
I
obs12
5
O
obs28
6
O
pr2_edio_data_in3
10
I
pr2_edio_data_out3
11
O
pr2_pru0_gpi16
12
I
pr2_pru0_gpo16
13
O
gpio8_19
14
IO
Driver off
15
I
0
O
emu16
2
O
vin2a_d4
vin1a_d4
3
I
vin1a_d4
4
I
obs13
5
O
obs29
6
O
pr2_edio_data_in4
10
I
pr2_edio_data_out4
11
O
pr2_pru0_gpi17
12
I
pr2_pru0_gpo17
13
O
gpio8_20
14
IO
Driver off
15
I
0
O
emu17
2
O
vin2a_d5
vin1a_d5
3
I
vin1a_d5
4
I
obs14
5
O
obs30
6
O
pr2_edio_data_in5
10
I
pr2_edio_data_out5
11
O
pr2_pru0_gpi18
12
I
pr2_pru0_gpo18
13
O
gpio8_21
14
IO
Driver off
15
I
vout1_d20
vout1_d21
No
MUXMODE
[5]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
B9
A10
B10
B11
BALL NAME [2]
vout1_d22
vout1_d23
vout1_de
vout1_fld
SIGNAL NAME [3]
vout1_d22
PN [4]
TYPE [6]
0
O
emu18
2
O
vin2a_d6
vin1a_d6
3
I
vin1a_d6
4
I
obs15
5
O
obs31
6
O
pr2_edio_data_in6
10
I
pr2_edio_data_out6
11
O
pr2_pru0_gpi19
12
I
pr2_pru0_gpo19
13
O
gpio8_22
14
IO
Driver off
15
I
0
O
emu19
2
O
vin2a_d7
vin1a_d7
3
I
vin1a_d7
4
I
spi3_cs3
8
IO
pr2_edio_data_in7
10
I
pr2_edio_data_out7
11
O
pr2_pru0_gpi20
12
I
pr2_pru0_gpo20
13
O
gpio8_23
14
IO
Driver off
15
I
0
O
vin2a_de0
vin1a_de0
3
I
vin1a_de0
4
I
spi3_d1
8
IO
gpio4_20
14
IO
Driver off
15
I
0
O
vin2a_clk0
vin1a_clk0
3
I
vin1a_clk0
4
I
spi3_cs1
8
IO
gpio4_21
14
IO
Driver off
15
I
vout1_d23
vout1_de
vout1_fld
No
MUXMODE
[5]
No
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
77
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
C11
BALL NAME [2]
vout1_hsync
E11
vout1_vsync
SIGNAL NAME [3]
vout1_hsync
PN [4]
TYPE [6]
0
O
vin2a_hsync0
vin1a_hsync0
3
I
vin1a_hsync0
4
I
spi3_d0
8
IO
gpio4_22
14
IO
Driver off
15
I
0
O
vin2a_vsync0
vin1a_vsync0
3
I
vin1a_vsync0
4
I
spi3_sclk
8
IO
pr2_pru1_gpi17
12
I
pr2_pru1_gpo17
13
O
gpio4_23
14
IO
Driver off
15
I
vout1_vsync
No
MUXMODE
[5]
No
A1, A14, A2, A23, vss
A28, A6, AA14,
AA15, AA20, AA8,
AA9, AB14, AB20,
AD1, AD24, AG1,
AH1, AH2, AH20,
AH28, B1, D13,
D19, E13, E19,
F1, F7, G7, G8,
G9, H12, J12,
J15, J28, K1, K15,
K24, K25, K4, K5,
L13, L14, M19,
N14, N15, N19,
N24, N25, P28,
R1, R12, R13,
R21, T10, T11,
T12, T14, T15,
T17, T18, T21,
U14, U15, U17,
U20, U21, V15,
V17, W1, W15,
W24, W25, W28
vss
GND
AA10, AH8
vssa_csi
vssa_csi
GND
AD19, AE19
vssa_hdmi
vssa_hdmi
GND
AF15
vssa_osc0
vssa_osc0
GND
AC14
vssa_osc1
vssa_osc1
GND
AD13, AE13
vssa_pcie
vssa_pcie
GND
AE10
vssa_sata
vssa_sata
GND
AA11, AB11
vssa_usb
vssa_usb
GND
AD10
vssa_usb3
vssa_usb3
GND
78
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv2
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
BALL NAME [2]
SIGNAL NAME [3]
PN [4]
MUXMODE
[5]
TYPE [6]
R15
vssa_video
vssa_video
AD17
Wakeup0
Wakeup0
0
I
dcan1_rx
1
I
gpio1_0
sys_nirq2
14
I
Driver off
15
I
Wakeup3
0
I
sys_nirq1
1
I
gpio1_3
dcan2_rx
14
I
AC16
Wakeup3
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
GND
15
1.8/3.3
vddshv5
Yes
IHHV1833
PU/PD
15
1.8/3.3
vddshv5
Yes
IHHV1833
PU/PD
Driver off
15
I
AE15
xi_osc0
xi_osc0
0
I
1.8
vdda_osc
No
LVCMOS
Analog
NA
AC15
xi_osc1
xi_osc1
0
I
1.8
vdda_osc
No
LVCMOS
Analog
NA
AD15
xo_osc0
xo_osc0
0
O
1.8
vdda_osc
No
LVCMOS
Analog
NA
AC13
xo_osc1
xo_osc1
0
A
1.8
vdda_osc
No
LVCMOS
Analog
NA
D18
xref_clk0
xref_clk0
0
I
1.8/3.3
vddshv3
Yes
mcasp2_axr8
1
IO
Dual Voltage PU/PD
LVCMOS
mcasp1_axr4
2
IO
mcasp1_ahclkx
3
O
mcasp5_ahclkx
4
O
vin1a_d0
7
I
hdq0
8
IO
clkout2
9
O
timer13
10
IO
pr2_mii1_col
11
I
pr2_pru1_gpi5
12
I
pr2_pru1_gpo5
13
O
gpio6_17
14
IO
Driver off
15
I
PD
PD
15
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
79
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-2. Ball Characteristics(1) (continued)
BALL NUMBER
[1]
E17
B26
BALL NAME [2]
xref_clk1
xref_clk2
SIGNAL NAME [3]
xref_clk3
TYPE [6]
0
I
mcasp2_axr9
1
IO
mcasp1_axr5
2
IO
mcasp2_ahclkx
3
O
mcasp6_ahclkx
4
O
vin1a_clk0
7
I
timer14
10
IO
pr2_mii1_crs
11
I
pr2_pru1_gpi6
12
I
pr2_pru1_gpo6
13
O
gpio6_18
14
IO
Driver off
15
I
xref_clk2
0
I
mcasp2_axr10
1
IO
mcasp1_axr6
2
IO
mcasp3_ahclkx
3
O
mcasp7_ahclkx
4
O
6
O
vin2a_clk0
vin1a_clk0
8
I
timer15
10
IO
gpio6_19
14
IO
Driver off
15
I
xref_clk3
0
I
mcasp2_axr11
1
IO
mcasp1_axr7
2
IO
mcasp4_ahclkx
3
O
mcasp8_ahclkx
4
O
6
O
hdq0
7
IO
vin2a_de0
vin1a_de0
8
I
clkout3
9
O
timer16
10
IO
gpio6_20
14
IO
Driver off
15
I
vout2_de
80
MUXMODE
[5]
xref_clk1
vout2_clk
C23
PN [4]
No
No
BALL
RESET
STATE [7]
BALL
BALL
I/O
RESET REL.
RESET REL.
VOLTAGE POWER [11]
MUXMODE
STATE [8]
VALUE [10]
[9]
HYS [12]
BUFFER
TYPE [13]
PULL
UP/DOWN
TYPE [14]
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
PD
PD
15
1.8/3.3
vddshv3
Yes
Dual Voltage PU/PD
LVCMOS
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) NA in this table stands for Not Applicable.
(2) For more information on recommended operating conditions, see Table 5-4, Recommended Operating Conditions.
(3) The pullup or pulldown block strength is equal to: minimum = 50 μA, typical = 100 μA, maximum = 250 μA.
(4) The output impedance settings of this IO cell are programmable; by default, the value is DS[1:0] = 10, this means 40 Ω. For more information on DS[1:0] register configuration, see the
Device TRM.
(5) IO drive strength for usb1_dp, usb1_dm, usb2_dp and usb2_dm: minimum 18.3 mA, maximum 89 mA (for a power supply vdda33v_usb1 and vdda33v_usb2 = 3.46 V).
(6) Minimum PU = 900 Ω, maximum PU = 3.090 kΩ and minimum PD = 14.25 kΩ, maximum PD = 24.8 kΩ.
For more information, see chapter 7 of the USB2.0 specification, in particular section Signaling / Device Speed Identification.
(7) This function will not be supported on some pin-compatible roadmap devices. Pin compatibility can be maintained in the future by not using these GPIO signals.
(8) In PUx / PDy, x and y = 60 to 200 μA.
The output impedance settings (or drive strengths) of this IO are programmable (34 Ω, 40 Ω, 48 Ω, 60 Ω, 80 Ω) depending on the values of the I[2:0] registers.
4.3
Multiplexing Characteristics
Table 4-3 describes the device multiplexing (no characteristics are available in this table).
NOTE
This table doesn't take into account subsystem multiplexing signals. Subsystem multiplexing signals are described in Section 4.4, Signal
Descriptions.
NOTE
For more information, see the Control Module / Control Module Functional Description / PAD Functional Multiplexing and Configuration
section of the Device TRM.
NOTE
Configuring two pins to the same input signal is not supported as it can yield unexpected results. This can be easily prevented with the
proper software configuration (Hi-Z mode is not an input signal).
NOTE
When a pad is set into a multiplexing mode which is not defined by pin multiplexing, that pad’s behavior is undefined. This should be
avoided.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
81
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
In some cases Table 4-3 may present more than one signal per muxmode for the same ball. First signal in the list is the dominant function
as selected via CTRL_CORE_PAD_* register.
All other signals are virtual functions that present alternate multiplexing options. This virtual functions are controlled via
CTRL_CORE_ALT_SELECT_MUX or CTRL_CORE_VIP_MUX_SELECT register. For more information on how to use this options,
please refer to Device TRM, Chapter Control Module, Section Pad Configuration Registers.
NOTE
Dual rank support is not available on this device, but signal names are retained for consistency with the AM57xx family of devices.
CAUTION
The I/O timings provided in Section 7, Timing Requirements and Switching Characteristics are valid only if signals within
a single IOSET are used. The IOSETs are defined in the corresponding tables.
Table 4-3. Multiplexing Characteristics
ADDRESS REGISTER NAME
82
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
Y23
ddr1_d26
Y19
ddr1_d21
AE15
xi_osc0
AH24
ddr1_nck
AG15
ljcb_clkp
AF24
ddr1_d4
V25
ddr1_ecc_d
6
AB16
ddr1_csn1
AG19
hdmi1_data
2x
AF21
ddr1_a4
AG5
csi2_1_dx0
W23
ddr1_ecc_d
3
Y27
ddr1_dqsn3
AC24
ddr1_d14
AF28
ddr1_d11
1
2
3*
4*
5*
6*
7
Terminal Configuration and Functions
8*
9
10
11
12
13
14*
15
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
AA23
ddr1_d24
AD18
ddr1_a15
AH16
hdmi1_cloc
ky
AH5
csi2_1_dy0
AC20
ddr1_a2
AA24
ddr1_d27
W19
ddr1_ecc_d
2
AG21
ddr1_rst
AE28
ddr1_dqsn1
AC11
usb_txn0
AG25
ddr1_dqsn0
AC17
ddr1_odt1
AG4
csi2_0_dy3
W20
ddr1_d17
AF14
rtc_iso
AA27
ddr1_dqm3
AF25
ddr1_d0
AF2
csi2_0_dx2
AF23
ddr1_d6
AG18
hdmi1_data
1x
AH6
csi2_1_dy1
AG10
sata1_txn0
AF20
ddr1_rasn
V26
ddr1_dqm_
ecc
V20
ddr1_d16
AH13
pcie_rxp0
AC18
ddr1_casn
AG9
sata1_rxp0
AH23
ddr1_csn0
AE11
usb2_dp
Y24
ddr1_d28
AH15
ljcb_clkn
AD20
ddr1_a0
AA25
ddr1_d30
AA1
mlbp_dat_p
AD14
rtc_osc_xo
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
pcie_txn1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
83
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
84
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
AC25
ddr1_d13
AB23
ddr1_dqm1
AE1
csi2_0_dx0
AH19
hdmi1_data
2y
AB27
ddr1_d22
AG14
pcie_txn0
Y28
ddr1_dqs3
AB19
ddr1_a3
AH10
sata1_txp0
AG24
ddr1_ck
AE24
ddr1_d5
AC15
xi_osc1
AC21
ddr1_a12
AB1
mlbp_clk_p
AF12
usb_rxn0
AH9
sata1_rxn0
AC26
ddr1_dqm2
AA28
ddr1_d31
AD23
ddr1_dqm0
AE27
ddr1_dqs1
AF27
ddr1_d9
V24
ddr1_ecc_d
5
AG27
ddr1_d10
AF22
ddr1_a8
AA2
mlbp_dat_n
AH21
ddr1_wen
AE21
ddr1_a7
AC12
usb1_dm
Y20
ddr1_d23
AC27
ddr1_d20
AE23
ddr1_d7
AG22
ddr1_cke
AD27
ddr1_dqs2
AH14
pcie_txp0
AH26
ddr1_d3
AD21
ddr1_a10
Y25
ddr1_ecc_d
4
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
pcie_rxn1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
AE17
ddr1_a14
AG7
csi2_1_dy2
AH18
hdmi1_data
1y
AH22
ddr1_a5
W22
ddr1_ecc_d
0
V23
ddr1_ecc_d
1
AE12
usb_rxp0
AE14
rtc_osc_xi_
clkin32
AF3
csi2_0_dy2
AB2
mlbp_clk_n
AG23
ddr1_a6
AG6
csi2_1_dx1
AB18
ddr1_ba2
AG17
hdmi1_data
0x
AF26
ddr1_d1
AD11
usb_txp0
AC1
mlbp_sig_p
V27
ddr1_dqs_e
cc
AF17
ddr1_ba0
AE26
ddr1_d12
AC19
ddr1_a1
AG13
pcie_rxn0
AB28
ddr1_d18
Y26
ddr1_ecc_d
7
AH3
csi2_0_dx4
AD22
ddr1_a11
AD28
ddr1_dqsn2
AD2
csi2_0_dy0
AE18
ddr1_ba1
AE20
ddr1_odt0
AF11
usb2_dm
AD15
xo_osc0
AH7
csi2_1_dx2
AE22
ddr1_a9
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
pcie_rxp1
pcie_txp1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
85
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
BALL
NUMBER
0
Y18
ddr1_vref0
AC13
xo_osc1
AC2
mlbp_sig_n
AD12
usb1_dp
Y22
ddr1_d25
AH17
hdmi1_data
0y
AH4
csi2_0_dx3
AE2
csi2_0_dy1
AG26
ddr1_d2
AH25
ddr1_dqs0
AF18
ddr1_a13
AC28
ddr1_d19
AG3
csi2_0_dy4
V28
ddr1_dqsn_
ecc
AC23
ddr1_d8
F22
porz
AG16
hdmi1_cloc
kx
AF1
csi2_0_dx1
AA26
ddr1_d29
AD25
ddr1_d15
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x1400
CTRL_CORE_PAD M6
_GPMC_AD0
gpmc_ad0
vin1a_d0
vout3_d0
gpio1_6
sysboot0
0x1404
CTRL_CORE_PAD M2
_GPMC_AD1
gpmc_ad1
vin1a_d1
vout3_d1
gpio1_7
sysboot1
0x1408
CTRL_CORE_PAD L5
_GPMC_AD2
gpmc_ad2
vin1a_d2
vout3_d2
gpio1_8
sysboot2
0x140C
CTRL_CORE_PAD M1
_GPMC_AD3
gpmc_ad3
vin1a_d3
vout3_d3
gpio1_9
sysboot3
0x1410
CTRL_CORE_PAD L6
_GPMC_AD4
gpmc_ad4
vin1a_d4
vout3_d4
gpio1_10
sysboot4
0x1414
CTRL_CORE_PAD L4
_GPMC_AD5
gpmc_ad5
vin1a_d5
vout3_d5
gpio1_11
sysboot5
0x1418
CTRL_CORE_PAD L3
_GPMC_AD6
gpmc_ad6
vin1a_d6
vout3_d6
gpio1_12
sysboot6
0x141C
CTRL_CORE_PAD L2
_GPMC_AD7
gpmc_ad7
vin1a_d7
vout3_d7
gpio1_13
sysboot7
0x1420
CTRL_CORE_PAD L1
_GPMC_AD8
gpmc_ad8
vin1a_d8
vout3_d8
gpio7_18
sysboot8
0x1424
CTRL_CORE_PAD K2
_GPMC_AD9
gpmc_ad9
vin1a_d9
vout3_d9
gpio7_19
sysboot9
86
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x1428
CTRL_CORE_PAD J1
_GPMC_AD10
gpmc_ad10
vin1a_d10
vout3_d10
gpio7_28
sysboot10
0x142C
CTRL_CORE_PAD J2
_GPMC_AD11
gpmc_ad11
vin1a_d11
vout3_d11
gpio7_29
sysboot11
0x1430
CTRL_CORE_PAD H1
_GPMC_AD12
gpmc_ad12
vin1a_d12
vout3_d12
gpio1_18
sysboot12
0x1434
CTRL_CORE_PAD J3
_GPMC_AD13
gpmc_ad13
vin1a_d13
vout3_d13
gpio1_19
sysboot13
0x1438
CTRL_CORE_PAD H2
_GPMC_AD14
gpmc_ad14
vin1a_d14
vout3_d14
gpio1_20
sysboot14
0x143C
CTRL_CORE_PAD H3
_GPMC_AD15
gpmc_ad15
vin1a_d15
vout3_d15
gpio1_21
sysboot15
0x1440
CTRL_CORE_PAD R6
_GPMC_A0
gpmc_a0
vin1a_d16
vout3_d16
vin2a_d0
vin1a_d0
vin1b_d0
i2c4_scl
uart5_rxd
gpio7_3
gpmc_a26
gpmc_a16
Driver off
0x1444
CTRL_CORE_PAD T9
_GPMC_A1
gpmc_a1
vin1a_d17
vout3_d17
vin2a_d1
vin1a_d1
vin1b_d1
i2c4_sda
uart5_txd
gpio7_4
Driver off
0x1448
CTRL_CORE_PAD T6
_GPMC_A2
gpmc_a2
vin1a_d18
vout3_d18
vin2a_d2
vin1a_d2
vin1b_d2
uart7_rxd
uart5_ctsn
gpio7_5
Driver off
0x144C
CTRL_CORE_PAD T7
_GPMC_A3
gpmc_a3
qspi1_cs2
vin1a_d19
vout3_d19
vin2a_d3
vin1a_d3
vin1b_d3
uart7_txd
uart5_rtsn
gpio7_6
Driver off
0x1450
CTRL_CORE_PAD P6
_GPMC_A4
gpmc_a4
qspi1_cs3
vin1a_d20
vout3_d20
vin2a_d4
vin1a_d4
vin1b_d4
i2c5_scl
uart6_rxd
gpio1_26
Driver off
0x1454
CTRL_CORE_PAD R9
_GPMC_A5
gpmc_a5
vin1a_d21
vout3_d21
vin2a_d5
vin1a_d5
vin1b_d5
i2c5_sda
uart6_txd
gpio1_27
Driver off
0x1458
CTRL_CORE_PAD R5
_GPMC_A6
gpmc_a6
vin1a_d22
vout3_d22
vin2a_d6
vin1a_d6
vin1b_d6
uart8_rxd
uart6_ctsn
gpio1_28
Driver off
0x145C
CTRL_CORE_PAD P5
_GPMC_A7
gpmc_a7
vin1a_d23
vout3_d23
vin2a_d7
vin1a_d7
vin1b_d7
uart8_txd
uart6_rtsn
gpio1_29
Driver off
0x1460
CTRL_CORE_PAD N7
_GPMC_A8
gpmc_a8
vin1a_hsyn vout3_hsyn
c0
c
vin1b_hsyn timer12
c1
spi4_sclk
gpio1_30
Driver off
0x1464
CTRL_CORE_PAD R4
_GPMC_A9
gpmc_a9
vin1a_vsyn vout3_vsyn
c0
c
vin1b_vsyn timer11
c1
spi4_d1
gpio1_31
Driver off
0x1468
CTRL_CORE_PAD N9
_GPMC_A10
gpmc_a10
vin1a_de0
vout3_de
vin1b_clk1
timer10
spi4_d0
gpio2_0
Driver off
0x146C
CTRL_CORE_PAD P9
_GPMC_A11
gpmc_a11
vin1a_fld0
vout3_fld
vin1b_de1
timer9
spi4_cs0
gpio2_1
Driver off
0x1470
CTRL_CORE_PAD P4
_GPMC_A12
gpmc_a12
vin1b_fld1
timer8
spi4_cs1
dma_evt1
gpio2_2
Driver off
0x1474
CTRL_CORE_PAD R3
_GPMC_A13
gpmc_a13
qspi1_rtclk
vin2a_hsyn
c0
vin1a_hsyn
c0
timer7
spi4_cs2
dma_evt2
gpio2_3
Driver off
0x1478
CTRL_CORE_PAD T2
_GPMC_A14
gpmc_a14
qspi1_d3
vin2a_vsyn
c0
vin1a_vsyn
c0
timer6
spi4_cs3
gpio2_4
Driver off
0x147C
CTRL_CORE_PAD U2
_GPMC_A15
gpmc_a15
qspi1_d2
vin2a_d8
vin1a_d8
timer5
gpio2_5
Driver off
vin2a_fld0
vin1a_fld0
vin2a_clk0
vin1a_clk0
gpmc_a0
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
87
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x1480
CTRL_CORE_PAD U1
_GPMC_A16
gpmc_a16
qspi1_d0
vin2a_d9
vin1a_d9
gpio2_6
Driver off
0x1484
CTRL_CORE_PAD P3
_GPMC_A17
gpmc_a17
qspi1_d1
vin2a_d10
vin1a_d10
gpio2_7
Driver off
0x1488
CTRL_CORE_PAD R2
_GPMC_A18
gpmc_a18
qspi1_sclk
vin2a_d11
vin1a_d11
gpio2_8
Driver off
0x148C
CTRL_CORE_PAD K7
_GPMC_A19
gpmc_a19
mmc2_dat4 gpmc_a13
vin2a_d12
vin1a_d12
vin2b_d0
vin1b_d0
gpio2_9
Driver off
0x1490
CTRL_CORE_PAD M7
_GPMC_A20
gpmc_a20
mmc2_dat5 gpmc_a14
vin2a_d13
vin1a_d13
vin2b_d1
vin1b_d1
gpio2_10
Driver off
0x1494
CTRL_CORE_PAD J5
_GPMC_A21
gpmc_a21
mmc2_dat6 gpmc_a15
vin2a_d14
vin1a_d14
vin2b_d2
vin1b_d2
gpio2_11
Driver off
0x1498
CTRL_CORE_PAD K6
_GPMC_A22
gpmc_a22
mmc2_dat7 gpmc_a16
vin2a_d15
vin1a_d15
vin2b_d3
vin1b_d3
gpio2_12
Driver off
0x149C
CTRL_CORE_PAD J7
_GPMC_A23
gpmc_a23
mmc2_clk
vin2a_fld0
vin1a_fld0
vin2b_d4
vin1b_d4
gpio2_13
Driver off
0x14A0
CTRL_CORE_PAD J4
_GPMC_A24
gpmc_a24
mmc2_dat0 gpmc_a18
vin1a_d8
vin2b_d5
vin1b_d5
gpio2_14
Driver off
0x14A4
CTRL_CORE_PAD J6
_GPMC_A25
gpmc_a25
mmc2_dat1 gpmc_a19
vin1a_d9
vin2b_d6
vin1b_d6
gpio2_15
Driver off
0x14A8
CTRL_CORE_PAD H4
_GPMC_A26
gpmc_a26
mmc2_dat2 gpmc_a20
vin1a_d10
vin2b_d7
vin1b_d7
gpio2_16
Driver off
0x14AC
CTRL_CORE_PAD H5
_GPMC_A27
gpmc_a27
mmc2_dat3 gpmc_a21
vin1a_d11
vin2b_hsyn
c1
vin1b_hsyn
c1
gpio2_17
Driver off
0x14B0
CTRL_CORE_PAD H6
_GPMC_CS1
gpmc_cs1
mmc2_cmd gpmc_a22
vin2a_de0
vin1a_de0
vin2b_vsyn
c1
vin1b_vsyn
c1
gpio2_18
Driver off
0x14B4
CTRL_CORE_PAD T1
_GPMC_CS0
gpmc_cs0
gpio2_19
Driver off
0x14B8
CTRL_CORE_PAD P2
_GPMC_CS2
gpmc_cs2
qspi1_cs0
gpio2_20
gpmc_a23
gpmc_a13
Driver off
0x14BC
CTRL_CORE_PAD P1
_GPMC_CS3
gpmc_cs3
qspi1_cs1
vin1a_clk0
vout3_clk
gpio2_21
gpmc_a24
gpmc_a14
Driver off
0x14C0
CTRL_CORE_PAD P7
_GPMC_CLK
gpmc_clk
gpmc_cs7
clkout1
gpmc_wait1 vin2a_hsyn vin2a_de0
c0
vin1a_de0
vin1a_hsyn
c0
vin2b_clk1
vin1b_clk1
timer4
i2c3_scl
dma_evt1
gpio2_22
gpmc_a20
Driver off
0x14C4
CTRL_CORE_PAD N1
_GPMC_ADVN_AL
E
gpmc_advn gpmc_cs6
_ale
clkout2
gpmc_wait1 vin2a_vsyn gpmc_a2
c0
vin1a_vsyn
c0
gpmc_a23
timer3
i2c3_sda
dma_evt2
gpio2_23
gpmc_a19
Driver off
0x14C8
CTRL_CORE_PAD M5
_GPMC_OEN_RE
N
gpmc_oen_
ren
gpio2_24
Driver off
88
gpmc_a17
gpmc_a1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
0x14CC
CTRL_CORE_PAD M3
_GPMC_WEN
gpmc_wen
0x14D0
CTRL_CORE_PAD N6
_GPMC_BEN0
gpmc_ben0 gpmc_cs4
0x14D4
CTRL_CORE_PAD M4
_GPMC_BEN1
gpmc_ben1 gpmc_cs5
0x14D8
CTRL_CORE_PAD N2
_GPMC_WAIT0
gpmc_wait0
0x1554
CTRL_CORE_PAD E1
_VIN2A_CLK0
vin2a_clk0
0x1558
CTRL_CORE_PAD G2
_VIN2A_DE0
vin2a_de0
0x155C
CTRL_CORE_PAD H7
_VIN2A_FLD0
vin2a_fld0
0x1560
CTRL_CORE_PAD G1
_VIN2A_HSYNC0
vin2a_hsyn
c0
vin2b_hsyn vout2_hsyn emu8
c1
c
uart9_rxd
spi4_sclk
0x1564
CTRL_CORE_PAD G6
_VIN2A_VSYNC0
vin2a_vsyn
c0
vin2b_vsyn vout2_vsyn emu9
c1
c
uart9_txd
0x1568
CTRL_CORE_PAD F2
_VIN2A_D0
vin2a_d0
vout2_d23
emu10
0x156C
CTRL_CORE_PAD F3
_VIN2A_D1
vin2a_d1
vout2_d22
emu11
0x1570
CTRL_CORE_PAD D1
_VIN2A_D2
vin2a_d2
vout2_d21
0x1574
CTRL_CORE_PAD E2
_VIN2A_D3
vin2a_d3
0x1578
CTRL_CORE_PAD D2
_VIN2A_D4
0x157C
vin2b_clk1
vin1b_clk1
gpmc_a3
9
10
11
12
13
14*
15
gpio2_25
Driver off
vin2b_de1
vin1b_de1
timer2
dma_evt3
gpio2_26
gpmc_a21
Driver off
vin2b_fld1
vin1b_fld1
timer1
dma_evt4
gpio2_27
gpmc_a22
Driver off
gpio2_28
gpmc_a25
gpmc_a15
Driver off
vout2_fld
emu5
kbd_row0
eQEP1A_in
pr1_edio_d pr1_edio_d gpio3_28
ata_in0
ata_out0
gpmc_a27
gpmc_a17
Driver off
vout2_de
emu6
kbd_row1
eQEP1B_in
pr1_edio_d pr1_edio_d gpio3_29
ata_in1
ata_out1
Driver off
vout2_clk
emu7
eQEP1_ind
ex
pr1_edio_d pr1_edio_d gpio3_30
ata_in2
ata_out2
gpmc_a27
gpmc_a18
Driver off
kbd_row2
eQEP1_str pr1_uart0_c pr1_edio_d pr1_edio_d gpio3_31
obe
ts_n
ata_in3
ata_out3
gpmc_a27
Driver off
spi4_d1
kbd_row3
ehrpwm1A
pr1_uart0_r pr1_edio_d pr1_edio_d gpio4_0
ts_n
ata_in4
ata_out4
Driver off
uart9_ctsn
spi4_d0
kbd_row4
ehrpwm1B
pr1_uart0_r pr1_edio_d pr1_edio_d gpio4_1
xd
ata_in5
ata_out5
Driver off
uart9_rtsn
spi4_cs0
kbd_row5
ehrpwm1_tr pr1_uart0_t pr1_edio_d pr1_edio_d gpio4_2
ipzone_inpu xd
ata_in6
ata_out6
t
Driver off
emu12
uart10_rxd
kbd_row6
eCAP1_in_ pr1_ecap0_ pr1_edio_d pr1_edio_d gpio4_3
PWM1_out ecap_capin ata_in7
ata_out7
_apwm_o
Driver off
vout2_d20
emu13
uart10_txd
kbd_col0
ehrpwm1_s pr1_edc_lat pr1_pru1_g pr1_pru1_g gpio4_4
ynci
ch0_in
pi0
po0
Driver off
vin2a_d4
vout2_d19
emu14
uart10_ctsn kbd_col1
ehrpwm1_s pr1_edc_sy pr1_pru1_g pr1_pru1_g gpio4_5
ynco
nc0_out
pi1
po1
Driver off
CTRL_CORE_PAD F4
_VIN2A_D5
vin2a_d5
vout2_d18
emu15
uart10_rtsn kbd_col2
eQEP2A_in pr1_edio_s pr1_pru1_g pr1_pru1_g gpio4_6
of
pi2
po2
Driver off
0x1580
CTRL_CORE_PAD C1
_VIN2A_D6
vin2a_d6
vout2_d17
emu16
mii1_rxd1
kbd_col3
eQEP2B_in pr1_mii_mt pr1_pru1_g pr1_pru1_g gpio4_7
1_clk
pi3
po3
Driver off
0x1584
CTRL_CORE_PAD E4
_VIN2A_D7
vin2a_d7
vout2_d16
emu17
mii1_rxd2
kbd_col4
eQEP2_ind pr1_mii1_tx pr1_pru1_g pr1_pru1_g gpio4_8
ex
en
pi4
po4
Driver off
0x1588
CTRL_CORE_PAD F5
_VIN2A_D8
vin2a_d8
vout2_d15
emu18
mii1_rxd3
kbd_col5
eQEP2_str pr1_mii1_tx pr1_pru1_g pr1_pru1_g gpio4_9
obe
d3
pi5
po5
gpmc_a26
Driver off
0x158C
CTRL_CORE_PAD E6
_VIN2A_D9
vin2a_d9
vout2_d14
emu19
mii1_rxd0
kbd_col6
ehrpwm2A
pr1_mii1_tx pr1_pru1_g pr1_pru1_g gpio4_10
d2
pi6
po6
gpmc_a25
Driver off
0x1590
CTRL_CORE_PAD D3
_VIN2A_D10
vin2a_d10
mdio_mclk
vout2_d13
kbd_col7
ehrpwm2B
pr1_mdio_
mdclk
pr1_pru1_g pr1_pru1_g gpio4_11
pi7
po7
gpmc_a24
Driver off
0x1594
CTRL_CORE_PAD F6
_VIN2A_D11
vin2a_d11
mdio_d
vout2_d12
kbd_row7
ehrpwm2_tr pr1_mdio_d pr1_pru1_g pr1_pru1_g gpio4_12
ipzone_inpu ata
pi8
po8
gpmc_a23
t
Driver off
vin2a_fld0
vin2b_fld1
vin2b_de1
vin2b_clk1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
89
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x1598
CTRL_CORE_PAD D5
_VIN2A_D12
vin2a_d12
rgmii1_txc
vout2_d11
mii1_rxclk
kbd_col8
eCAP2_in_ pr1_mii1_tx pr1_pru1_g pr1_pru1_g gpio4_13
PWM2_out d1
pi9
po9
Driver off
0x159C
CTRL_CORE_PAD C2
_VIN2A_D13
vin2a_d13
rgmii1_txctl vout2_d10
mii1_rxdv
kbd_row8
eQEP3A_in pr1_mii1_tx pr1_pru1_g pr1_pru1_g gpio4_14
d0
pi10
po10
Driver off
0x15A0
CTRL_CORE_PAD C3
_VIN2A_D14
vin2a_d14
rgmii1_txd3 vout2_d9
mii1_txclk
eQEP3B_in pr1_mii_mr pr1_pru1_g pr1_pru1_g gpio4_15
1_clk
pi11
po11
Driver off
0x15A4
CTRL_CORE_PAD C4
_VIN2A_D15
vin2a_d15
rgmii1_txd2 vout2_d8
mii1_txd0
eQEP3_ind pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_16
ex
dv
pi12
po12
Driver off
0x15A8
CTRL_CORE_PAD B2
_VIN2A_D16
vin2a_d16
vin2b_d7
rgmii1_txd1 vout2_d7
mii1_txd1
eQEP3_str pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_24
obe
d3
pi13
po13
Driver off
0x15AC
CTRL_CORE_PAD D6
_VIN2A_D17
vin2a_d17
vin2b_d6
rgmii1_txd0 vout2_d6
mii1_txd2
ehrpwm3A
pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_25
d2
pi14
po14
Driver off
0x15B0
CTRL_CORE_PAD C5
_VIN2A_D18
vin2a_d18
vin2b_d5
rgmii1_rxc
vout2_d5
mii1_txd3
ehrpwm3B
pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_26
d1
pi15
po15
Driver off
0x15B4
CTRL_CORE_PAD A3
_VIN2A_D19
vin2a_d19
vin2b_d4
rgmii1_rxctl vout2_d4
mii1_txer
ehrpwm3_tr pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_27
ipzone_inpu d0
pi16
po16
t
Driver off
0x15B8
CTRL_CORE_PAD B3
_VIN2A_D20
vin2a_d20
vin2b_d3
rgmii1_rxd3 vout2_d3
mii1_rxer
eCAP3_in_ pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_28
PWM3_out er
pi17
po17
Driver off
0x15BC
CTRL_CORE_PAD B4
_VIN2A_D21
vin2a_d21
vin2b_d2
rgmii1_rxd2 vout2_d2
mii1_col
pr1_mii1_rx pr1_pru1_g pr1_pru1_g gpio4_29
link
pi18
po18
Driver off
0x15C0
CTRL_CORE_PAD B5
_VIN2A_D22
vin2a_d22
vin2b_d1
rgmii1_rxd1 vout2_d1
mii1_crs
pr1_mii1_c pr1_pru1_g pr1_pru1_g gpio4_30
ol
pi19
po19
Driver off
0x15C4
CTRL_CORE_PAD A4
_VIN2A_D23
vin2a_d23
vin2b_d0
rgmii1_rxd0 vout2_d0
mii1_txen
pr1_mii1_cr pr1_pru1_g pr1_pru1_g gpio4_31
s
pi20
po20
Driver off
0x15C8
CTRL_CORE_PAD D11
_VOUT1_CLK
vout1_clk
vin2a_fld0
vin1a_fld0
vin1a_fld0
spi3_cs0
gpio4_19
Driver off
0x15CC
CTRL_CORE_PAD B10
_VOUT1_DE
vout1_de
vin2a_de0
vin1a_de0
vin1a_de0
spi3_d1
gpio4_20
Driver off
0x15D0
CTRL_CORE_PAD B11
_VOUT1_FLD
vout1_fld
vin2a_clk0
vin1a_clk0
vin1a_clk0
spi3_cs1
gpio4_21
Driver off
0x15D4
CTRL_CORE_PAD C11
_VOUT1_HSYNC
vout1_hsyn
c
vin2a_hsyn vin1a_hsyn
c0
c0
vin1a_hsyn
c0
spi3_d0
gpio4_22
Driver off
0x15D8
CTRL_CORE_PAD E11
_VOUT1_VSYNC
vout1_vsyn
c
vin2a_vsyn vin1a_vsyn
c0
c0
vin1a_vsyn
c0
spi3_sclk
pr2_pru1_g pr2_pru1_g gpio4_23
pi17
po17
Driver off
0x15DC
CTRL_CORE_PAD F11
_VOUT1_D0
vout1_d0
uart5_rxd
vin2a_d16
vin1a_d16
vin1a_d16
spi3_cs2
pr1_uart0_c
ts_n
pr2_pru1_g pr2_pru1_g gpio8_0
pi18
po18
Driver off
0x15E0
CTRL_CORE_PAD G10
_VOUT1_D1
vout1_d1
uart5_txd
vin2a_d17
vin1a_d17
vin1a_d17
pr1_uart0_r
ts_n
pr2_pru1_g pr2_pru1_g gpio8_1
pi19
po19
Driver off
0x15E4
CTRL_CORE_PAD F10
_VOUT1_D2
vout1_d2
emu2
vin2a_d18
vin1a_d18
vin1a_d18
obs0
obs16
obs_irq1
pr1_uart0_r
xd
pr2_pru1_g pr2_pru1_g gpio8_2
pi20
po20
Driver off
0x15E8
CTRL_CORE_PAD G11
_VOUT1_D3
vout1_d3
emu5
vin2a_d19
vin1a_d19
vin1a_d19
obs1
obs17
obs_dmarq
1
pr1_uart0_t
xd
pr2_pru0_g pr2_pru0_g gpio8_3
pi0
po0
Driver off
90
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x15EC
CTRL_CORE_PAD E9
_VOUT1_D4
vout1_d4
emu6
vin2a_d20
vin1a_d20
vin1a_d20
obs2
obs18
pr1_ecap0_
ecap_capin
_apwm_o
pr2_pru0_g pr2_pru0_g gpio8_4
pi1
po1
Driver off
0x15F0
CTRL_CORE_PAD F9
_VOUT1_D5
vout1_d5
emu7
vin2a_d21
vin1a_d21
vin1a_d21
obs3
obs19
pr2_edc_lat
ch0_in
pr2_pru0_g pr2_pru0_g gpio8_5
pi2
po2
Driver off
0x15F4
CTRL_CORE_PAD F8
_VOUT1_D6
vout1_d6
emu8
vin2a_d22
vin1a_d22
vin1a_d22
obs4
obs20
pr2_edc_lat
ch1_in
pr2_pru0_g pr2_pru0_g gpio8_6
pi3
po3
Driver off
0x15F8
CTRL_CORE_PAD E7
_VOUT1_D7
vout1_d7
emu9
vin2a_d23
vin1a_d23
vin1a_d23
pr2_edc_sy
nc0_out
pr2_pru0_g pr2_pru0_g gpio8_7
pi4
po4
Driver off
0x15FC
CTRL_CORE_PAD E8
_VOUT1_D8
vout1_d8
uart6_rxd
vin2a_d8
vin1a_d8
vin1a_d8
pr2_edc_sy
nc1_out
pr2_pru0_g pr2_pru0_g gpio8_8
pi5
po5
Driver off
0x1600
CTRL_CORE_PAD D9
_VOUT1_D9
vout1_d9
uart6_txd
vin2a_d9
vin1a_d9
vin1a_d9
pr2_edio_la
tch_in
pr2_pru0_g pr2_pru0_g gpio8_9
pi6
po6
Driver off
0x1604
CTRL_CORE_PAD D7
_VOUT1_D10
vout1_d10
emu3
vin2a_d10
vin1a_d10
vin1a_d10
obs5
obs21
obs_irq2
pr2_edio_s
of
pr2_pru0_g pr2_pru0_g gpio8_10
pi7
po7
Driver off
0x1608
CTRL_CORE_PAD D8
_VOUT1_D11
vout1_d11
emu10
vin2a_d11
vin1a_d11
vin1a_d11
obs6
obs22
obs_dmarq
2
pr2_uart0_c
ts_n
pr2_pru0_g pr2_pru0_g gpio8_11
pi8
po8
Driver off
0x160C
CTRL_CORE_PAD A5
_VOUT1_D12
vout1_d12
emu11
vin2a_d12
vin1a_d12
vin1a_d12
obs7
obs23
pr2_uart0_r
ts_n
pr2_pru0_g pr2_pru0_g gpio8_12
pi9
po9
Driver off
0x1610
CTRL_CORE_PAD C6
_VOUT1_D13
vout1_d13
emu12
vin2a_d13
vin1a_d13
vin1a_d13
obs8
obs24
pr2_uart0_r
xd
pr2_pru0_g pr2_pru0_g gpio8_13
pi10
po10
Driver off
0x1614
CTRL_CORE_PAD C8
_VOUT1_D14
vout1_d14
emu13
vin2a_d14
vin1a_d14
vin1a_d14
obs9
obs25
pr2_uart0_t
xd
pr2_pru0_g pr2_pru0_g gpio8_14
pi11
po11
Driver off
0x1618
CTRL_CORE_PAD C7
_VOUT1_D15
vout1_d15
emu14
vin2a_d15
vin1a_d15
vin1a_d15
obs10
obs26
pr2_ecap0_
ecap_capin
_apwm_o
pr2_pru0_g pr2_pru0_g gpio8_15
pi12
po12
Driver off
0x161C
CTRL_CORE_PAD B7
_VOUT1_D16
vout1_d16
uart7_rxd
vin2a_d0
vin1a_d0
vin1a_d0
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_16
ata_in0
ata_out0
pi13
po13
Driver off
0x1620
CTRL_CORE_PAD B8
_VOUT1_D17
vout1_d17
uart7_txd
vin2a_d1
vin1a_d1
vin1a_d1
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_17
ata_in1
ata_out1
pi14
po14
Driver off
0x1624
CTRL_CORE_PAD A7
_VOUT1_D18
vout1_d18
emu4
vin2a_d2
vin1a_d2
vin1a_d2
obs11
obs27
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_18
ata_in2
ata_out2
pi15
po15
Driver off
0x1628
CTRL_CORE_PAD A8
_VOUT1_D19
vout1_d19
emu15
vin2a_d3
vin1a_d3
vin1a_d3
obs12
obs28
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_19
ata_in3
ata_out3
pi16
po16
Driver off
0x162C
CTRL_CORE_PAD C9
_VOUT1_D20
vout1_d20
emu16
vin2a_d4
vin1a_d4
vin1a_d4
obs13
obs29
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_20
ata_in4
ata_out4
pi17
po17
Driver off
0x1630
CTRL_CORE_PAD A9
_VOUT1_D21
vout1_d21
emu17
vin2a_d5
vin1a_d5
vin1a_d5
obs14
obs30
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_21
ata_in5
ata_out5
pi18
po18
Driver off
0x1634
CTRL_CORE_PAD B9
_VOUT1_D22
vout1_d22
emu18
vin2a_d6
vin1a_d6
vin1a_d6
obs15
obs31
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_22
ata_in6
ata_out6
pi19
po19
Driver off
0x1638
CTRL_CORE_PAD A10
_VOUT1_D23
vout1_d23
emu19
vin2a_d7
vin1a_d7
vin1a_d7
pr2_edio_d pr2_edio_d pr2_pru0_g pr2_pru0_g gpio8_23
ata_in7
ata_out7
pi20
po20
Driver off
0x163C
CTRL_CORE_PAD V1
_MDIO_MCLK
mdio_mclk
uart3_rtsn
mii0_col
vin2a_clk0
vin1b_clk1
pr1_mii0_c pr2_pru1_g pr2_pru1_g gpio5_15
ol
pi0
po0
Driver off
0x1640
CTRL_CORE_PAD U4
_MDIO_D
mdio_d
uart3_ctsn
mii0_txer
vin2a_d0
vin1b_d0
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_16
link
pi1
po1
Driver off
spi3_cs3
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
91
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
0x1644
CTRL_CORE_PAD U3
_RMII_MHZ_50_CL
K
RMII_MHZ_
50_CLK
0x1648
CTRL_CORE_PAD V2
_UART3_RXD
uart3_rxd
rmii1_crs
mii0_rxdv
vin2a_d1
vin1b_d1
spi3_sclk
0x164C
CTRL_CORE_PAD Y1
_UART3_TXD
uart3_txd
rmii1_rxer
mii0_rxclk
vin2a_d2
vin1b_d2
spi3_d1
0x1650
CTRL_CORE_PAD W9
_RGMII0_TXC
rgmii0_txc
uart3_ctsn
rmii1_rxd1
mii0_rxd3
vin2a_d3
vin1b_d3
0x1654
CTRL_CORE_PAD V9
_RGMII0_TXCTL
rgmii0_txctl uart3_rtsn
rmii1_rxd0
mii0_rxd2
vin2a_d4
0x1658
CTRL_CORE_PAD V7
_RGMII0_TXD3
rgmii0_txd3 rmii0_crs
mii0_crs
vin2a_de0
0x165C
CTRL_CORE_PAD U7
_RGMII0_TXD2
rgmii0_txd2 rmii0_rxer
mii0_rxer
0x1660
CTRL_CORE_PAD V6
_RGMII0_TXD1
rgmii0_txd1 rmii0_rxd1
0x1664
CTRL_CORE_PAD U6
_RGMII0_TXD0
rgmii0_txd0 rmii0_rxd0
0x1668
CTRL_CORE_PAD U5
_RGMII0_RXC
rgmii0_rxc
0x166C
CTRL_CORE_PAD V5
_RGMII0_RXCTL
0x1670
8*
9
10
11
12
13
14*
15
pr2_pru1_g pr2_pru1_g gpio5_17
pi2
po2
Driver off
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_18
dv
pi3
po3
Driver off
spi4_cs1
pr1_mii_mr pr2_pru1_g pr2_pru1_g gpio5_19
0_clk
pi4
po4
Driver off
spi3_d0
spi4_cs2
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_20
d3
pi5
po5
Driver off
vin1b_d4
spi3_cs0
spi4_cs3
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_21
d2
pi6
po6
Driver off
vin1b_de1
spi4_sclk
uart4_rxd
pr1_mii0_cr pr2_pru1_g pr2_pru1_g gpio5_22
s
pi7
po7
Driver off
vin2a_hsyn vin1b_hsyn
c0
c1
spi4_d1
uart4_txd
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_23
er
pi8
po8
Driver off
mii0_rxd1
vin2a_vsyn vin1b_vsyn
c0
c1
spi4_d0
uart4_ctsn
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_24
d1
pi9
po9
Driver off
mii0_rxd0
vin2a_d10
spi4_cs0
uart4_rtsn
pr1_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_25
d0
pi10
po10
Driver off
rmii1_txen
mii0_txclk
vin2a_d5
vin1b_d5
pr1_mii_mt pr2_pru1_g pr2_pru1_g gpio5_26
0_clk
pi11
po11
Driver off
rgmii0_rxctl
rmii1_txd1
mii0_txd3
vin2a_d6
vin1b_d6
pr1_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_27
d3
pi12
po12
Driver off
CTRL_CORE_PAD V4
_RGMII0_RXD3
rgmii0_rxd3
rmii1_txd0
mii0_txd2
vin2a_d7
vin1b_d7
pr1_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_28
d2
pi13
po13
Driver off
0x1674
CTRL_CORE_PAD V3
_RGMII0_RXD2
rgmii0_rxd2 rmii0_txen
mii0_txen
vin2a_d8
pr1_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_29
en
pi14
po14
Driver off
0x1678
CTRL_CORE_PAD Y2
_RGMII0_RXD1
rgmii0_rxd1 rmii0_txd1
mii0_txd1
vin2a_d9
pr1_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_30
d1
pi15
po15
Driver off
0x167C
CTRL_CORE_PAD W2
_RGMII0_RXD0
rgmii0_rxd0 rmii0_txd0
mii0_txd0
vin2a_fld0
pr1_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_31
d0
pi16
po16
Driver off
0x1680
CTRL_CORE_PAD AB10
_USB1_DRVVBUS
usb1_drvvb
us
timer16
gpio6_12
Driver off
0x1684
CTRL_CORE_PAD AC10
_USB2_DRVVBUS
usb2_drvvb
us
timer15
gpio6_13
Driver off
0x1688
CTRL_CORE_PAD E21
_GPIO6_14
gpio6_14
mcasp1_ax dcan2_tx
r8
uart10_rxd
vout2_hsyn
c
vin2a_hsyn i2c3_sda
c0
vin1a_hsyn
c0
timer1
gpio6_14
Driver off
0x168C
CTRL_CORE_PAD F20
_GPIO6_15
gpio6_15
mcasp1_ax dcan2_rx
r9
uart10_txd
vout2_vsyn
c
vin2a_vsyn i2c3_scl
c0
vin1a_vsyn
c0
timer2
gpio6_15
Driver off
0x1690
CTRL_CORE_PAD F21
_GPIO6_16
gpio6_16
mcasp1_ax
r10
vout2_fld
vin2a_fld0
vin1a_fld0
clkout1
timer3
gpio6_16
Driver off
0x1694
CTRL_CORE_PAD D18
_XREF_CLK0
xref_clk0
mcasp2_ax mcasp1_ax mcasp1_ah mcasp5_ah
r8
r4
clkx
clkx
vin1a_d0
hdq0
clkout2
timer13
pr2_mii1_c pr2_pru1_g pr2_pru1_g gpio6_17
ol
pi5
po5
Driver off
0x1698
CTRL_CORE_PAD E17
_XREF_CLK1
xref_clk1
mcasp2_ax mcasp1_ax mcasp2_ah mcasp6_ah
r9
r5
clkx
clkx
vin1a_clk0
timer14
pr2_mii1_cr pr2_pru1_g pr2_pru1_g gpio6_18
s
pi6
po6
Driver off
92
vin2a_d11
vin1b_fld1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
11
CTRL_CORE_PAD B26
_XREF_CLK2
xref_clk2
mcasp2_ax mcasp1_ax mcasp3_ah mcasp7_ah
r10
r6
clkx
clkx
vout2_clk
0x16A0
CTRL_CORE_PAD C23
_XREF_CLK3
xref_clk3
mcasp2_ax mcasp1_ax mcasp4_ah mcasp8_ah
r11
r7
clkx
clkx
vout2_de
0x16A4
CTRL_CORE_PAD C14
_MCASP1_ACLKX
mcasp1_acl
kx
vin1a_fld0
i2c3_sda
pr2_mdio_
mdclk
0x16A8
CTRL_CORE_PAD D14
_MCASP1_FSX
mcasp1_fsx
vin1a_de0
i2c3_scl
pr2_mdio_d
ata
0x16AC
CTRL_CORE_PAD B14
_MCASP1_ACLKR
mcasp1_acl mcasp7_ax
kr
r2
vout2_d0
vin2a_d0
vin1a_d0
0x16B0
CTRL_CORE_PAD J14
_MCASP1_FSR
mcasp1_fsr mcasp7_ax
r3
vout2_d1
vin2a_d1
vin1a_d1
0x16B4
CTRL_CORE_PAD G12
_MCASP1_AXR0
mcasp1_ax
r0
uart6_rxd
vin1a_vsyn
c0
0x16B8
CTRL_CORE_PAD F12
_MCASP1_AXR1
mcasp1_ax
r1
uart6_txd
vin1a_hsyn
c0
0x16BC
CTRL_CORE_PAD G13
_MCASP1_AXR2
mcasp1_ax mcasp6_ax
r2
r2
uart6_ctsn
vout2_d2
0x16C0
CTRL_CORE_PAD J11
_MCASP1_AXR3
mcasp1_ax mcasp6_ax
r3
r3
uart6_rtsn
0x16C4
CTRL_CORE_PAD E12
_MCASP1_AXR4
0x16C8
12
13
14*
15
timer15
gpio6_19
Driver off
timer16
gpio6_20
Driver off
pr2_pru1_g pr2_pru1_g gpio7_31
pi7
po7
Driver off
gpio7_30
Driver off
i2c4_sda
gpio5_0
Driver off
i2c4_scl
gpio5_1
Driver off
i2c5_sda
pr2_mii0_rx pr2_pru1_g pr2_pru1_g gpio5_2
er
pi8
po8
Driver off
i2c5_scl
pr2_mii_mt pr2_pru1_g pr2_pru1_g gpio5_3
0_clk
pi9
po9
Driver off
vin2a_d2
vin1a_d2
gpio5_4
Driver off
vout2_d3
vin2a_d3
vin1a_d3
gpio5_5
Driver off
mcasp1_ax mcasp4_ax
r4
r2
vout2_d4
vin2a_d4
vin1a_d4
gpio5_6
Driver off
CTRL_CORE_PAD F13
_MCASP1_AXR5
mcasp1_ax mcasp4_ax
r5
r3
vout2_d5
vin2a_d5
vin1a_d5
gpio5_7
Driver off
0x16CC
CTRL_CORE_PAD C12
_MCASP1_AXR6
mcasp1_ax mcasp5_ax
r6
r2
vout2_d6
vin2a_d6
vin1a_d6
gpio5_8
Driver off
0x16D0
CTRL_CORE_PAD D12
_MCASP1_AXR7
mcasp1_ax mcasp5_ax
r7
r3
vout2_d7
vin2a_d7
vin1a_d7
gpio5_9
Driver off
0x16D4
CTRL_CORE_PAD B12
_MCASP1_AXR8
mcasp1_ax mcasp6_ax
r8
r0
spi3_sclk
vin1a_d15
timer5
pr2_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_10
en
pi10
po10
Driver off
0x16D8
CTRL_CORE_PAD A11
_MCASP1_AXR9
mcasp1_ax mcasp6_ax
r9
r1
spi3_d1
vin1a_d14
timer6
pr2_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_11
d3
pi11
po11
Driver off
0x16DC
CTRL_CORE_PAD B13
_MCASP1_AXR10
mcasp1_ax mcasp6_acl mcasp6_acl spi3_d0
r10
kx
kr
vin1a_d13
timer7
pr2_mii0_tx pr2_pru1_g pr2_pru1_g gpio5_12
d2
pi12
po12
Driver off
0x16E0
CTRL_CORE_PAD A12
_MCASP1_AXR11
mcasp1_ax mcasp6_fsx mcasp6_fsr spi3_cs0
r11
vin1a_d12
timer8
pr2_mii0_tx pr2_pru1_g pr2_pru1_g gpio4_17
d1
pi13
po13
Driver off
0x16E4
CTRL_CORE_PAD E14
_MCASP1_AXR12
mcasp1_ax mcasp7_ax
r12
r0
vin1a_d11
timer9
pr2_mii0_tx pr2_pru1_g pr2_pru1_g gpio4_18
d0
pi14
po14
Driver off
0x16E8
CTRL_CORE_PAD A13
_MCASP1_AXR13
mcasp1_ax mcasp7_ax
r13
r1
vin1a_d10
timer10
pr2_mii_mr pr2_pru1_g pr2_pru1_g gpio6_4
0_clk
pi15
po15
Driver off
0x16EC
CTRL_CORE_PAD G14
_MCASP1_AXR14
mcasp1_ax mcasp7_acl mcasp7_acl
r14
kx
kr
vin1a_d9
timer11
pr2_mii0_rx pr2_pru1_g pr2_pru1_g gpio6_5
dv
pi16
po16
Driver off
0x16F0
CTRL_CORE_PAD F14
_MCASP1_AXR15
mcasp1_ax mcasp7_fsx mcasp7_fsr
r15
vin1a_d8
timer12
pr2_mii0_rx pr2_pru0_g pr2_pru0_g gpio6_6
d3
pi20
po20
Driver off
0x16F4
CTRL_CORE_PAD A19
_MCASP2_ACLKX
mcasp2_acl
kx
vin1a_d7
pr2_mii0_rx pr2_pru0_g pr2_pru0_g
d2
pi18
po18
Driver off
0x16F8
CTRL_CORE_PAD A18
_MCASP2_FSX
mcasp2_fsx
vin1a_d6
pr2_mii0_rx pr2_pru0_g pr2_pru0_g
d1
pi19
po19
Driver off
spi3_cs1
vin2a_clk0
vin1a_clk0
10
0x169C
hdq0
vin2a_de0
vin1a_de0
clkout3
timer4
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
93
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x16FC
CTRL_CORE_PAD E15
_MCASP2_ACLKR
mcasp2_acl mcasp8_ax
kr
r2
vout2_d8
vin2a_d8
vin1a_d8
Driver off
0x1700
CTRL_CORE_PAD A20
_MCASP2_FSR
mcasp2_fsr mcasp8_ax
r3
vout2_d9
vin2a_d9
vin1a_d9
Driver off
0x1704
CTRL_CORE_PAD B15
_MCASP2_AXR0
mcasp2_ax
r0
vout2_d10
vin2a_d10
vin1a_d10
Driver off
0x1708
CTRL_CORE_PAD A15
_MCASP2_AXR1
mcasp2_ax
r1
vout2_d11
vin2a_d11
vin1a_d11
Driver off
0x170C
CTRL_CORE_PAD C15
_MCASP2_AXR2
mcasp2_ax mcasp3_ax
r2
r2
vin1a_d5
pr2_mii0_rx pr2_pru0_g pr2_pru0_g gpio6_8
d0
pi16
po16
Driver off
0x1710
CTRL_CORE_PAD A16
_MCASP2_AXR3
mcasp2_ax mcasp3_ax
r3
r3
vin1a_d4
pr2_mii0_rx pr2_pru0_g pr2_pru0_g gpio6_9
link
pi17
po17
Driver off
0x1714
CTRL_CORE_PAD D15
_MCASP2_AXR4
mcasp2_ax mcasp8_ax
r4
r0
vout2_d12
vin2a_d12
vin1a_d12
gpio1_4
Driver off
0x1718
CTRL_CORE_PAD B16
_MCASP2_AXR5
mcasp2_ax mcasp8_ax
r5
r1
vout2_d13
vin2a_d13
vin1a_d13
gpio6_7
Driver off
0x171C
CTRL_CORE_PAD B17
_MCASP2_AXR6
mcasp2_ax mcasp8_acl mcasp8_acl
r6
kx
kr
vout2_d14
vin2a_d14
vin1a_d14
gpio2_29
Driver off
0x1720
CTRL_CORE_PAD A17
_MCASP2_AXR7
mcasp2_ax mcasp8_fsx mcasp8_fsr
r7
vout2_d15
vin2a_d15
vin1a_d15
gpio1_5
Driver off
0x1724
CTRL_CORE_PAD B18
_MCASP3_ACLKX
mcasp3_acl mcasp3_acl mcasp2_ax uart7_rxd
kx
kr
r12
vin1a_d3
pr2_mii0_cr pr2_pru0_g pr2_pru0_g gpio5_13
s
pi12
po12
Driver off
0x1728
CTRL_CORE_PAD F15
_MCASP3_FSX
mcasp3_fsx mcasp3_fsr mcasp2_ax uart7_txd
r13
vin1a_d2
pr2_mii0_c pr2_pru0_g pr2_pru0_g gpio5_14
ol
pi13
po13
Driver off
0x172C
CTRL_CORE_PAD B19
_MCASP3_AXR0
mcasp3_ax
r0
mcasp2_ax uart7_ctsn
r14
uart5_rxd
vin1a_d1
pr2_mii1_rx pr2_pru0_g pr2_pru0_g
er
pi14
po14
Driver off
0x1730
CTRL_CORE_PAD C17
_MCASP3_AXR1
mcasp3_ax
r1
mcasp2_ax uart7_rtsn
r15
uart5_txd
vin1a_d0
pr2_mii1_rx pr2_pru0_g pr2_pru0_g
link
pi15
po15
Driver off
0x1734
CTRL_CORE_PAD C18
_MCASP4_ACLKX
mcasp4_acl mcasp4_acl spi3_sclk
kx
kr
uart8_rxd
i2c4_sda
vout2_d16
vin2a_d16
vin1a_d16
vin1a_d15
Driver off
0x1738
CTRL_CORE_PAD A21
_MCASP4_FSX
mcasp4_fsx mcasp4_fsr spi3_d1
uart8_txd
i2c4_scl
vout2_d17
vin2a_d17
vin1a_d17
vin1a_d14
Driver off
0x173C
CTRL_CORE_PAD G16
_MCASP4_AXR0
mcasp4_ax
r0
spi3_d0
uart8_ctsn
uart4_rxd
vout2_d18
vin2a_d18
vin1a_d18
vin1a_d13
Driver off
0x1740
CTRL_CORE_PAD D17
_MCASP4_AXR1
mcasp4_ax
r1
spi3_cs0
uart8_rtsn
uart4_txd
vout2_d19
vin2a_d19
vin1a_d19
vin1a_d12
pr2_pru1_g pr2_pru1_g
pi0
po0
Driver off
0x1744
CTRL_CORE_PAD AA3
_MCASP5_ACLKX
mcasp5_acl mcasp5_acl spi4_sclk
kx
kr
uart9_rxd
i2c5_sda
vout2_d20
vin2a_d20
vin1a_d20
vin1a_d11
pr2_pru1_g pr2_pru1_g
pi1
po1
Driver off
0x1748
CTRL_CORE_PAD AB9
_MCASP5_FSX
mcasp5_fsx mcasp5_fsr spi4_d1
uart9_txd
i2c5_scl
vout2_d21
vin2a_d21
vin1a_d21
vin1a_d10
pr2_pru1_g pr2_pru1_g
pi2
po2
Driver off
0x174C
CTRL_CORE_PAD AB3
_MCASP5_AXR0
mcasp5_ax
r0
spi4_d0
uart9_ctsn
uart3_rxd
vout2_d22
vin2a_d22
vin1a_d22
vin1a_d9
pr2_mdio_
mdclk
pr2_pru1_g pr2_pru1_g
pi3
po3
Driver off
0x1750
CTRL_CORE_PAD AA4
_MCASP5_AXR1
mcasp5_ax
r1
spi4_cs0
uart9_rtsn
uart3_txd
vout2_d23
vin2a_d23
vin1a_d23
vin1a_d8
pr2_mdio_d pr2_pru1_g pr2_pru1_g
ata
pi4
po4
Driver off
0x1754
CTRL_CORE_PAD W6
_MMC1_CLK
mmc1_clk
gpio6_21
Driver off
0x1758
CTRL_CORE_PAD Y6
_MMC1_CMD
mmc1_cmd
gpio6_22
Driver off
94
Terminal Configuration and Functions
vin1a_fld0
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x175C
CTRL_CORE_PAD AA6
_MMC1_DAT0
mmc1_dat0
gpio6_23
Driver off
0x1760
CTRL_CORE_PAD Y4
_MMC1_DAT1
mmc1_dat1
gpio6_24
Driver off
0x1764
CTRL_CORE_PAD AA5
_MMC1_DAT2
mmc1_dat2
gpio6_25
Driver off
0x1768
CTRL_CORE_PAD Y3
_MMC1_DAT3
mmc1_dat3
gpio6_26
Driver off
0x176C
CTRL_CORE_PAD W7
_MMC1_SDCD
mmc1_sdcd
uart6_rxd
i2c4_sda
gpio6_27
Driver off
0x1770
CTRL_CORE_PAD Y9
_MMC1_SDWP
mmc1_sdw
p
uart6_txd
i2c4_scl
gpio6_28
Driver off
0x1774
CTRL_CORE_PAD AC5
_GPIO6_10
gpio6_10
mdio_mclk
i2c3_sda
vin2b_hsyn
c1
vin1a_clk0
ehrpwm2A
pr2_mii_mt pr2_pru0_g pr2_pru0_g gpio6_10
1_clk
pi0
po0
Driver off
0x1778
CTRL_CORE_PAD AB4
_GPIO6_11
gpio6_11
mdio_d
i2c3_scl
vin2b_vsyn
c1
vin1a_de0
ehrpwm2B
pr2_mii1_tx pr2_pru0_g pr2_pru0_g gpio6_11
en
pi1
po1
Driver off
0x177C
CTRL_CORE_PAD AD4
_MMC3_CLK
mmc3_clk
vin2b_d7
vin1a_d7
ehrpwm2_tr pr2_mii1_tx pr2_pru0_g pr2_pru0_g gpio6_29
ipzone_inpu d3
pi2
po2
t
Driver off
0x1780
CTRL_CORE_PAD AC4
_MMC3_CMD
mmc3_cmd spi3_sclk
vin2b_d6
vin1a_d6
eCAP2_in_ pr2_mii1_tx pr2_pru0_g pr2_pru0_g gpio6_30
PWM2_out d2
pi3
po3
Driver off
0x1784
CTRL_CORE_PAD AC7
_MMC3_DAT0
mmc3_dat0 spi3_d1
uart5_rxd
vin2b_d5
vin1a_d5
eQEP3A_in pr2_mii1_tx pr2_pru0_g pr2_pru0_g gpio6_31
d1
pi4
po4
Driver off
0x1788
CTRL_CORE_PAD AC6
_MMC3_DAT1
mmc3_dat1 spi3_d0
uart5_txd
vin2b_d4
vin1a_d4
eQEP3B_in pr2_mii1_tx pr2_pru0_g pr2_pru0_g gpio7_0
d0
pi5
po5
Driver off
0x178C
CTRL_CORE_PAD AC9
_MMC3_DAT2
mmc3_dat2 spi3_cs0
uart5_ctsn
vin2b_d3
vin1a_d3
eQEP3_ind pr2_mii_mr pr2_pru0_g pr2_pru0_g gpio7_1
ex
1_clk
pi6
po6
Driver off
0x1790
CTRL_CORE_PAD AC3
_MMC3_DAT3
mmc3_dat3 spi3_cs1
uart5_rtsn
vin2b_d2
vin1a_d2
eQEP3_str pr2_mii1_rx pr2_pru0_g pr2_pru0_g gpio7_2
obe
dv
pi7
po7
Driver off
0x1794
CTRL_CORE_PAD AC8
_MMC3_DAT4
mmc3_dat4 spi4_sclk
uart10_rxd
vin2b_d1
vin1a_d1
ehrpwm3A
pr2_mii1_rx pr2_pru0_g pr2_pru0_g gpio1_22
d3
pi8
po8
Driver off
0x1798
CTRL_CORE_PAD AD6
_MMC3_DAT5
mmc3_dat5 spi4_d1
uart10_txd
vin2b_d0
vin1a_d0
ehrpwm3B
pr2_mii1_rx pr2_pru0_g pr2_pru0_g gpio1_23
d2
pi9
po9
Driver off
0x179C
CTRL_CORE_PAD AB8
_MMC3_DAT6
mmc3_dat6 spi4_d0
uart10_ctsn
vin2b_de1
vin1a_hsyn ehrpwm3_tr pr2_mii1_rx pr2_pru0_g pr2_pru0_g gpio1_24
c0
ipzone_inpu d1
pi10
po10
t
Driver off
0x17A0
CTRL_CORE_PAD AB5
_MMC3_DAT7
mmc3_dat7 spi4_cs0
uart10_rtsn
vin2b_clk1
vin1a_vsyn eCAP3_in_ pr2_mii1_rx pr2_pru0_g pr2_pru0_g gpio1_25
c0
PWM3_out d0
pi11
po11
Driver off
0x17A4
CTRL_CORE_PAD A25
_SPI1_SCLK
spi1_sclk
gpio7_7
Driver off
0x17A8
CTRL_CORE_PAD F16
_SPI1_D1
spi1_d1
gpio7_8
Driver off
0x17AC
CTRL_CORE_PAD B25
_SPI1_D0
spi1_d0
gpio7_9
Driver off
0x17B0
CTRL_CORE_PAD A24
_SPI1_CS0
spi1_cs0
gpio7_10
Driver off
0x17B4
CTRL_CORE_PAD A22
_SPI1_CS1
spi1_cs1
gpio7_11
Driver off
sata1_led
spi2_cs1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
95
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
BALL
NUMBER
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
15
0x17B8
CTRL_CORE_PAD B21
_SPI1_CS2
spi1_cs2
uart4_rxd
mmc3_sdcd spi2_cs2
dcan2_tx
mdio_mclk
hdmi1_hpd
gpio7_12
Driver off
0x17BC
CTRL_CORE_PAD B20
_SPI1_CS3
spi1_cs3
uart4_txd
mmc3_sdw spi2_cs3
p
dcan2_rx
mdio_d
hdmi1_cec
gpio7_13
Driver off
0x17C0
CTRL_CORE_PAD A26
_SPI2_SCLK
spi2_sclk
uart3_rxd
gpio7_14
Driver off
0x17C4
CTRL_CORE_PAD B22
_SPI2_D1
spi2_d1
uart3_txd
gpio7_15
Driver off
0x17C8
CTRL_CORE_PAD G17
_SPI2_D0
spi2_d0
uart3_ctsn
uart5_rxd
gpio7_16
Driver off
0x17CC
CTRL_CORE_PAD B24
_SPI2_CS0
spi2_cs0
uart3_rtsn
uart5_txd
gpio7_17
Driver off
0x17D0
CTRL_CORE_PAD G20
_DCAN1_TX
dcan1_tx
uart8_rxd
mmc2_sdcd
hdmi1_hpd
gpio1_14
Driver off
0x17D4
CTRL_CORE_PAD G19
_DCAN1_RX
dcan1_rx
uart8_txd
mmc2_sdw sata1_led
p
hdmi1_cec
gpio1_15
Driver off
0x17E0
CTRL_CORE_PAD B27
_UART1_RXD
uart1_rxd
mmc4_sdcd
gpio7_22
Driver off
0x17E4
CTRL_CORE_PAD C26
_UART1_TXD
uart1_txd
mmc4_sdw
p
gpio7_23
Driver off
0x17E8
CTRL_CORE_PAD E25
_UART1_CTSN
uart1_ctsn
uart9_rxd
mmc4_clk
gpio7_24
Driver off
0x17EC
CTRL_CORE_PAD C27
_UART1_RTSN
uart1_rtsn
uart9_txd
mmc4_cmd
gpio7_25
Driver off
0x17F0
CTRL_CORE_PAD D28
_UART2_RXD
uart2_rxd
uart3_ctsn
uart3_rctx
mmc4_dat0 uart2_rxd
uart1_dcdn
gpio7_26
Driver off
0x17F4
CTRL_CORE_PAD D26
_UART2_TXD
uart2_txd
uart3_rtsn
uart3_sd
mmc4_dat1 uart2_txd
uart1_dsrn
gpio7_27
Driver off
0x17F8
CTRL_CORE_PAD D27
_UART2_CTSN
uart2_ctsn
uart3_rxd
mmc4_dat2 uart10_rxd
uart1_dtrn
gpio1_16
Driver off
0x17FC
CTRL_CORE_PAD C28
_UART2_RTSN
uart2_rtsn
uart3_irtx
mmc4_dat3 uart10_txd
uart1_rin
gpio1_17
Driver off
0x1800
CTRL_CORE_PAD C21
_I2C1_SDA
i2c1_sda
Driver off
0x1804
CTRL_CORE_PAD C20
_I2C1_SCL
i2c1_scl
Driver off
0x1808
CTRL_CORE_PAD C25
_I2C2_SDA
i2c2_sda
hdmi1_ddc
_scl
Driver off
0x180C
CTRL_CORE_PAD F17
_I2C2_SCL
i2c2_scl
hdmi1_ddc
_sda
Driver off
0x1818
CTRL_CORE_PAD AD17
_WAKEUP0
Wakeup0
dcan1_rx
gpio1_0
sys_nirq2
Driver off
0x1824
CTRL_CORE_PAD AC16
_WAKEUP3
Wakeup3
sys_nirq1
gpio1_3
dcan2_rx
Driver off
0x1828
CTRL_CORE_PAD Y11
_ON_OFF
on_off
0x182C
CTRL_CORE_PAD AB17
_RTC_PORZ
rtc_porz
96
uart3_txd
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-3. Multiplexing Characteristics (continued)
ADDRESS REGISTER NAME
MUXMODE FIELD SETTINGS (CTRL_CORE_PAD_*[3:0])
BALL
NUMBER
0
1
2
3*
4*
5*
6*
7
8*
9
10
11
12
13
14*
0x1830
CTRL_CORE_PAD F18
_TMS
tms
0x1834
CTRL_CORE_PAD D23
_TDI
tdi
gpio8_27
0x1838
CTRL_CORE_PAD F19
_TDO
tdo
gpio8_28
0x183C
CTRL_CORE_PAD E20
_TCLK
tclk
0x1840
CTRL_CORE_PAD D20
_TRSTN
trstn
0x1844
CTRL_CORE_PAD E18
_RTCK
rtck
gpio8_29
0x1848
CTRL_CORE_PAD G21
_EMU0
emu0
gpio8_30
0x184C
CTRL_CORE_PAD D24
_EMU1
emu1
gpio8_31
0x185C
CTRL_CORE_PAD E23
_RESETN
resetn
0x1860
CTRL_CORE_PAD D21
_NMIN_DSP
nmin_dsp
0x1864
CTRL_CORE_PAD F23
_RSTOUTN
rstoutn
15
1. NA in table stands for Not Applicable.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
97
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
4.4
www.ti.com
Signal Descriptions
Many signals are available on multiple pins, according to the software configuration of the pin multiplexing
options.
Texas Instruments has developed an application called Pin Mux Utility that helps a system designer select
the appropriate pin-multiplexing configuration for their device-based product design. The Pin Mux Utility
provides a way to select valid IO Sets of specific peripheral interfaces to ensure the pinmultiplexing
configuration selected for a design only uses valid IO Sets supported by the device.
1. SIGNAL NAME: The name of the signal passing through the pin.
NOTE
The subsystem multiplexing signals are not described in Table 4-2 and Table 4-3.
2. DESCRIPTION: Description of the signal
3. TYPE: Signal direction and type:
– I = Input
– O = Output
– IO = Input or output
– D = Open Drain
– DS = Differential
– A = Analog
– PWR = Power
– GND = Ground
4. BALL: Associated ball(s) bottom
NOTE
For more information, see the Control Module / Control Module Register Manual section of
the device TRM.
4.4.1
Video Input Ports (VIP)
CAUTION
The IO timings provided in Section 7, Timing Requirements and Switching
Characteristics are valid only for VIN1 and VIN2 if signals within a single IOSET
are used. The IOSETs are defined in Table 7-4.
NOTE
For more information, see the Video Input Port (VIP) section of the device TRM.
Table 4-4. VIP Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
Video Input 1 Port A Clock input.Input clock for 8-bit 16-bit or 24-bit Port A video
capture. Input data is sampled on the CLK0 edge.
I
AC5 / B11 / E17 /
P1 / P4 / B26
vin1a_d0
Video Input 1 Port A Data input
I
AD6 / B7 / C17 /
D18 / M6 / R6 / B14
vin1a_d1
Video Input 1 Port A Data input
I
AC8 / B19 / B8 / M2
/ T9 / J14
Video Input 1
vin1a_clk0
98
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-4. VIP Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
vin1a_d2
DESCRIPTION
Video Input 1 Port A Data input
I
A7 / AC3 / F15 / L5 /
T6 / G13
vin1a_d3
Video Input 1 Port A Data input
I
A8 / AC9 / B18 / M1
/ T7 / J11
vin1a_d4
Video Input 1 Port A Data input
I
A16 / AC6 / C9 / L6 /
P6 / E12
vin1a_d5
Video Input 1 Port A Data input
I
A9 / AC7 / C15 / L4 /
R9 / F13
vin1a_d6
Video Input 1 Port A Data input
I
A18 / AC4 / B9 / L3 /
R5 / C12
vin1a_d7
Video Input 1 Port A Data input
I
A10 / A19 / AD4 / L2
/ P5 / D12
vin1a_d8
Video Input 1 Port A Data input
I
AA4 / E8 / F14 / L1 /
U2 / J4 / E15
vin1a_d9
Video Input 1 Port A Data input
I
AB3 / D9 / G14 / K2
/ U1 / J6 / A20
vin1a_d10
Video Input 1 Port A Data input
I
A13 / AB9 / D7 / J1 /
P3 / H4 / B15
vin1a_d11
Video Input 1 Port A Data input
I
AA3 / D8 / E14 / J2 /
R2 / H5 / A15
vin1a_d12
Video Input 1 Port A Data input
I
A12 / A5 / D17 / H1 /
K7 / D15
vin1a_d13
Video Input 1 Port A Data input
I
B13 / C6 / G16 / J3 /
M7 / B16
vin1a_d14
Video Input 1 Port A Data input
I
A11 / A21 / C8 / H2 /
J5 / B17
vin1a_d15
Video Input 1 Port A Data input
I
B12 / C18 / C7 / H3
/ K6 / A17
vin1a_d16
Video Input 1 Port A Data input
I
F11 / R6 / C18
vin1a_d17
Video Input 1 Port A Data input
I
G10 / T9 / A21
vin1a_d18
Video Input 1 Port A Data input
I
F10 / T6 / G16
vin1a_d19
Video Input 1 Port A Data input
I
G11 / T7 / D17
vin1a_d20
Video Input 1 Port A Data input
I
E9 / P6 / AA3
vin1a_d21
Video Input 1 Port A Data input
I
F9 / R9 / AB9
vin1a_d22
Video Input 1 Port A Data input
I
F8 / R5 / AB3
vin1a_d23
Video Input 1 Port A Data input
I
E7 / P5 / AA4
vin1a_de0
Video Input 1 Port A Field ID input
I
AB4 / B10 / D14 /
N9 / H6 / C23 / P7
vin1a_fld0
Video Input 1 Port A Field ID input
I
C14 / C17 / D11 /
P9 / J7 / F21
vin1a_hsync0
Video Input 1 Port A Horizontal Sync input
I
AB8 / C11 / F12 /
N7 / R3 / P7 / E21
vin1a_vsync0
Video Input 1 Port A Vertical Sync input
I
AB5 / E11 / G12 /
R4 / T2 / N1 / F20
vin1b_clk1
Video Input 1 Port B Clock input
I
N9 / V1 / M4 / P7
vin1b_d0
Video Input 1 Port B Data input
I
R6 / U4 / K7
vin1b_d1
Video Input 1 Port B Data input
I
T9 / V2 / M7
vin1b_d2
Video Input 1 Port B Data input
I
T6 / Y1 / J5
vin1b_d3
Video Input 1 Port B Data input
I
T7 / W9 / K6
vin1b_d4
Video Input 1 Port B Data input
I
P6 / V9 / J7
vin1b_d5
Video Input 1 Port B Data input
I
R9 / U5 / J4
vin1b_d6
Video Input 1 Port B Data input
I
R5 / V5 / J6
vin1b_d7
Video Input 1 Port B Data input
I
P5 / V4 / H4
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
99
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-4. VIP Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
vin1b_de1
DESCRIPTION
Video Input 1 Port B Field ID input
I
P9 / V7 / N6
vin1b_fld1
Video Input 1 Port B Field ID input
I
P4 / W2 / M4
vin1b_hsync1
Video Input 1 Port B Horizontal Sync input
I
N7 / U7 / H5
vin1b_vsync1
Video Input 1 Port B Vertical Sync input
I
R4 / V6 / H6
Video Input 2 Port A Clock input.
I
B11 / B26 / E1 / P4 /
V1
vin2a_d0
Video Input 2 Port A Data input
I
B14 / B7 / F2 / R6 /
U4
vin2a_d1
Video Input 2 Port A Data input
I
B8 / F3 / J14 / T9 /
V2
vin2a_d2
Video Input 2 Port A Data input
I
A7 / D1 / G13 / T6 /
Y1
vin2a_d3
Video Input 2 Port A Data input
I
A8 / E2 / J11 / T7 /
W9
vin2a_d4
Video Input 2 Port A Data input
I
C9 / D2 / E12 / P6 /
V9
vin2a_d5
Video Input 2 Port A Data input
I
A9 / F13 / F4 / R9 /
U5
vin2a_d6
Video Input 2 Port A Data input
I
B9 / C1 / C12 / R5 /
V5
vin2a_d7
Video Input 2 Port A Data input
I
A10 / D12 / E4 / P5 /
V4
vin2a_d8
Video Input 2 Port A Data input
I
E15 / E8 / F5 / U2 /
V3
vin2a_d9
Video Input 2 Port A Data input
I
A20 / D9 / E6 / U1 /
Y2
vin2a_d10
Video Input 2 Port A Data input
IO
B15 / D3 / D7 / P3 /
U6
vin2a_d11
Video Input 2 Port A Data input
IO
A15 / D8 / F6 / R2 /
U3
vin2a_d12
Video Input 2 Port A Data input
I
A5 / D15 / D5 / K7
vin2a_d13
Video Input 2 Port A Data input
I
B16 / C2 / C6 / M7
vin2a_d14
Video Input 2 Port A Data input
I
B17 / C3 / C8 / J5
vin2a_d15
Video Input 2 Port A Data input
I
A17 / C4 / C7 / K6
vin2a_d16
Video Input 2 Port A Data input
I
B2 / C18 / F11
vin2a_d17
Video Input 2 Port A Data input
I
A21 / D6 / G10
vin2a_d18
Video Input 2 Port A Data input
I
C5 / F10 / G16
vin2a_d19
Video Input 2 Port A Data input
I
A3 / D17 / G11
vin2a_d20
Video Input 2 Port A Data input
I
AA3 / B3 / E9
vin2a_d21
Video Input 2 Port A Data input
I
AB9 / B4 / F9
vin2a_d22
Video Input 2 Port A Data input
I
AB3 / B5 / F8
vin2a_d23
Video Input 2 Port A Data input
I
A4 / AA4 / E7
vin2a_de0
Video Input 2 Port A Field ID input
I
B10 / C23 / G2 / H6
/ P7 / V7
vin2a_fld0
Video Input 2 Port A Field ID input
I
D11 / F21 / G2 / H7
/ J7 / P9 / W2
vin2a_hsync0
Video Input 2 Port A Horizontal Sync input
I
C11 / E21 / G1 / P7
/ R3 / U7
vin2a_vsync0
Video Input 2 Port A Vertical Sync input
I
E11 / F20 / G6 / N1 /
T2 / V6
vin2b_clk1
Video Input 2 Port B Clock input
I
AB5 / H7 / M4 / P7
vin2b_d0
Video Input 2 Port B Data input
I
A4 / AD6 / K7
Video Input 2
vin2a_clk0
100
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-4. VIP Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
vin2b_d1
Video Input 2 Port B Data input
I
AC8 / B5 / M7
vin2b_d2
Video Input 2 Port B Data input
I
AC3 / B4 / J5
vin2b_d3
Video Input 2 Port B Data input
I
AC9 / B3 / K6
vin2b_d4
Video Input 2 Port B Data input
I
A3 / AC6 / J7
vin2b_d5
Video Input 2 Port B Data input
I
AC7 / C5 / J4
vin2b_d6
Video Input 2 Port B Data input
I
AC4 / D6 / J6
vin2b_d7
Video Input 2 Port B Data input
I
AD4 / B2 / H4
vin2b_de1
Video Input 2 Port B Field ID input
I
AB8 / G2 / N6
vin2b_fld1
Video Input 2 Port B Field ID input
I
G2 / M4
vin2b_hsync1
Video Input 2 Port B Horizontal Sync input
I
AC5 / G1 / H5
vin2b_vsync1
Video Input 2 Port B Vertical Sync input
I
AB4 / G6 / H6
4.4.2
DESCRIPTION
Display Subsystem – Video Output Ports
CAUTION
The IO timings provided in Section 7 Timing Requirements and Switching
Characteristics are valid only if signals within a single IOSET are used. The
IOSETs are defined in Table 7-16.
Table 4-5. DSS Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
DPI Video Output 1
vout1_clk
Video Output 1 Clock output
O
D11
vout1_de
Video Output 1 Data Enable output
O
B10
vout1_fld
Video Output 1 Field ID output. This signal is not used for embedded sync modes.
O
B11
vout1_hsync
Video Output 1 Horizontal Sync output. This signal is not used for embedded sync
modes.
O
C11
vout1_vsync
E11
Video Output 1 Vertical Sync output. This signal is not used for embedded sync modes.
O
vout1_d0
Video Output 1 Data output
O
F11
vout1_d1
Video Output 1 Data output
O
G10
vout1_d2
Video Output 1 Data output
O
F10
vout1_d3
Video Output 1 Data output
O
G11
vout1_d4
Video Output 1 Data output
O
E9
vout1_d5
Video Output 1 Data output
O
F9
vout1_d6
Video Output 1 Data output
O
F8
vout1_d7
Video Output 1 Data output
O
E7
vout1_d8
Video Output 1 Data output
O
E8
vout1_d9
Video Output 1 Data output
O
D9
vout1_d10
Video Output 1 Data output
O
D7
vout1_d11
Video Output 1 Data output
O
D8
vout1_d12
Video Output 1 Data output
O
A5
vout1_d13
Video Output 1 Data output
O
C6
vout1_d14
Video Output 1 Data output
O
C8
vout1_d15
Video Output 1 Data output
O
C7
vout1_d16
Video Output 1 Data output
O
B7
vout1_d17
Video Output 1 Data output
O
B8
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
101
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-5. DSS Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
vout1_d18
DESCRIPTION
Video Output 1 Data output
O
A7
vout1_d19
Video Output 1 Data output
O
A8
vout1_d20
Video Output 1 Data output
O
C9
vout1_d21
Video Output 1 Data output
O
A9
vout1_d22
Video Output 1 Data output
O
B9
vout1_d23
Video Output 1 Data output
O
A10
vout2_clk
Video Output 2 Clock output
O
H7 / B26
vout2_de
Video Output 2 Data Enable output
O
G2 / C23
DPI Video Output 2
vout2_fld
Video Output 2 Field ID output. This signal is not used for embedded sync modes.
O
E1 / F21
vout2_hsync
Video Output 2 Horizontal Sync output. This signal is not used for embedded sync
modes.
O
G1 / E21
vout2_vsync
Video Output 2 Vertical Sync output. This signal is not used for embedded sync modes.
O
G6 / F20
vout2_d0
Video Output 2 Data output
O
A4 / B14
vout2_d1
Video Output 2 Data output
O
B5 / J14
vout2_d2
Video Output 2 Data output
O
B4 / G13
vout2_d3
Video Output 2 Data output
O
B3 / J11
vout2_d4
Video Output 2 Data output
O
A3 / E12
vout2_d5
Video Output 2 Data output
O
C5 / F13
vout2_d6
Video Output 2 Data output
O
D6 / C12
vout2_d7
Video Output 2 Data output
O
B2 / D12
vout2_d8
Video Output 2 Data output
O
C4 / E15
vout2_d9
Video Output 2 Data output
O
C3 / A20
vout2_d10
Video Output 2 Data output
O
C2 / B15
vout2_d11
Video Output 2 Data output
O
D5 / A15
vout2_d12
Video Output 2 Data output
O
F6 / D15
vout2_d13
Video Output 2 Data output
O
D3 / B16
vout2_d14
Video Output 2 Data output
O
E6 / B17
vout2_d15
Video Output 2 Data output
O
F5 / A17
vout2_d16
Video Output 2 Data output
O
E4 / C18
vout2_d17
Video Output 2 Data output
O
C1 / A21
vout2_d18
Video Output 2 Data output
O
F4 / G16
vout2_d19
Video Output 2 Data output
O
D2 / D17
vout2_d20
Video Output 2 Data output
O
E2 / AA3
vout2_d21
Video Output 2 Data output
O
D1 / AB9
vout2_d22
Video Output 2 Data output
O
F3 / AB3
vout2_d23
Video Output 2 Data output
O
F2 / AA4
vout3_clk
Video Output 3 Clock output
O
P1
vout3_d0
Video Output 3 Data output
O
M6
vout3_d1
Video Output 3 Data output
O
M2
vout3_d2
Video Output 3 Data output
O
L5
vout3_d3
Video Output 3 Data output
O
M1
vout3_d4
Video Output 3 Data output
O
L6
vout3_d5
Video Output 3 Data output
O
L4
vout3_d6
Video Output 3 Data output
O
L3
vout3_d7
Video Output 3 Data output
O
L2
vout3_d8
Video Output 3 Data output
O
L1
DPI Video Output 3
102
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-5. DSS Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
vout3_d9
Video Output 3 Data output
O
K2
vout3_d10
Video Output 3 Data output
O
J1
vout3_d11
Video Output 3 Data output
O
J2
vout3_d12
Video Output 3 Data output
O
H1
vout3_d13
Video Output 3 Data output
O
J3
vout3_d14
Video Output 3 Data output
O
H2
vout3_d15
Video Output 3 Data output
O
H3
vout3_d16
Video Output 3 Data output
O
R6
vout3_d17
Video Output 3 Data output
O
T9
vout3_d18
Video Output 3 Data output
O
T6
vout3_d19
Video Output 3 Data output
O
T7
vout3_d20
Video Output 3 Data output
O
P6
vout3_d21
Video Output 3 Data output
O
R9
vout3_d22
Video Output 3 Data output
O
R5
vout3_d23
Video Output 3 Data output
O
P5
vout3_de
Video Output 3 Data Enable output
O
N9
vout3_fld
Video Output 3 Field ID output. This signal is not used for embedded sync modes.
O
P9
vout3_hsync
Video Output 3 Horizontal Sync output. This signal is not used for embedded sync
modes.
O
N7
vout3_vsync
Video Output 3 Vertical Sync output. This signal is not used for embedded sync modes.
O
R4
4.4.3
DESCRIPTION
Display Subsystem – High-Definition Multimedia Interface (HDMI)
NOTE
For more information, see the Display Subsystem / Display Subsystem Overview of the
device TRM.
Table 4-6. HDMI Signal Descriptions
SIGNAL NAME
DESCRIPTION
hdmi1_cec
HDMI consumer electronic control
hdmi1_hpd
HDMI display hot plug detect
TYPE
BALL
IOD
B20/ G19
IO
B21/ G20
C25
hdmi1_ddc_scl
HDMI display data channel clock
IOD
hdmi1_ddc_sda
HDMI display data channel data
IOD
F17
hdmi1_clockx
HDMI clock differential positive or negative
ODS
AG16
hdmi1_clocky
HDMI clock differential positive or negative
ODS
AH16
hdmi1_data2x
HDMI data 2 differential positive or negative
ODS
AG19
hdmi1_data2y
HDMI data 2 differential positive or negative
ODS
AH19
hdmi1_data1x
HDMI data 1 differential positive or negative
ODS
AG18
hdmi1_data1y
HDMI data 1 differential positive or negative
ODS
AH18
hdmi1_data0x
HDMI data 0 differential positive or negative
ODS
AG17
hdmi1_data0y
HDMI data 0 differential positive or negative
ODS
AH17
4.4.4
Camera Serial Interface 2 CAL bridge (CSI2)
NOTE
For more information, see the CAL Subsystem / CAL Subsystem Overview of the device
TRM.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
103
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-7. CSI 2 Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
csi2_0_dx0
Serial data/clock input - line 0 (position 1)
I
AE1
csi2_0_dy0
Serial data/clock input - line 0 (position 1)
I
AD2
csi2_0_dx1
Serial data/clock input - line 1 (position 2)
I
AF1
csi2_0_dy1
Serial data/clock input - line 1 (position 2)
I
AE2
csi2_0_dx2
Serial data/clock input - line 2 (position 3)
I
AF2
csi2_0_dy2
Serial data/clock input - line 2 (position 3)
I
AF3
csi2_0_dx3
Serial data/clock input - line 3 (position 4)
I
AH4
csi2_0_dy3
Serial data/clock input - line 3 (position 4)
I
AG4
csi2_0_dx4
Serial data input only - line 4 (position 5) (1)
I
AH3
csi2_0_dy4
Serial data input only - line 4 (position 5)
(1)
I
AG3
csi2_1_dx0
Serial data/clock input - line 0 (position 1)
I
AG5
csi2_1_dy0
Serial data/clock input - line 0 (position 1)
I
AH5
csi2_1_dx1
Serial data/clock input - line 1 (position 2)
I
AG6
csi2_1_dy1
Serial data/clock input - line 1 (position 2)
I
AH6
csi2_1_dx2
Serial data/clock input - line 2 (position 3)
I
AH7
csi2_1_dy2
Serial data/clock input - line 2 (position 3)
I
AG7
(1) Line 4 (position 5) supports only data. For more information see CAL Subsystem of the device TRM.
4.4.5
External Memory Interface (EMIF)
NOTE
For more information, see the Memory Subsystem / EMIF Controller section of the device
TRM.
NOTE
Dual rank support is not available on this device, but signal names are retained for
consistency with the AM57xx family of devices.
NOTE
The index number 1 which is part of the EMIF1 signal prefixes (ddr1_*) listed in Table 4-8,
EMIF Signal Descriptions, not to be confused with DDR1 type of SDRAM memories.
Table 4-8. EMIF Signal Descriptions
SIGNAL NAME
104
DESCRIPTION
TYPE
BALL
AH23
ddr1_csn0
EMIF1 Chip Select 0
O
ddr1_csn1
EMIF1 Chip Select 1
O
AB16
ddr1_cke
EMIF1 Clock Enable
O
AG22
ddr1_ck
EMIF1 Clock
O
AG24
ddr1_nck
EMIF1 Negative Clock
O
AH24
ddr1_odt0
EMIF1 On-Die Termination for Chip Select 0
O
AE20
ddr1_odt1
EMIF1 On-Die Termination for Chip Select 1
O
AC17
ddr1_casn
EMIF1 Column Address Strobe
O
AC18
ddr1_rasn
EMIF1 Row Address Strobe
O
AF20
ddr1_wen
EMIF1 Write Enable
O
AH21
ddr1_rst
EMIF1 Reset output (DDR3-SDRAM only)
O
AG21
ddr1_ba0
EMIF1 Bank Address
O
AF17
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-8. EMIF Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
ddr1_ba1
DESCRIPTION
EMIF1 Bank Address
O
AE18
ddr1_ba2
EMIF1 Bank Address
O
AB18
ddr1_a0
EMIF1 Address Bus
O
AD20
ddr1_a1
EMIF1 Address Bus
O
AC19
ddr1_a2
EMIF1 Address Bus
O
AC20
ddr1_a3
EMIF1 Address Bus
O
AB19
ddr1_a4
EMIF1 Address Bus
O
AF21
ddr1_a5
EMIF1 Address Bus
O
AH22
ddr1_a6
EMIF1 Address Bus
O
AG23
ddr1_a7
EMIF1 Address Bus
O
AE21
ddr1_a8
EMIF1 Address Bus
O
AF22
ddr1_a9
EMIF1 Address Bus
O
AE22
ddr1_a10
EMIF1 Address Bus
O
AD21
ddr1_a11
EMIF1 Address Bus
O
AD22
ddr1_a12
EMIF1 Address Bus
O
AC21
ddr1_a13
EMIF1 Address Bus
O
AF18
ddr1_a14
EMIF1 Address Bus
O
AE17
ddr1_a15
EMIF1 Address Bus
O
AD18
ddr1_d0
EMIF1 Data Bus
IO
AF25
ddr1_d1
EMIF1 Data Bus
IO
AF26
ddr1_d2
EMIF1 Data Bus
IO
AG26
ddr1_d3
EMIF1 Data Bus
IO
AH26
ddr1_d4
EMIF1 Data Bus
IO
AF24
ddr1_d5
EMIF1 Data Bus
IO
AE24
ddr1_d6
EMIF1 Data Bus
IO
AF23
ddr1_d7
EMIF1 Data Bus
IO
AE23
ddr1_d8
EMIF1 Data Bus
IO
AC23
ddr1_d9
EMIF1 Data Bus
IO
AF27
ddr1_d10
EMIF1 Data Bus
IO
AG27
ddr1_d11
EMIF1 Data Bus
IO
AF28
ddr1_d12
EMIF1 Data Bus
IO
AE26
ddr1_d13
EMIF1 Data Bus
IO
AC25
ddr1_d14
EMIF1 Data Bus
IO
AC24
ddr1_d15
EMIF1 Data Bus
IO
AD25
ddr1_d16
EMIF1 Data Bus
IO
V20
ddr1_d17
EMIF1 Data Bus
IO
W20
ddr1_d18
EMIF1 Data Bus
IO
AB28
ddr1_d19
EMIF1 Data Bus
IO
AC28
ddr1_d20
EMIF1 Data Bus
IO
AC27
ddr1_d21
EMIF1 Data Bus
IO
Y19
ddr1_d22
EMIF1 Data Bus
IO
AB27
ddr1_d23
EMIF1 Data Bus
IO
Y20
ddr1_d24
EMIF1 Data Bus
IO
AA23
ddr1_d25
EMIF1 Data Bus
IO
Y22
ddr1_d26
EMIF1 Data Bus
IO
Y23
ddr1_d27
EMIF1 Data Bus
IO
AA24
ddr1_d28
EMIF1 Data Bus
IO
Y24
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
105
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-8. EMIF Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL
ddr1_d29
EMIF1 Data Bus
IO
AA26
ddr1_d30
EMIF1 Data Bus
IO
AA25
ddr1_d31
EMIF1 Data Bus
IO
AA28
ddr1_ecc_d0
EMIF1 ECC Data Bus
IO
W22
ddr1_ecc_d1
EMIF1 ECC Data Bus
IO
V23
ddr1_ecc_d2
EMIF1 ECC Data Bus
IO
W19
ddr1_ecc_d3
EMIF1 ECC Data Bus
IO
W23
ddr1_ecc_d4
EMIF1 ECC Data Bus
IO
Y25
ddr1_ecc_d5
EMIF1 ECC Data Bus
IO
V24
ddr1_ecc_d6
EMIF1 ECC Data Bus
IO
V25
ddr1_ecc_d7
EMIF1 ECC Data Bus
IO
Y26
ddr1_dqm0
EMIF1 Data Mask
O
AD23
ddr1_dqm1
EMIF1 Data Mask
O
AB23
ddr1_dqm2
EMIF1 Data Mask
O
AC26
ddr1_dqm3
EMIF1 Data Mask
O
AA27
EMIF1 ECC Data Mask
O
V26
ddr1_dqs0
Data strobe 0 input/output for byte 0 of the 32-bit data bus. This signal is output to the
EMIF1 memory when writing and input when reading.
IO
AH25
ddr1_dqsn0
Data strobe 0 invert
IO
AG25
ddr1_dqs1
Data strobe 1 input/output for byte 1 of the 32-bit data bus. This signal is output to the
EMIF1 memory when writing and input when reading.
IO
AE27
ddr1_dqsn1
Data strobe 1 invert
IO
AE28
ddr1_dqs2
Data strobe 2 input/output for byte 2 of the 32-bit data bus. This signal is output to the
EMIF1 memory when writing and input when reading.
IO
AD27
ddr1_dqsn2
Data strobe 2 invert
IO
AD28
ddr1_dqs3
Data strobe 3 input/output for byte 3 of the 32-bit data bus. This signal is output to the
EMIF1 memory when writing and input when reading.
IO
Y28
ddr1_dqsn3
Data strobe 3 invert
IO
Y27
ddr1_dqs_ecc
EMIF1 ECC Data strobe input/output. This signal is output to the EMIF1 memory when
writing and input when reading.
IO
V27
ddr1_dqsn_ecc
EMIF1 ECC Complementary Data strobe
IO
V28
Reference Power Supply EMIF1
A
Y18
ddr1_dqm_ecc
ddr1_vref0
4.4.6
General-Purpose Memory Controller (GPMC)
NOTE
For more information, see the Memory Subsystem / General-Purpose Memory Controller
section of the device TRM.
Table 4-9. GPMC Signal Descriptions
SIGNAL NAME
106
TYPE
BALL
gpmc_ad0
DESCRIPTION
GPMC Data 0 in A/D nonmultiplexed mode and additionally Address 1
in A/D multiplexed mode
IO
M6
gpmc_ad1
GPMC Data 1 in A/D nonmultiplexed mode and additionally Address 2
in A/D multiplexed mode
IO
M2
gpmc_ad2
GPMC Data 2 in A/D nonmultiplexed mode and additionally Address 3
in A/D multiplexed mode
IO
L5
gpmc_ad3
GPMC Data 3 in A/D nonmultiplexed mode and additionally Address 4
in A/D multiplexed mode
IO
M1
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-9. GPMC Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpmc_ad4
DESCRIPTION
GPMC Data 4 in A/D nonmultiplexed mode and additionally Address 5
in A/D multiplexed mode
IO
L6
gpmc_ad5
GPMC Data 5 in A/D nonmultiplexed mode and additionally Address 6
in A/D multiplexed mode
IO
L4
gpmc_ad6
GPMC Data 6 in A/D nonmultiplexed mode and additionally Address 7
in A/D multiplexed mode
IO
L3
gpmc_ad7
GPMC Data 7 in A/D nonmultiplexed mode and additionally Address 8
in A/D multiplexed mode
IO
L2
gpmc_ad8
GPMC Data 8 in A/D nonmultiplexed mode and additionally Address 9
in A/D multiplexed mode
IO
L1
gpmc_ad9
GPMC Data 9 in A/D nonmultiplexed mode and additionally Address 10
in A/D multiplexed mode
IO
K2
gpmc_ad10
GPMC Data 10 in A/D nonmultiplexed mode and additionally Address
11 in A/D multiplexed mode
IO
J1
gpmc_ad11
GPMC Data 11 in A/D nonmultiplexed mode and additionally Address
12 in A/D multiplexed mode
IO
J2
gpmc_ad12
GPMC Data 12 in A/D nonmultiplexed mode and additionally Address
13 in A/D multiplexed mode
IO
H1
gpmc_ad13
GPMC Data 13 in A/D nonmultiplexed mode and additionally Address
14 in A/D multiplexed mode
IO
J3
gpmc_ad14
GPMC Data 14 in A/D nonmultiplexed mode and additionally Address
15 in A/D multiplexed mode
IO
H2
gpmc_ad15
GPMC Data 15 in A/D nonmultiplexed mode and additionally Address
16 in A/D multiplexed mode
IO
H3
gpmc_a0
GPMC Address 0. Only used to effectively address 8-bit data
nonmultiplexed memories
O
R6 / P4
gpmc_a1
GPMC address 1 in A/D nonmultiplexed mode and Address 17 in A/D
multiplexed mode
O
T9 / P1
gpmc_a2
GPMC address 2 in A/D nonmultiplexed mode and Address 18 in A/D
multiplexed mode
O
T6 / N1
gpmc_a3
GPMC address 3 in A/D nonmultiplexed mode and Address 19 in A/D
multiplexed mode
O
T7 / M4
gpmc_a4
GPMC address 4 in A/D nonmultiplexed mode and Address 20 in A/D
multiplexed mode
O
P6
gpmc_a5
GPMC address 5 in A/D nonmultiplexed mode and Address 21 in A/D
multiplexed mode
O
R9
gpmc_a6
GPMC address 6 in A/D nonmultiplexed mode and Address 22 in A/D
multiplexed mode
O
R5
gpmc_a7
GPMC address 7 in A/D nonmultiplexed mode and Address 23 in A/D
multiplexed mode
O
P5
gpmc_a8
GPMC address 8 in A/D nonmultiplexed mode and Address 24 in A/D
multiplexed mode
O
N7
gpmc_a9
GPMC address 9 in A/D nonmultiplexed mode and Address 25 in A/D
multiplexed mode
O
R4
gpmc_a10
GPMC address 10 in A/D nonmultiplexed mode and Address 26 in A/D
multiplexed mode
O
N9
gpmc_a11
GPMC address 11 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
P9
gpmc_a12
GPMC address 12 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
P4
gpmc_a13
GPMC address 13 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
R3 / K7 / P2
gpmc_a14
GPMC address 14 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
T2 / M7 / P1
gpmc_a15
GPMC address 15 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
U2 / J5 / N2
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
107
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-9. GPMC Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpmc_a16
GPMC address 16 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
U1 / K6 / R6
gpmc_a17
GPMC address 17 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
P3 / J7 / E1
gpmc_a18
GPMC address 18 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
R2 / J4 / H7
gpmc_a19
GPMC address 19 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
K7 / J6
gpmc_a20
GPMC address 20 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
M7 / H4
gpmc_a21
GPMC address 21 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
J5 / H5
gpmc_a22
GPMC address 22 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
K6 / H6
gpmc_a23
GPMC address 23 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
F6 / J7 / N1 / P2
gpmc_a24
GPMC address 24 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
D3 / J4 / P1
gpmc_a25
GPMC address 25 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
E6 / J6 / N2
gpmc_a26
GPMC address 26 in A/D nonmultiplexed mode and unused in A/D
multiplexed mode
O
F5 / H4 / R6
gpmc_a27
GPMC address 27 in A/D nonmultiplexed mode and Address 27 in A/D
multiplexed mode
O
G1 / H5 / E1 / H7
gpmc_cs0
GPMC Chip Select 0 (active low)
O
T1
gpmc_cs1
GPMC Chip Select 1 (active low)
O
H6
gpmc_cs2
GPMC Chip Select 2 (active low)
O
P2
gpmc_cs3
GPMC Chip Select 3 (active low)
O
P1
gpmc_cs4
GPMC Chip Select 4 (active low)
O
N6
gpmc_cs5
GPMC Chip Select 5 (active low)
O
M4
gpmc_cs6
GPMC Chip Select 6 (active low)
O
N1
gpmc_cs7
GPMC Chip Select 7 (active low)
O
P7
GPMC Clock output
IO
P7
gpmc_advn_ale
GPMC address valid active low or address latch enable
O
N1
gpmc_oen_ren
GPMC output enable active low or read enable
O
M5
gpmc_wen
GPMC write enable active low
O
M3
gpmc_ben0
GPMC lower-byte enable active low
O
N6
gpmc_ben1
GPMC upper-byte enable active low
O
M4
gpmc_wait0
GPMC external indication of wait 0
I
N2
gpmc_wait1
GPMC external indication of wait 1
I
P7 / N1
gpmc_clk(1)(2)
DESCRIPTION
(1) This clock signal is implemented as 'pad loopback' inside the device - the output signal is looped back through the input buffer to serve
as the internal reference signal. Series termination is recommended (as close to device pin as possible) to improve signal integrity of the
clock input. Any nonmonotonicity in voltage that occurs at the pad loopback clock pin between VIH and VIL must be less than VHYS.
(2) The gpio6_16.clkout1 signal can be used as an “always-on” alternative to gpmc_clk provided that the external device can support the
associated timing. See Table 7-22 GPMC/NOR Flash Interface Switching Characteristics - Synchronous Mode - 1 Load and Table 7-24
GPMC/NOR Flash Interface Switching Characteristics - Synchronous Mode - 5 Loads for timing information.
4.4.7
Timers
NOTE
For more information, see the Timers section of the device TRM.
108
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-10. Timers Signal Descriptions
SIGNAL NAME
TYPE
BALL
timer1
PWM output/event trigger input
IO
M4 / E21
timer2
PWM output/event trigger input
IO
N6 / F20
timer3
PWM output/event trigger input
IO
N1 / F21
timer4
PWM output/event trigger input
IO
P7 / D12
timer5
PWM output/event trigger input
IO
U2 / B12
timer6
PWM output/event trigger input
IO
T2 / A11
timer7
PWM output/event trigger input
IO
R3 / B13
timer8
PWM output/event trigger input
IO
P4 / A12
timer9
PWM output/event trigger input
IO
P9 / E14
timer10
PWM output/event trigger input
IO
N9 / A13
timer11
PWM output/event trigger input
IO
R4 / G14
timer12
PWM output/event trigger input
IO
N7 / F14
timer13
PWM output/event trigger input
IO
D18
timer14
PWM output/event trigger input
IO
E17
timer15
PWM output/event trigger input
IO
AC10 / B26
timer16
PWM output/event trigger input
IO
AB10 / C23
4.4.8
DESCRIPTION
Inter-Integrated Circuit Interface (I2C)
NOTE
For more information, see the Serial Communication Interface / Multimaster High-Speed I2C
Controller / HS I2C Environment / HS I2C in I2C Mode section of the device TRM.
NOTE
I2C1 and I2C2 do NOT support HS-mode.
Table 4-11. I2C Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
Inter-Integrated Circuit Interface 1 (I2C1)
i2c1_scl
I2C1 Clock
IOD
C20
i2c1_sda
I2C1 Data
IOD
C21
Inter-Integrated Circuit Interface 2 (I2C2)
i2c2_scl
I2C2 Clock
IOD
F17
i2c2_sda
I2C2 Data
IOD
C25
Inter-Integrated Circuit Interface 3 (I2C3)
i2c3_scl
I2C3 Clock
IOD
P7/ D14/ AB4/ F20
i2c3_sda
I2C3 Data
IOD
N1/ C14/ AC5/ E21
Inter-Integrated Circuit Interface 4 (I2C4)
i2c4_scl
I2C4 Clock
IOD
R6/ J14/ A21/ Y9
i2c4_sda
I2C4 Data
IOD
T9/ B14/ C18/ W7
Inter-Integrated Circuit Interface 5 (I2C5)
i2c5_scl
I2C5 Clock
IOD
AB9/ P6/ F12
i2c5_sda
I2C5 Data
IOD
AA3/ R9/ G12
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
109
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
4.4.9
www.ti.com
HDQ / 1-Wire Interface (HDQ1W)
NOTE
For more information, see the Serial Communication Interface / HDQ/1-Wire section of the
device TRM.
Table 4-12. HDQ / 1-Wire Signal Descriptions
SIGNAL NAME
hdq0
DESCRIPTION
HDQ or 1-wire protocol single interface pin
TYPE
BALL
IOD
D18/ C23
4.4.10 Universal Asynchronous Receiver Transmitter (UART)
NOTE
For more information see the Serial Communication Interface / UART/IrDA/CIR section of the
device TRM.
Table 4-13. UART Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
I
D28
Universal Asynchronous Receiver/Transmitter 1 (UART1)
uart1_dcdn
UART1 Data Carrier Detect active low
uart1_dsrn
UART1 Data Set Ready Active Low
I
D26
uart1_dtrn
UART1 Data Terminal Ready Active Low
O
D27
uart1_rin
UART1 Ring Indicator
I
C28
uart1_rxd
UART1 Receive Data
I
B27
C26
uart1_txd
UART1 Transmit Data
O
uart1_ctsn
UART1 clear to send active low
I
E25
uart1_rtsn
UART1 request to send active low
O
C27
Universal Asynchronous Receiver/Transmitter 2 (UART2)
uart2_rxd
UART2 Receive Data
I
D28
uart2_txd
UART2 Transmit Data
O
D26
uart2_ctsn
UART2 clear to send active low
I
D27
uart2_rtsn
UART2 request to send active low
O
C28
Universal Asynchronous Receiver/Transmitter 3 (UART3)/IrDA
uart3_rxd
UART3 Receive Data
I
V2/ AB3/ A26 / D27
uart3_txd
UART3 Transmit Data
O
Y1/ AA4/ B22/ C28
uart3_ctsn
UART3 clear to send active low
I
U4/ W9/ G17/ D28
uart3_rtsn
UART3 request to send active low
O
V1/ V9/ D26/ B24
uart3_rctx
Remote control data
O
D28
uart3_sd
Infrared transceiver configure/shutdown
O
D26
uart3_irrx
Infrared data input. Also functions as uart3_rxd Receive Data Input when IrDA
mode is not used.
I
D27
uart3_irtx
Infrared data output
O
C28
Universal Asynchronous Receiver/Transmitter 4 (UART4)
uart4_rxd
UART4 Receive Data
I
V7/ G16/ B21
U7/ D17/ B20
uart4_txd
UART4 Transmit Data
O
uart4_ctsn
UART4 clear to send active low
I
V6
uart4_rtsn
UART4 request to send active low
O
U6
Universal Asynchronous Receiver/Transmitter 5 (UART5)
110
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-13. UART Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
uart5_rxd
DESCRIPTION
UART5 Receive Data
I
R6/ F11/ B19/ AC7/
G17
uart5_txd
UART5 Transmit Data
O
T9/ G10/ C17/ AC6/
B24
uart5_ctsn
UART5 clear to send active low
I
T6 / AC9
uart5_rtsn
UART5 request to send active low
O
T7 / AC3
Universal Asynchronous Receiver/Transmitter 6 (UART6)
uart6_rxd
UART6 Receive Data
I
P6/ E8/ G12/ W7
uart6_txd
UART6 Transmit Data
O
R9/ D9/ F12/ Y9
uart6_ctsn
UART6 clear to send active low
I
R5 / G13
uart6_rtsn
UART6 request to send active low
O
P5 / J11
Universal Asynchronous Receiver/Transmitter 7 (UART7)
uart7_rxd
UART7 Receive Data
I
B18 / B7 / T6
uart7_txd
UART7 Transmit Data
O
B8 / F15 / T7
uart7_ctsn
UART7 clear to send active low
I
B19
uart7_rtsn
UART7 request to send active low
O
C17
Universal Asynchronous Receiver/Transmitter 8 (UART8)
uart8_rxd
UART8 Receive Data
I
C18 / G20 / R5
uart8_txd
UART8 Transmit Data
O
A21 / G19 / P5
uart8_ctsn
UART8 clear to send active low
I
G16
uart8_rtsn
UART8 request to send active low
O
D17
Universal Asynchronous Receiver/Transmitter 9 (UART9)
uart9_rxd
UART9 Receive Data
I
G1/ AA3/ E25
uart9_txd
UART9 Transmit Data
O
G6/ AB9/ C27
uart9_ctsn
UART9 clear to send active low
I
F2 / AB3
uart9_rtsn
UART9 request to send active low
O
F3/ AA4
Universal Asynchronous Receiver/Transmitter 10 (UART10)
uart10_rxd
UART10 Receive Data
I
D1/ E21/ AC8/ D27
uart10_txd
UART10 Transmit Data
O
E2/ F20/ AD6/ C28
uart10_ctsn
UART10 clear to send active low
I
D2 / AB8
uart10_rtsn
UART10 request to send active low
O
F4 / AB5
4.4.11 Multichannel Serial Peripheral Interface (McSPI)
CAUTION
The I/O timing provided in Section 7, Timing Requirements and Switching
Characteristics are applicable for all combinations of signals for SPI1 and SPI2.
However, the timings are valid only for SPI3 and SPI4 if signals within a single
IOSET are used. The IOSETS are defined in Table 7-41.
NOTE
For more information, see the Serial Communication Interface / Multichannel Serial
Peripheral Interface (McSPI) section of the device TRM.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
111
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-14. SPI Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
Serial Peripheral Interface 1
spi1_sclk(1)
SPI1 Clock
IO
A25
spi1_d1
SPI1 Data. Can be configured as either MISO or MOSI.
IO
F16
spi1_d0
SPI1 Data. Can be configured as either MISO or MOSI.
IO
B25
spi1_cs0
SPI1 Chip Select
IO
A24
spi1_cs1
SPI1 Chip Select
IO
A22
spi1_cs2
SPI1 Chip Select
IO
B21
spi1_cs3
SPI1 Chip Select
IO
B20
A26
Serial Peripheral Interface 2
spi2_sclk(1)
SPI2 Clock
IO
spi2_d1
SPI2 Data. Can be configured as either MISO or MOSI.
IO
B22
spi2_d0
SPI2 Data. Can be configured as either MISO or MOSI.
IO
G17
spi2_cs0
SPI2 Chip Select
IO
B24
spi2_cs1
SPI2 Chip Select
IO
A22
spi2_cs2
SPI2 Chip Select
IO
B21
spi2_cs3
SPI2 Chip Select
IO
B20
SPI3 Clock
IO
AC4 / B12 / C18 /
E11 / V2
spi3_d1
SPI3 Data. Can be configured as either MISO or MOSI.
IO
A11 / A21 / AC7 /
B10 / Y1
spi3_d0
SPI3 Data. Can be configured as either MISO or MOSI.
IO
AC6 / B13 / C11 /
G16 / W9
spi3_cs0
SPI3 Chip Select
IO
A12 / AC9 / D11 /
D17 / V9
spi3_cs1
SPI3 Chip Select
IO
AC3 / B11 / E14
spi3_cs2
SPI3 Chip Select
IO
F11
spi3_cs3
SPI3 Chip Select
IO
A10
SPI4 Clock
IO
N7/ G1/ AA3/ V7/
AC8
spi4_d1
SPI4 Data. Can be configured as either MISO or MOSI.
IO
R4/ G6/ AB9/ U7/
AD6
spi4_d0
SPI4 Data. Can be configured as either MISO or MOSI.
IO
N9/ F2/ AB3/ V6/
AB8
spi4_cs0
SPI4 Chip Select
IO
P9/ F3/ AA4/ U6/
AB5
spi4_cs1
SPI4 Chip Select
IO
P4 / Y1
spi4_cs2
SPI4 Chip Select
IO
R3 / W9
spi4_cs3
SPI4 Chip Select
IO
T2 / V9
Serial Peripheral Interface 3
spi3_sclk(1)
Serial Peripheral Interface 4
spi4_sclk(1)
(1) This clock signal is implemented as 'pad loopback' inside the device - the output signal is looped back through the input buffer to serve
as the internal reference signal. Series termination is recommended (as close to device pin as possible) to improve signal integrity of the
clock input. Any nonmonotonicity in voltage that occurs at the pad loopback clock pin between VIH and VIL must be less than VHYS.
4.4.12 Quad Serial Peripheral Interface (QSPI)
NOTE
For more information see the Serial Communication Interface / Quad Serial Peripheral
Interface section of the device TRM.
112
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-15. QSPI Signal Descriptions
SIGNAL NAME
DESCRIPTION
qspi1_sclk
QSPI1 Serial Clock
qspi1_rtclk
QSPI1 Return Clock Input. Must be connected from QSPI1_SCLK on PCB. Refer
to PCB Guidelines for QSPI1
TYPE
BALL
IO
R2
I
R3
qspi1_d0
QSPI1 Data[0].This pin is output data for all commands/writes and for dual read
and quad read modes it becomes input data pin during read phase.
IO
U1
qspi1_d1
QSPI1 Data[1].Input read data in all modes.
IO
P3
qspi1_d2
QSPI1 Data[2].This pin is used only in quad read mode as input data pin during
read phase
IO
U2
qspi1_d3
QSPI1 Data[3].This pin is used only in quad read mode as input data pin during
read phase
IO
T2
qspi1_cs0
QSPI1 Chip Select[0].This pin is Used for QSPI1 boot modes.
O
P2
qspi1_cs1
QSPI1 Chip Select[1]
O
P1
qspi1_cs2
QSPI1 Chip Select[2]
O
T7
qspi1_cs3
QSPI1 Chip Select[3]
O
P6
4.4.13 Multichannel Audio Serial Port (McASP)
NOTE
For more information, see the Serial Communication Interface / Multichannel Audio Serial
Port (McASP) section of the device TRM.
Table 4-16. McASP Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
G12
Multichannel Audio Serial Port 1
mcasp1_axr0
McASP1 Transmit/Receive Data
IO
mcasp1_axr1
McASP1 Transmit/Receive Data
IO
F12
mcasp1_axr2
McASP1 Transmit/Receive Data
IO
G13
mcasp1_axr3
McASP1 Transmit/Receive Data
IO
J11
mcasp1_axr4
McASP1 Transmit/Receive Data
IO
D18/ E12
mcasp1_axr5
McASP1 Transmit/Receive Data
IO
E17 / F13
mcasp1_axr6
McASP1 Transmit/Receive Data
IO
B26 / C12
mcasp1_axr7
McASP1 Transmit/Receive Data
IO
C23 / D12
mcasp1_axr8
McASP1 Transmit/Receive Data
IO
E21 / B12
mcasp1_axr9
McASP1 Transmit/Receive Data
IO
F20/ A11
mcasp1_axr10
McASP1 Transmit/Receive Data
IO
F21 / B13
mcasp1_axr11
McASP1 Transmit/Receive Data
IO
A12
mcasp1_axr12
McASP1 Transmit/Receive Data
IO
E14
mcasp1_axr13
McASP1 Transmit/Receive Data
IO
A13
mcasp1_axr14
McASP1 Transmit/Receive Data
IO
G14
mcasp1_axr15
McASP1 Transmit/Receive Data
IO
F14
mcasp1_fsx
McASP1 Transmit Frame Sync
IO
D14
McASP1 Receive Bit Clock
IO
B14
McASP1 Receive Frame Sync
IO
J14
mcasp1_ahclkx
McASP1 Transmit High-Frequency Master Clock
O
D18
mcasp1_aclkx(1)
McASP1 Transmit Bit Clock
IO
C14
mcasp1_aclkr(1)
mcasp1_fsr
Multichannel Audio Serial Port 2
mcasp2_axr0
McASP2 Transmit/Receive Data
IO
B15
mcasp2_axr1
McASP2 Transmit/Receive Data
IO
A15
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
113
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-16. McASP Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
mcasp2_axr2
DESCRIPTION
McASP2 Transmit/Receive Data
IO
C15
mcasp2_axr3
McASP2 Transmit/Receive Data
IO
A16
mcasp2_axr4
McASP2 Transmit/Receive Data
IO
D15
mcasp2_axr5
McASP2 Transmit/Receive Data
IO
B16
mcasp2_axr6
McASP2 Transmit/Receive Data
IO
B17
mcasp2_axr7
McASP2 Transmit/Receive Data
IO
A17
mcasp2_axr8
McASP2 Transmit/Receive Data
IO
D18
E17
mcasp2_axr9
McASP2 Transmit/Receive Data
IO
mcasp2_axr10
McASP2 Transmit/Receive Data
IO
B26
mcasp2_axr11
McASP2 Transmit/Receive Data
IO
C23
mcasp2_axr12
McASP2 Transmit/Receive Data
IO
B18
mcasp2_axr13
McASP2 Transmit/Receive Data
IO
F15
mcasp2_axr14
McASP2 Transmit/Receive Data
IO
B19
mcasp2_axr15
McASP2 Transmit/Receive Data
IO
C17
mcasp2_fsx
McASP2 Transmit Frame Sync
IO
A18
McASP2 Receive Bit Clock
IO
E15
McASP2 Receive Frame Sync
IO
A20
mcasp2_aclkr(1)
mcasp2_fsr
mcasp2_ahclkx
McASP2 Transmit High-Frequency Master Clock
O
E17
mcasp2_aclkx(1)
McASP2 Transmit Bit Clock
IO
A19
Multichannel Audio Serial Port 3
mcasp3_axr0
McASP3 Transmit/Receive Data
IO
B19
mcasp3_axr1
McASP3 Transmit/Receive Data
IO
C17
mcasp3_axr2
McASP3 Transmit/Receive Data
IO
C15
mcasp3_axr3
McASP3 Transmit/Receive Data
IO
A16
mcasp3_fsx
McASP3 Transmit Frame Sync
IO
F15
mcasp3_ahclkx
McASP3 Transmit High-Frequency Master Clock
O
B26
mcasp3_aclkx(1)
McASP3 Transmit Bit Clock
IO
B18
McASP3 Receive Bit Clock
IO
B18
McASP3 Receive Frame Sync
IO
F15
(1)
mcasp3_aclkr
mcasp3_fsr
Multichannel Audio Serial Port 4
mcasp4_axr0
McASP4 Transmit/Receive Data
IO
G16
mcasp4_axr1
McASP4 Transmit/Receive Data
IO
D17
mcasp4_axr2
McASP4 Transmit/Receive Data
IO
E12
mcasp4_axr3
McASP4 Transmit/Receive Data
IO
F13
mcasp4_fsx
McASP4 Transmit Frame Sync
IO
A21
mcasp4_ahclkx
McASP4 Transmit High-Frequency Master Clock
O
C23
mcasp4_aclkx(1)
McASP4 Transmit Bit Clock
IO
C18
McASP4 Receive Bit Clock
IO
C18
McASP4 Receive Frame Sync
IO
A21
(1)
mcasp4_aclkr
mcasp4_fsr
Multichannel Audio Serial Port 5
114
mcasp5_axr0
McASP5 Transmit/Receive Data
IO
AB3
mcasp5_axr1
McASP5 Transmit/Receive Data
IO
AA4
mcasp5_axr2
McASP5 Transmit/Receive Data
IO
C12
mcasp5_axr3
McASP5 Transmit/Receive Data
IO
D12
mcasp5_fsx
AB9
McASP5 Transmit Frame Sync
IO
mcasp5_ahclkx
McASP5 Transmit High-Frequency Master Clock
O
D18
mcasp5_aclkx(1)
McASP5 Transmit Bit Clock
IO
AA3
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-16. McASP Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL
mcasp5_aclkr(1)
McASP5 Receive Bit Clock
IO
AA3
McASP5 Receive Frame Sync
IO
AB9
B12
mcasp5_fsr
Multichannel Audio Serial Port 6
mcasp6_axr0
McASP6 Transmit/Receive Data
IO
mcasp6_axr1
McASP6 Transmit/Receive Data
IO
A11
mcasp6_axr2
McASP6 Transmit/Receive Data
IO
G13
mcasp6_axr3
McASP6 Transmit/Receive Data
IO
J11
mcasp6_ahclkx
McASP6 Transmit High-Frequency Master Clock
O
E17
mcasp6_aclkx(1)
McASP6 Transmit Bit Clock
IO
B13
McASP6 Transmit Frame Sync
IO
A12
McASP6 Receive Bit Clock
IO
B13
McASP6 Receive Frame Sync
IO
A12
mcasp6_fsx
mcasp6_aclkr(1)
mcasp6_fsr
Multichannel Audio Serial Port 7
mcasp7_axr0
McASP7 Transmit/Receive Data
IO
E14
mcasp7_axr1
McASP7 Transmit/Receive Data
IO
A13
mcasp7_axr2
McASP7 Transmit/Receive Data
IO
B14
mcasp7_axr3
McASP7 Transmit/Receive Data
IO
J14
mcasp7_ahclkx
McASP7 Transmit High-Frequency Master Clock
O
B26
mcasp7_aclkx(1)
McASP7 Transmit Bit Clock
IO
G14
McASP7 Transmit Frame Sync
IO
F14
McASP7 Receive Bit Clock
IO
G14
McASP7 Receive Frame Sync
IO
F14
mcasp7_fsx
mcasp7_aclkr(1)
mcasp7_fsr
Multichannel Audio Serial Port 8
mcasp8_axr0
McASP8 Transmit/Receive Data
IO
D15
mcasp8_axr1
McASP8 Transmit/Receive Data
IO
B16
mcasp8_axr2
McASP8 Transmit/Receive Data
IO
E15
mcasp8_axr3
McASP8 Transmit/Receive Data
IO
A20
mcasp8_ahclkx
McASP8 Transmit High-Frequency Master Clock
O
C23
mcasp8_aclkx(1)
McASP8 Transmit Bit Clock
IO
B17
McASP8 Transmit Frame Sync
IO
A17
McASP8 Receive Bit Clock
IO
B17
McASP8 Receive Frame Sync
IO
A17
mcasp8_fsx
mcasp8_aclkr(1)
mcasp8_fsr
(1) This clock signal is implemented as 'pad loopback' inside the device - the output signal is looped back through the input buffer to serve
as the internal reference signal. Series termination is recommended (as close to device pin as possible) to improve signal integrity of the
clock input. Any nonmonotonicity in voltage that occurs at the pad loopback clock pin between VIH and VIL must be less than VHYS.
4.4.14 Universal Serial Bus (USB)
NOTE
For more information, see: Serial Communication Interface / SuperSpeed USB DRD
Subsystem section of the device TRM.
Table 4-17. Universal Serial Bus Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
usb1_dp
USB1 USB2.0 differential signal pair (positive)
IODS
AD12
usb1_dm
USB1 USB2.0 differential signal pair (negative)
IODS
AC12
O
AB10
Universal Serial Bus 1
usb1_drvvbus
USB1 Drive VBUS signal
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
115
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-17. Universal Serial Bus Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
usb_rxn0(1)
USB1 USB3.0 receiver negative lane
(1)
TYPE
BALL
IDS
AF12
usb_rxp0
USB1 USB3.0 receiver positive lane
IDS
AE12
usb_txn0(1)
USB1 USB3.0 transmitter negative lane
ODS
AC11
usb_txp0(1)
USB1 USB3.0 transmitter positive lane
ODS
AD11
usb2_dp
USB2 USB2.0 differential signal pair (positive)
IODS
AE11
usb2_dm
USB2 USB2.0 differential signal pair (negative)
IODS
AF11
O
AC10
Universal Serial Bus 2
usb2_drvvbus
USB2 Drive VBUS signal
(1) Signals are enabled by selecting the correct field in the PCIE_B1C0_MODE_SEL register. There are no CTRL_CORE_PAD* register
involved.
4.4.15 SATA
NOTE
For more information, see the Serial Communication Interfaces / SATA section of the device
TRM.
Table 4-18. SATA Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
sata1_rxn0
SATA differential negative receiver lane 0
IDS
AH9
sata1_rxp0
SATA differential positive receiver lane 0
IDS
AG9
sata1_txn0
SATA differential negative transmitter lane 0
ODS
AG10
sata1_txp0
SATA differential positive transmitter lane 0
ODS
AH10
sata1_led
SATA channel activity indicator
O
A22 / G19
4.4.16 Peripheral Component Interconnect Express (PCIe)
NOTE
For more information, see the Serial Communication Interfaces / PCIe Controllers and the
Shared PHY Component Subsystems / PCIe Shared PHY Subsystem sections of the device
TRM.
Table 4-19. PCIe Signal Descriptions
SIGNAL NAME
116
DESCRIPTION
TYPE
BALL
AG13
pcie_rxn0
PCIe1_PHY_RX Receive Data Lane 0 (negative) - mapped to PCIe_SS1 only.
IOS
pcie_rxp0
PCIe1_PHY_RX Receive Data Lane 0 (positive) - mapped to PCIe_SS1 only.
IOS
AH13
pcie_txn0
PCIe1_PHY_TX Transmit Data Lane 0 (negative) - mapped to PCIe_SS1 only.
ODS
AG14
pcie_txp0
PCIe1_PHY_TX Transmit Data Lane 0 (positive) - mapped to PCIe_SS1 only.
ODS
AH14
pcie_rxn1
PCIe2_PHY_RX Receive Data Lane 1 (negative) - mapped to either PCIe_SS1
(dual lane- mode) or PCIe_SS2 (single lane- mode)
IOS
AF12
pcie_rxp1
PCIe2_PHY_RX Receive Data Lane 1 (positive) - mapped to either PCIe_SS1
(dual lane- mode) or PCIe_SS2 (single lane- mode)
IOS
AE12
pcie_txn1
PCIe2_PHY_TX Transmit Data Lane 1 (negative) - mapped to either PCIe_SS1
(dual lane- mode) or PCIe_SS2 (single lane- mode)
ODS
AC11
pcie_txp1
PCIe2_PHY_TX Transmit Data Lane 1 (positive) - mapped to either PCIe_SS1
(dual lane- mode) or PCIe_SS2 (single lane- mode)
ODS
AD11
ljcb_clkp
PCIe1_PHY / PCIe2_PHY shared Reference Clock Input / Output Differential Pair
(positive)
IODS
AG15
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-19. PCIe Signal Descriptions (continued)
SIGNAL NAME
ljcb_clkn
DESCRIPTION
TYPE
BALL
PCIe1_PHY / PCIe2_PHY shared Reference Clock Input / Output Differential Pair
(negative)
IODS
AH15
4.4.17 Controller Area Network Interface (DCAN)
NOTE
For more information, see the Serial Communication Interface / DCAN section of the device
TRM.
Table 4-20. DCAN Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
DCAN 1
dcan1_tx
DCAN1 transmit data pin
IO
G20
dcan1_rx
DCAN1 receive data pin
IO
G19 / AD17
dcan2_tx
DCAN2 transmit data pin
IO
E21/ B21
dcan2_rx
DCAN2 receive data pin
IO
F20/ B20/ AC16
DCAN 2
4.4.18 Ethernet Interface (GMAC_SW)
CAUTION
The I/O timing provided in Section 7, Timing Requirements and Switching
Characteristics are valid only if signals within a single IOSET are used. The
IOSETs are defined in Table 7-68, Table 7-71, Table 7-76 and Table 7-83.
NOTE
For more information, see the Serial Communication Interfaces / Ethernet Controller section
of the device TRM.
Table 4-21. GMAC Signal Descriptions
SIGNAL NAME
TYPE
BALL
rgmii0_txc
DESCRIPTION
RGMII0 Transmit Clock
O
W9
rgmii0_txctl
RGMII0 Transmit Enable
O
V9
rgmii0_txd3
RGMII0 Transmit Data
O
V7
rgmii0_txd2
RGMII0 Transmit Data
O
U7
rgmii0_txd1
RGMII0 Transmit Data
O
V6
rgmii0_txd0
RGMII0 Transmit Data
O
U6
rgmii0_rxc
RGMII0 Receive Clock
I
U5
rgmii0_rxctl
RGMII0 Receive Control
I
V5
rgmii0_rxd3
RGMII0 Receive Data
I
V4
rgmii0_rxd2
RGMII0 Receive Data
I
V3
rgmii0_rxd1
RGMII0 Receive Data
I
Y2
rgmii0_rxd0
RGMII0 Receive Data
I
W2
RGMII1 Transmit Clock
O
D5
rgmii1_txc
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
117
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-21. GMAC Signal Descriptions (continued)
SIGNAL NAME
118
TYPE
BALL
rgmii1_txctl
DESCRIPTION
RGMII1 Transmit Enable
O
C2
rgmii1_txd3
RGMII1 Transmit Data
O
C3
rgmii1_txd2
RGMII1 Transmit Data
O
C4
rgmii1_txd1
RGMII1 Transmit Data
O
B2
rgmii1_txd0
RGMII1 Transmit Data
O
D6
rgmii1_rxc
RGMII1 Receive Clock
I
C5
rgmii1_rxctl
RGMII1 Receive Control
I
A3
rgmii1_rxd3
RGMII1 Receive Data
I
B3
rgmii1_rxd2
RGMII1 Receive Data
I
B4
rgmii1_rxd1
RGMII1 Receive Data
I
B5
rgmii1_rxd0
RGMII1 Receive Data
I
A4
mii1_rxd1
MII1 Receive Data
I
C1
mii1_rxd2
MII1 Receive Data
I
E4
mii1_rxd3
MII1 Receive Data
I
F5
mii1_rxd0
MII1 Receive Data
I
E6
mii1_rxclk
MII1 Receive Clock
I
D5
mii1_rxdv
MII1 Receive Data Valid
I
C2
mii1_txclk
MII1 Transmit Clock
I
C3
mii1_txd0
MII1 Transmit Data
O
C4
mii1_txd1
MII1 Transmit Data
O
B2
mii1_txd2
MII1 Transmit Data
O
D6
mii1_txd3
MII1 Transmit Data
O
C5
mii1_txer
MII1 Transmit Error
I
A3
mii1_rxer
MII1 Receive Data Error
I
B3
mii1_col
MII1 Collision Detect (Sense)
I
B4
mii1_crs
MII1 Carrier Sense
I
B5
mii1_txen
MII1 Transmit Data Enable
O
A4
mii0_rxd1
MII0 Receive Data
I
V6
mii0_rxd2
MII0 Receive Data
I
V9
mii0_rxd3
MII0 Receive Data
I
W9
mii0_rxd0
MII0 Receive Data
I
U6
mii0_rxclk
MII0 Receive Clock
I
Y1
mii0_rxdv
MII0 Receive Data Valid
I
V2
mii0_txclk
MII0 Transmit Clock
I
U5
mii0_txd0
MII0 Transmit Data
O
W2
mii0_txd1
MII0 Transmit Data
O
Y2
mii0_txd2
MII0 Transmit Data
O
V4
mii0_txd3
MII0 Transmit Data
O
V5
mii0_txer
MII0 Transmit Error
I
U4
mii0_rxer
MII0 Receive Data Error
I
U7
mii0_col
MII0 Collision Detect (Sense)
I
V1
mii0_crs
MII0 Carrier Sense
I
V7
mii0_txen
MII0 Transmit Data Enable
O
V3
rmii1_crs
RMII1 Carrier Sense
I
V2
rmii1_rxer
RMII1 Receive Data Error
I
Y1
rmii1_rxd1
RMII1 Receive Data
I
W9
rmii1_rxd0
RMII1 Receive Data
I
V9
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-21. GMAC Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
rmii1_txen
DESCRIPTION
RMII1 Transmit Data Enable
O
U5
rmii1_txd1
RMII1 Transmit Data
O
V5
rmii1_txd0
RMII1 Transmit Data
O
V4
rmii0_crs
RMII0 Carrier Sense
I
V7
U7
rmii0_rxer
RMII0 Receive Data Error
I
rmii0_rxd1
RMII0 Receive Data
I
V6
rmii0_rxd0
RMII0 Receive Data
I
U6
rmii0_txen
RMII0 Transmit Data Enable
O
V3
rmii0_txd1
RMII0 Transmit Data
O
Y2
rmii0_txd0
RMII0 Transmit Data
O
W2
mdio_mclk
Management Data Serial Clock
O
AC5 / V1 / B21 / D3
Management Data
IO
AB4 / U4 / B20 / F6
mdio_d
4.4.19 Media Local Bus (MLB) Interface
NOTE
Media Local Bus (MLB) is not available on this device, and must be left unconnected.
Table 4-22. MLB Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
mlbp_sig_p
Media Local Bus (MLB) Subsystem signal differential pair (positive)
IODS
AC1
mlbp_sig_n
Media Local Bus (MLB) Subsystem signal differential pair (negative)
IODS
AC2
mlbp_dat_p
Media Local Bus (MLB) Subsystem data differential pair (positive)
IODS
AA1
mlbp_dat_n
Media Local Bus (MLB) Subsystem data differential pair (negative)
IODS
AA2
mlbp_clk_p
Media Local Bus (MLB) Subsystem clock differential pair (positive)
IOS
AB1
mlbp_clk_n
Media Local Bus (MLB) Subsystem clock differential pair (negative)
IOS
AB2
TYPE
BALL
W6
4.4.20 eMMC/SD/SDIO
NOTE
For more information, see the HS MMC/SDIO section of the device TRM.
Table 4-23. eMMC/SD/SDIO Signal Descriptions
SIGNAL NAME
DESCRIPTION
Multi Media Card 1
mmc1_clk(1)
MMC1 clock
IO
mmc1_cmd
MMC1 command
IO
Y6
mmc1_sdcd
MMC1 Card Detect
I
W7
mmc1_sdwp
MMC1 Write Protect
I
Y9
mmc1_dat0
MMC1 data bit 0
IO
AA6
mmc1_dat1
MMC1 data bit 1
IO
Y4
mmc1_dat2
MMC1 data bit 2
IO
AA5
mmc1_dat3
MMC1 data bit 3
IO
Y3
mmc2_clk(1)
MMC2 clock
IO
J7
mmc2_cmd
MMC2 command
IO
H6
Multi Media Card 2
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
119
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-23. eMMC/SD/SDIO Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL
I
G20
I
G19
IO
J4
mmc2_sdcd
MMC2 Card Detect
mmc2_sdwp
MMC2 Write Protect
mmc2_dat0
MMC2 data bit 0
mmc2_dat1
MMC2 data bit 1
IO
J6
mmc2_dat2
MMC2 data bit 2
IO
H4
mmc2_dat3
MMC2 data bit 3
IO
H5
mmc2_dat4
MMC2 data bit 4
IO
K7
mmc2_dat5
MMC2 data bit 5
IO
M7
mmc2_dat6
MMC2 data bit 6
IO
J5
mmc2_dat7
MMC2 data bit 7
IO
K6
mmc3_clk(1)
MMC3 clock
IO
AD4
mmc3_cmd
MMC3 command
IO
AC4
mmc3_sdcd
MMC3 Card Detect
I
B21
mmc3_sdwp
MMC3 Write Protect
I
B20
mmc3_dat0
MMC3 data bit 0
IO
AC7
mmc3_dat1
MMC3 data bit 1
IO
AC6
mmc3_dat2
MMC3 data bit 2
IO
AC9
mmc3_dat3
MMC3 data bit 3
IO
AC3
mmc3_dat4
MMC3 data bit 4
IO
AC8
mmc3_dat5
MMC3 data bit 5
IO
AD6
mmc3_dat6
MMC3 data bit 6
IO
AB8
mmc3_dat7
MMC3 data bit 7
IO
AB5
mmc4_clk(1)
MMC4 clock
IO
E25
mmc4_cmd
MMC4 command
IO
C27
mmc4_sdcd
MMC4 Card Detect
I
B27
mmc4_sdwp
MMC4 Write Protect
I
C26
mmc4_dat0
MMC4 data bit 0
IO
D28
mmc4_dat1
MMC4 data bit 1
IO
D26
mmc4_dat2
MMC4 data bit 2
IO
D27
mmc4_dat3
MMC4 data bit 3
IO
C28
Multi Media Card 3
Multi Media Card 4
(1) By default, this clock signal is implemented as 'pad loopback' inside the device - the output signal is looped back through the input buffer
to serve as the internal reference signal. mmc1_clk and mmc2_clk have an optional software programmable setting to use an 'internal
loopback clock' instead of the default 'pad loopback clock'. If the 'pad loopback clock' is used, series termination is recommended (as
close to device pin as possible) to improve signal integrity of the clock input. Any nonmonotonicity in voltage that occurs at the pad
loopback clock pin between VIH and VIL must be less than VHYS.
4.4.21 General-Purpose Interface (GPIO)
NOTE
For more information, see the General-Purpose Interface section of the device TRM.
Table 4-24. GPIOs Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
GPIO 1
120
gpio1_0
General-Purpose Input
I
AD17
gpio1_3
General-Purpose Input
I
AC16
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-24. GPIOs Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpio1_4
DESCRIPTION
General-Purpose Input/Output
IO
D15
gpio1_5
General-Purpose Input/Output
IO
A17
gpio1_6
General-Purpose Input/Output
IO
M6
gpio1_7
General-Purpose Input/Output
IO
M2
gpio1_8
General-Purpose Input/Output
IO
L5
gpio1_9
General-Purpose Input/Output
IO
M1
gpio1_10
General-Purpose Input/Output
IO
L6
gpio1_11
General-Purpose Input/Output
IO
L4
gpio1_12
General-Purpose Input/Output
IO
L3
gpio1_13
General-Purpose Input/Output
IO
L2
gpio1_14
General-Purpose Input/Output
IO
G20
gpio1_15
General-Purpose Input/Output
IO
G19
gpio1_16
General-Purpose Input/Output
IO
D27
gpio1_17
General-Purpose Input/Output
IO
C28
gpio1_18
General-Purpose Input/Output
IO
H1
gpio1_19
General-Purpose Input/Output
IO
J3
gpio1_20
General-Purpose Input/Output
IO
H2
gpio1_21
General-Purpose Input/Output
IO
H3
gpio1_22
General-Purpose Input/Output
IO
AC8
gpio1_23
General-Purpose Input/Output
IO
AD6
gpio1_24
General-Purpose Input/Output
IO
AB8
gpio1_25
General-Purpose Input/Output
IO
AB5
gpio1_26
General-Purpose Input/Output
IO
P6
gpio1_27
General-Purpose Input/Output
IO
R9
gpio1_28
General-Purpose Input/Output
IO
R5
gpio1_29
General-Purpose Input/Output
IO
P5
gpio1_30
General-Purpose Input/Output
IO
N7
gpio1_31
General-Purpose Input/Output
IO
R4
gpio2_0
General-Purpose Input/Output
IO
N9
gpio2_1
General-Purpose Input/Output
IO
P9
gpio2_2
General-Purpose Input/Output
IO
P4
gpio2_3
General-Purpose Input/Output
IO
R3
gpio2_4
General-Purpose Input/Output
IO
T2
gpio2_5
General-Purpose Input/Output
IO
U2
gpio2_6
General-Purpose Input/Output
IO
U1
gpio2_7
General-Purpose Input/Output
IO
P3
gpio2_8
General-Purpose Input/Output
IO
R2
gpio2_9
General-Purpose Input/Output
IO
K7
gpio2_10
General-Purpose Input/Output
IO
M7
gpio2_11
General-Purpose Input/Output
IO
J5
gpio2_12
General-Purpose Input/Output
IO
K6
gpio2_13
General-Purpose Input/Output
IO
J7
gpio2_14
General-Purpose Input/Output
IO
J4
gpio2_15
General-Purpose Input/Output
IO
J6
gpio2_16
General-Purpose Input/Output
IO
H4
gpio2_17
General-Purpose Input/Output
IO
H5
GPIO 2
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
121
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-24. GPIOs Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpio2_18
DESCRIPTION
General-Purpose Input/Output
IO
H6
gpio2_19
General-Purpose Input/Output
IO
T1
gpio2_20
General-Purpose Input/Output
IO
P2
gpio2_21
General-Purpose Input/Output
IO
P1
gpio2_22
General-Purpose Input/Output
IO
P7
gpio2_23
General-Purpose Input/Output
IO
N1
gpio2_24
General-Purpose Input/Output
IO
M5
gpio2_25
General-Purpose Input/Output
IO
M3
gpio2_26
General-Purpose Input/Output
IO
N6
gpio2_27
General-Purpose Input/Output
IO
M4
gpio2_28
General-Purpose Input/Output
IO
N2
gpio2_29
General-Purpose Input/Output
IO
B17
gpio3_28
General-Purpose Input/Output
IO
E1
gpio3_29
General-Purpose Input/Output
IO
G2
gpio3_30
General-Purpose Input/Output
IO
H7
gpio3_31
General-Purpose Input/Output
IO
G1
gpio4_0
General-Purpose Input/Output
IO
G6
gpio4_1
General-Purpose Input/Output
IO
F2
gpio4_2
General-Purpose Input/Output
IO
F3
gpio4_3
General-Purpose Input/Output
IO
D1
gpio4_4
General-Purpose Input/Output
IO
E2
gpio4_5
General-Purpose Input/Output
IO
D2
gpio4_6
General-Purpose Input/Output
IO
F4
gpio4_7
General-Purpose Input/Output
IO
C1
gpio4_8
General-Purpose Input/Output
IO
E4
GPIO 3
GPIO 4
122
gpio4_9
General-Purpose Input/Output
IO
F5
gpio4_10
General-Purpose Input/Output
IO
E6
gpio4_11
General-Purpose Input/Output
IO
D3
gpio4_12
General-Purpose Input/Output
IO
F6
gpio4_13
General-Purpose Input/Output
IO
D5
gpio4_14
General-Purpose Input/Output
IO
C2
gpio4_15
General-Purpose Input/Output
IO
C3
gpio4_16
General-Purpose Input/Output
IO
C4
gpio4_17
General-Purpose Input/Output
IO
A12
gpio4_18
General-Purpose Input/Output
IO
E14
gpio4_19
General-Purpose Input/Output
IO
D11
gpio4_20
General-Purpose Input/Output
IO
B10
gpio4_21
General-Purpose Input/Output
IO
B11
gpio4_22
General-Purpose Input/Output
IO
C11
gpio4_23
General-Purpose Input/Output
IO
E11
gpio4_24
General-Purpose Input/Output
IO
B2
gpio4_25
General-Purpose Input/Output
IO
D6
gpio4_26
General-Purpose Input/Output
IO
C5
gpio4_27
General-Purpose Input/Output
IO
A3
gpio4_28
General-Purpose Input/Output
IO
B3
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-24. GPIOs Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpio4_29
DESCRIPTION
General-Purpose Input/Output
IO
B4
gpio4_30
General-Purpose Input/Output
IO
B5
gpio4_31
General-Purpose Input/Output
IO
A4
gpio5_0
General-Purpose Input/Output
IO
B14
gpio5_1
General-Purpose Input/Output
IO
J14
gpio5_2
General-Purpose Input/Output
IO
G12
gpio5_3
General-Purpose Input/Output
IO
F12
gpio5_4
General-Purpose Input/Output
IO
G13
gpio5_5
General-Purpose Input/Output
IO
J11
gpio5_6
General-Purpose Input/Output
IO
E12
gpio5_7
General-Purpose Input/Output
IO
F13
gpio5_8
General-Purpose Input/Output
IO
C12
gpio5_9
General-Purpose Input/Output
IO
D12
gpio5_10
General-Purpose Input/Output
IO
B12
gpio5_11
General-Purpose Input/Output
IO
A11
gpio5_12
General-Purpose Input/Output
IO
B13
gpio5_13
General-Purpose Input/Output
IO
B18
gpio5_14
General-Purpose Input/Output
IO
F15
gpio5_15
General-Purpose Input/Output
IO
V1
gpio5_16
General-Purpose Input/Output
IO
U4
gpio5_17
General-Purpose Input/Output
IO
U3
gpio5_18
General-Purpose Input/Output
IO
V2
gpio5_19
General-Purpose Input/Output
IO
Y1
gpio5_20
General-Purpose Input/Output
IO
W9
gpio5_21
General-Purpose Input/Output
IO
V9
gpio5_22
General-Purpose Input/Output
IO
V7
gpio5_23
General-Purpose Input/Output
IO
U7
gpio5_24
General-Purpose Input/Output
IO
V6
gpio5_25
General-Purpose Input/Output
IO
U6
gpio5_26
General-Purpose Input/Output
IO
U5
gpio5_27
General-Purpose Input/Output
IO
V5
gpio5_28
General-Purpose Input/Output
IO
V4
gpio5_29
General-Purpose Input/Output
IO
V3
gpio5_30
General-Purpose Input/Output
IO
Y2
gpio5_31
General-Purpose Input/Output
IO
W2
gpio6_4
General-Purpose Input/Output
IO
A13
gpio6_5
General-Purpose Input/Output
IO
G14
gpio6_6
General-Purpose Input/Output
IO
F14
gpio6_7
General-Purpose Input/Output
IO
B16
gpio6_8
General-Purpose Input/Output
IO
C15
gpio6_9
General-Purpose Input/Output
IO
A16
gpio6_10
General-Purpose Input/Output
IO
AC5
gpio6_11
General-Purpose Input/Output
IO
AB4
gpio6_12
General-Purpose Input/Output
IO
AB10
gpio6_13
General-Purpose Input/Output
IO
AC10
GPIO 5
GPIO 6
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
123
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-24. GPIOs Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpio6_14
DESCRIPTION
General-Purpose Input/Output
IO
E21
gpio6_15
General-Purpose Input/Output
IO
F20
gpio6_16
General-Purpose Input/Output
IO
F21
gpio6_17
General-Purpose Input/Output
IO
D18
gpio6_18
General-Purpose Input/Output
IO
E17
gpio6_19
General-Purpose Input/Output
IO
B26
gpio6_20
General-Purpose Input/Output
IO
C23
gpio6_21
General-Purpose Input/Output
IO
W6
gpio6_22
General-Purpose Input/Output
IO
Y6
gpio6_23
General-Purpose Input/Output
IO
AA6
gpio6_24
General-Purpose Input/Output
IO
Y4
gpio6_25
General-Purpose Input/Output
IO
AA5
gpio6_26
General-Purpose Input/Output
IO
Y3
gpio6_27
General-Purpose Input/Output
IO
W7
gpio6_28
General-Purpose Input/Output
IO
Y9
gpio6_29
General-Purpose Input/Output
IO
AD4
gpio6_30
General-Purpose Input/Output
IO
AC4
gpio6_31
General-Purpose Input/Output
IO
AC7
gpio7_0
General-Purpose Input/Output
IO
AC6
gpio7_1
General-Purpose Input/Output
IO
AC9
gpio7_2
General-Purpose Input/Output
IO
AC3
gpio7_3
General-Purpose Input/Output
IO
R6
gpio7_4
General-Purpose Input/Output
IO
T9
gpio7_5
General-Purpose Input/Output
IO
T6
gpio7_6
General-Purpose Input/Output
IO
T7
gpio7_7
General-Purpose Input/Output
IO
A25
gpio7_8
General-Purpose Input/Output
IO
F16
gpio7_9
General-Purpose Input/Output
IO
B25
gpio7_10
General-Purpose Input/Output
IO
A24
gpio7_11
General-Purpose Input/Output
IO
A22
gpio7_12
General-Purpose Input/Output
IO
B21
gpio7_13
General-Purpose Input/Output
IO
B20
gpio7_14
General-Purpose Input/Output
IO
A26
gpio7_15
General-Purpose Input/Output
IO
B22
gpio7_16
General-Purpose Input/Output
IO
G17
gpio7_17
General-Purpose Input/Output
IO
B24
gpio7_18
General-Purpose Input/Output
IO
L1
gpio7_19
General-Purpose Input/Output
IO
K2
gpio7_22
General-Purpose Input/Output
IO
B27
gpio7_23
General-Purpose Input/Output
IO
C26
gpio7_24
General-Purpose Input/Output
IO
E25
gpio7_25
General-Purpose Input/Output
IO
C27
gpio7_26
General-Purpose Input/Output
IO
D28
gpio7_27
General-Purpose Input/Output
IO
D26
gpio7_28
General-Purpose Input/Output
IO
J1
gpio7_29
General-Purpose Input/Output
IO
J2
GPIO 7
124
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-24. GPIOs Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
gpio7_30
DESCRIPTION
General-Purpose Input/Output
IO
D14
gpio7_31
General-Purpose Input/Output
IO
C14
gpio8_0
General-Purpose Input/Output
IO
F11
gpio8_1
General-Purpose Input/Output
IO
G10
gpio8_2
General-Purpose Input/Output
IO
F10
gpio8_3
General-Purpose Input/Output
IO
G11
gpio8_4
General-Purpose Input/Output
IO
E9
gpio8_5
General-Purpose Input/Output
IO
F9
gpio8_6
General-Purpose Input/Output
IO
F8
gpio8_7
General-Purpose Input/Output
IO
E7
gpio8_8
General-Purpose Input/Output
IO
E8
gpio8_9
General-Purpose Input/Output
IO
D9
gpio8_10
General-Purpose Input/Output
IO
D7
gpio8_11
General-Purpose Input/Output
IO
D8
gpio8_12
General-Purpose Input/Output
IO
A5
gpio8_13
General-Purpose Input/Output
IO
C6
gpio8_14
General-Purpose Input/Output
IO
C8
gpio8_15
General-Purpose Input/Output
IO
C7
gpio8_16
General-Purpose Input/Output
IO
B7
gpio8_17
General-Purpose Input/Output
IO
B8
gpio8_18
General-Purpose Input/Output
IO
A7
gpio8_19
General-Purpose Input/Output
IO
A8
gpio8_20
General-Purpose Input/Output
IO
C9
gpio8_21
General-Purpose Input/Output
IO
A9
gpio8_22
General-Purpose Input/Output
IO
B9
gpio8_23
General-Purpose Input/Output
IO
A10
gpio8_27
General-Purpose Input
I
D23
gpio8_28
General-Purpose Input/Output
IO
F19
gpio8_29
General-Purpose Input/Output
IO
E18
gpio8_30
General-Purpose Input/Output
IO
G21
gpio8_31
General-Purpose Input/Output
IO
D24
GPIO 8
4.4.22 Keyboard controller (KBD)
NOTE
For more information, see Keyboard Controller section of the device TRM.
Table 4-25. Keyboard Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
kbd_row0
Keypad row 0
I
E1
kbd_row1
Keypad row 1
I
G2
kbd_row2
Keypad row 2
I
G1
kbd_row3
Keypad row 3
I
G6
kbd_row4
Keypad row 4
I
F2
kbd_row5
Keypad row 5
I
F3
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
125
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-25. Keyboard Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
kbd_row6
DESCRIPTION
Keypad row 6
I
D1
kbd_row7
Keypad row 7
I
F6
kbd_row8
Keypad row 8
I
C2
kbd_col0
Keypad column 0
O
E2
kbd_col1
Keypad column 1
O
D2
kbd_col2
Keypad column 2
O
F4
kbd_col3
Keypad column 3
O
C1
kbd_col4
Keypad column 4
O
E4
kbd_col5
Keypad column 5
O
F5
kbd_col6
Keypad column 6
O
E6
kbd_col7
Keypad column 7
O
D3
kbd_col8
Keypad column 8
O
D5
4.4.23 Pulse Width Modulation (PWM) Interface
NOTE
For more information, see the Pulse-Width Modulation (PWM) SS section of the device TRM.
Table 4-26. PWM Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
PWMSS1
eQEP1A_in
EQEP1 Quadrature Input A
I
E1
eQEP1B_in
EQEP1 Quadrature Input B
I
G2
eQEP1_index
EQEP1 Index Input
IO
H7
eQEP1_strobe
EQEP1 Strobe Input
IO
G1
ehrpwm1A
EHRPWM1 Output A
O
G6
ehrpwm1B
EHRPWM1 Output B
O
F2
EHRPWM1 Trip Zone Input
IO
F3
IO
D1
ehrpwm1_tripzone_in
put
eCAP1_in_PWM1_out ECAP1 Capture Iniput / PWM Output
ehrpwm1_synci
EHRPWM1 Sync Input
I
E2
ehrpwm1_synco
EHRPWM1 Sync Output
O
D2
eQEP2A_in
EQEP2 Quadrature Input A
I
F4
eQEP2B_in
EQEP2 Quadrature Input B
I
C1
E4
PWMSS2
eQEP2_index
EQEP2 Index Input
IO
eQEP2_strobe
EQEP2 Strobe Input
IO
F5
ehrpwm2A
EHRPWM2 Output A
O
AC5 / E6
ehrpwm2B
EHRPWM2 Output B
O
AB4 / D3
EHRPWM2 Trip Zone Input
IO
AD4 / F6
IO
AC4 / D5
ehrpwm2_tripzone_in
put
eCAP2_in_PWM2_out ECAP2 Capture Iniput / PWM Output
PWMSS3
126
eQEP3A_in
EQEP3 Quadrature Input A
I
AC7 / C2
eQEP3B_in
EQEP3 Quadrature Input B
I
AC6 / C3
eQEP3_index
EQEP3 Index Input
IO
AC9 / C4
eQEP3_strobe
EQEP3 Strobe Input
IO
AC3 / B2
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-26. PWM Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL
O
AC8 / D6
EHRPWM3 Output B
O
AD6 / C5
EHRPWM3 Trip Zone Input
IO
AB8 / A3
IO
AB5 / B3
ehrpwm3A
EHRPWM3 Output A
ehrpwm3B
ehrpwm3_tripzone_in
put
eCAP3_in_PWM3_out ECAP3 Capture Iniput / PWM Output
4.4.24 Programmable Real-Time Unit Subsystem and Industrial Communication
Subsystem (PRU-ICSS)
CAUTION
The I/O timing provided in Section 7, Timing Requirements and Switching
Characteristics are valid only if signals within a single IOSET are used. The
IOSETs are defined in the Table 7-150 and Table 7-151.
NOTE
For more information see the Programmable Real-Time Unit Subsystem and Industrial
Communication Subsystem section of the device TRM.
Table 4-27. PRU-ICSS Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL BOTTOM
PRU-ICSS 1
pr1_pru1_gpi0
PRU1 General-Purpose Input
I
E2
pr1_pru1_gpi1
PRU1 General-Purpose Input
I
D2
pr1_pru1_gpi10
PRU1 General-Purpose Input
I
C2
pr1_pru1_gpi11
PRU1 General-Purpose Input
I
C3
pr1_pru1_gpi12
PRU1 General-Purpose Input
I
C4
pr1_pru1_gpi13
PRU1 General-Purpose Input
I
B2
pr1_pru1_gpi14
PRU1 General-Purpose Input
I
D6
pr1_pru1_gpi15
PRU1 General-Purpose Input
I
C5
pr1_pru1_gpi16
PRU1 General-Purpose Input
I
A3
pr1_pru1_gpi17
PRU1 General-Purpose Input
I
B3
pr1_pru1_gpi18
PRU1 General-Purpose Input
I
B4
pr1_pru1_gpi19
PRU1 General-Purpose Input
I
B5
pr1_pru1_gpi2
PRU1 General-Purpose Input
I
F4
pr1_pru1_gpi20
PRU1 General-Purpose Input
I
A4
pr1_pru1_gpi3
PRU1 General-Purpose Input
I
C1
pr1_pru1_gpi4
PRU1 General-Purpose Input
I
E4
pr1_pru1_gpi5
PRU1 General-Purpose Input
I
F5
pr1_pru1_gpi6
PRU1 General-Purpose Input
I
E6
pr1_pru1_gpi7
PRU1 General-Purpose Input
I
D3
pr1_pru1_gpi8
PRU1 General-Purpose Input
I
F6
pr1_pru1_gpi9
PRU1 General-Purpose Input
I
D5
pr1_pru1_gpo0
PRU1 General-Purpose Output
O
E2
pr1_pru1_gpo1
PRU1 General-Purpose Output
O
D2
pr1_pru1_gpo10
PRU1 General-Purpose Output
O
C2
pr1_pru1_gpo11
PRU1 General-Purpose Output
O
C3
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
127
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-27. PRU-ICSS Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL BOTTOM
pr1_pru1_gpo12
PRU1 General-Purpose Output
O
C4
pr1_pru1_gpo13
PRU1 General-Purpose Output
O
B2
pr1_pru1_gpo14
PRU1 General-Purpose Output
O
D6
pr1_pru1_gpo15
PRU1 General-Purpose Output
O
C5
pr1_pru1_gpo16
PRU1 General-Purpose Output
O
A3
pr1_pru1_gpo17
PRU1 General-Purpose Output
O
B3
pr1_pru1_gpo18
PRU1 General-Purpose Output
O
B4
pr1_pru1_gpo19
PRU1 General-Purpose Output
O
B5
pr1_pru1_gpo2
PRU1 General-Purpose Output
O
F4
pr1_pru1_gpo20
PRU1 General-Purpose Output
O
A4
pr1_pru1_gpo3
PRU1 General-Purpose Output
O
C1
pr1_pru1_gpo4
PRU1 General-Purpose Output
O
E4
pr1_pru1_gpo5
PRU1 General-Purpose Output
O
F5
pr1_pru1_gpo6
PRU1 General-Purpose Output
O
E6
pr1_pru1_gpo7
PRU1 General-Purpose Output
O
D3
pr1_pru1_gpo8
PRU1 General-Purpose Output
O
F6
pr1_pru1_gpo9
PRU1 General-Purpose Output
O
D5
pr1_edc_latch0_in
Latch Input 0
I
E2
pr1_edc_sync0_out
SYNC 0 Output
O
D2
pr1_edio_data_in0
Ethernet Digital Input
I
E1
pr1_edio_data_in1
Ethernet Digital Input
I
G2
pr1_edio_data_in2
Ethernet Digital Input
I
H7
pr1_edio_data_in3
Ethernet Digital Input
I
G1
pr1_edio_data_in4
Ethernet Digital Input
I
G6
pr1_edio_data_in5
Ethernet Digital Input
I
F2
pr1_edio_data_in6
Ethernet Digital Input
I
F3
pr1_edio_data_in7
Ethernet Digital Input
I
D1
pr1_edio_data_out0
Ethernet Digital Output
O
E1
pr1_edio_data_out1
Ethernet Digital Output
O
G2
pr1_edio_data_out2
Ethernet Digital Output
O
H7
pr1_edio_data_out3
Ethernet Digital Output
O
G1
pr1_edio_data_out4
Ethernet Digital Output
O
G6
pr1_edio_data_out5
Ethernet Digital Output
O
F2
pr1_edio_data_out6
Ethernet Digital Output
O
F3
pr1_edio_data_out7
Ethernet Digital Output
O
D1
Start Of Frame
O
F4
pr1_mdio_data
MDIO Data
IO
F6
pr1_mdio_mdclk
pr1_edio_sof
128
MDIO Clock
O
D3
pr1_mii0_col
MII0 Collision Detect
I
V1
pr1_mii0_crs
MII0 Carrier Sense
I
V7
pr1_mii0_rxd0
MII0 Receive Data
I
U6
pr1_mii0_rxd1
MII0 Receive Data
I
V6
pr1_mii0_rxd2
MII0 Receive Data
I
V9
pr1_mii0_rxd3
MII0 Receive Data
I
W9
pr1_mii0_rxdv
MII0 Data Valid
I
V2
pr1_mii0_rxer
MII0 Receive Error
I
U7
pr1_mii0_rxlink
MII0 Receive Link
I
U4
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-27. PRU-ICSS Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL BOTTOM
pr1_mii0_txd0
DESCRIPTION
MII0 Transmit Data
O
W2
pr1_mii0_txd1
MII0 Transmit Data
O
Y2
pr1_mii0_txd2
MII0 Transmit Data
O
V4
pr1_mii0_txd3
MII0 Transmit Data
O
V5
pr1_mii0_txen
V3
MII0 Transmit Enable
O
pr1_mii_mr0_clk
MII0 Receive Clock
I
Y1
pr1_mii_mt0_clk
MII0 Transmit Clock
I
U5
pr1_mii1_col
MII1 Collision Detect
I
B5
pr1_mii1_crs
MII1 Carrier Sense
I
A4
pr1_mii1_rxd0
MII1 Receive Data
I
A3
pr1_mii1_rxd1
MII1 Receive Data
I
C5
pr1_mii1_rxd2
MII1 Receive Data
I
D6
pr1_mii1_rxd3
MII1 Receive Data
I
B2
pr1_mii1_rxdv
MII1 Data Valid
I
C4
B3
pr1_mii1_rxer
MII1 Receive Error
I
pr1_mii1_rxlink
MII1 Receive Link
I
B4
pr1_mii1_txd0
MII1 Transmit Data
O
C2
pr1_mii1_txd1
MII1 Transmit Data
O
D5
pr1_mii1_txd2
MII1 Transmit Data
O
E6
pr1_mii1_txd3
MII1 Transmit Data
O
F5
pr1_mii1_txen
MII1 Transmit Enable
O
E4
pr1_mii_mr1_clk
MII1 Receive Clock
I
C3
pr1_mii_mt1_clk
MII1 Transmit Clock
I
C1
pr1_uart0_cts_n
UART Clear-To-Send
I
F11, G1
pr1_uart0_rts_n
G10, G6
UART Ready-To-Send
O
pr1_uart0_rxd
UART Receive Data
I
F10, F2
pr1_uart0_txd
UART Transmit Data
O
F3, G11
IO
D1, E9
AC5, G11
pr1_ecap0_ecap_capin_apwm_ Capture Input / PWM output
o
PRU-ICSS 2
pr2_pru0_gpi0
PRU0 General-Purpose Input
I
pr2_pru0_gpi1
PRU0 General-Purpose Input
I
AB4, E9
pr2_pru0_gpi10
PRU0 General-Purpose Input
I
AB8, C6
pr2_pru0_gpi11
PRU0 General-Purpose Input
I
AB5, C8
pr2_pru0_gpi12
PRU0 General-Purpose Input
I
B18, C7
pr2_pru0_gpi13
PRU0 General-Purpose Input
I
B7, F15
pr2_pru0_gpi14
PRU0 General-Purpose Input
I
B19, B8
pr2_pru0_gpi15
PRU0 General-Purpose Input
I
A7, C17
pr2_pru0_gpi16
PRU0 General-Purpose Input
I
A8, C15
pr2_pru0_gpi17
PRU0 General-Purpose Input
I
A16, C9
pr2_pru0_gpi18
PRU0 General-Purpose Input
I
A19, A9
pr2_pru0_gpi19
PRU0 General-Purpose Input
I
A18, B9
pr2_pru0_gpi2
PRU0 General-Purpose Input
I
AD4, F9
pr2_pru0_gpi20
PRU0 General-Purpose Input
I
A10, F14
pr2_pru0_gpi3
PRU0 General-Purpose Input
I
AC4, F8
pr2_pru0_gpi4
PRU0 General-Purpose Input
I
AC7, E7
pr2_pru0_gpi5
PRU0 General-Purpose Input
I
AC6, E8
pr2_pru0_gpi6
PRU0 General-Purpose Input
I
AC9, D9
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
129
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-27. PRU-ICSS Signal Descriptions (continued)
130
SIGNAL NAME
DESCRIPTION
TYPE
BALL BOTTOM
pr2_pru0_gpi7
PRU0 General-Purpose Input
I
AC3, D7
pr2_pru0_gpi8
PRU0 General-Purpose Input
I
AC8, D8
pr2_pru0_gpi9
PRU0 General-Purpose Input
I
A5, AD6
pr2_pru1_gpi0
PRU1 General-Purpose Input
I
D17, V1
pr2_pru1_gpi1
PRU1 General-Purpose Input
I
AA3, U4
pr2_pru1_gpi10
PRU1 General-Purpose Input
I
B12, U6
pr2_pru1_gpi11
PRU1 General-Purpose Input
I
A11, U5
pr2_pru1_gpi12
PRU1 General-Purpose Input
I
B13, V5
pr2_pru1_gpi13
PRU1 General-Purpose Input
I
A12, V4
pr2_pru1_gpi14
PRU1 General-Purpose Input
I
E14, V3
pr2_pru1_gpi15
PRU1 General-Purpose Input
I
A13, Y2
pr2_pru1_gpi16
PRU1 General-Purpose Input
I
G14, W2
pr2_pru1_gpi17
PRU1 General-Purpose Input
I
E11
pr2_pru1_gpi18
PRU1 General-Purpose Input
I
F11
pr2_pru1_gpi19
PRU1 General-Purpose Input
I
G10
pr2_pru1_gpi2
PRU1 General-Purpose Input
I
AB9, U3
pr2_pru1_gpi20
PRU1 General-Purpose Input
I
F10
pr2_pru1_gpi3
PRU1 General-Purpose Input
I
AB3, V2
pr2_pru1_gpi4
PRU1 General-Purpose Input
I
AA4, Y1
pr2_pru1_gpi5
PRU1 General-Purpose Input
I
D18, W9
pr2_pru1_gpi6
PRU1 General-Purpose Input
I
E17, V9
pr2_pru1_gpi7
PRU1 General-Purpose Input
I
C14, V7
pr2_pru1_gpi8
PRU1 General-Purpose Input
I
G12, U7
pr2_pru1_gpi9
PRU1 General-Purpose Input
I
F12, V6
pr2_pru0_gpo0
PRU0 General-Purpose Output
O
AC5, G11
pr2_pru0_gpo1
PRU0 General-Purpose Output
O
AB4, E9
pr2_pru0_gpo10
PRU0 General-Purpose Output
O
AB8, C6
pr2_pru0_gpo11
PRU0 General-Purpose Output
O
AB5, C8
pr2_pru0_gpo12
PRU0 General-Purpose Output
O
B18, C7
pr2_pru0_gpo13
PRU0 General-Purpose Output
O
B7, F15
pr2_pru0_gpo14
PRU0 General-Purpose Output
O
B19, B8
pr2_pru0_gpo15
PRU0 General-Purpose Output
O
A7, C17
pr2_pru0_gpo16
PRU0 General-Purpose Output
O
A8, C15
pr2_pru0_gpo17
PRU0 General-Purpose Output
O
A16, C9
pr2_pru0_gpo18
PRU0 General-Purpose Output
O
A19, A9
pr2_pru0_gpo19
PRU0 General-Purpose Output
O
A18, B9
pr2_pru0_gpo2
PRU0 General-Purpose Output
O
AD4, F9
pr2_pru0_gpo20
PRU0 General-Purpose Output
O
A10, F14
pr2_pru0_gpo3
PRU0 General-Purpose Output
O
AC4, F8
pr2_pru0_gpo4
PRU0 General-Purpose Output
O
AC7, E7
pr2_pru0_gpo5
PRU0 General-Purpose Output
O
AC6, E8
pr2_pru0_gpo6
PRU0 General-Purpose Output
O
AC9, D9
pr2_pru0_gpo7
PRU0 General-Purpose Output
O
AC3, D7
pr2_pru0_gpo8
PRU0 General-Purpose Output
O
AC8, D8
pr2_pru0_gpo9
PRU0 General-Purpose Output
O
A5, AD6
pr2_pru1_gpo0
PRU1 General-Purpose Output
O
D17, V1
pr2_pru1_gpo1
PRU1 General-Purpose Output
O
AA3, U4
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-27. PRU-ICSS Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL BOTTOM
pr2_pru1_gpo10
PRU1 General-Purpose Output
O
B12, U6
pr2_pru1_gpo11
PRU1 General-Purpose Output
O
A11, U5
pr2_pru1_gpo12
PRU1 General-Purpose Output
O
B13, V5
pr2_pru1_gpo13
PRU1 General-Purpose Output
O
A12, V4
pr2_pru1_gpo14
PRU1 General-Purpose Output
O
E14, V3
pr2_pru1_gpo15
PRU1 General-Purpose Output
O
A13, Y2
pr2_pru1_gpo16
PRU1 General-Purpose Output
O
G14, W2
pr2_pru1_gpo17
PRU1 General-Purpose Output
O
E11
pr2_pru1_gpo18
PRU1 General-Purpose Output
O
F11
pr2_pru1_gpo19
PRU1 General-Purpose Output
O
G10
pr2_pru1_gpo2
PRU1 General-Purpose Output
O
AB9, U3
pr2_pru1_gpo20
PRU1 General-Purpose Output
O
F10
pr2_pru1_gpo3
PRU1 General-Purpose Output
O
AB3, V2
pr2_pru1_gpo4
PRU1 General-Purpose Output
O
AA4, Y1
pr2_pru1_gpo5
PRU1 General-Purpose Output
O
D18, W9
pr2_pru1_gpo6
PRU1 General-Purpose Output
O
E17, V9
pr2_pru1_gpo7
PRU1 General-Purpose Output
O
C14, V7
pr2_pru1_gpo8
PRU1 General-Purpose Output
O
G12, U7
pr2_pru1_gpo9
PRU1 General-Purpose Output
O
F12, V6
pr2_edc_latch0_in
Latch Input 0
I
F9
pr2_edc_latch1_in
Latch Input 1
I
F8
pr2_edc_sync0_out
SYNC 0 Output
O
E7
pr2_edc_sync1_out
SYNC 1 Output
O
E8
pr2_edio_data_in0
Ethernet Digital Input
I
B7
pr2_edio_data_in1
Ethernet Digital Input
I
B8
pr2_edio_data_in2
Ethernet Digital Input
I
A7
pr2_edio_data_in3
Ethernet Digital Input
I
A8
pr2_edio_data_in4
Ethernet Digital Input
I
C9
pr2_edio_data_in5
Ethernet Digital Input
I
A9
pr2_edio_data_in6
Ethernet Digital Input
I
B9
pr2_edio_data_in7
Ethernet Digital Input
I
A10
pr2_edio_data_out0
Ethernet Digital Output
O
B7
pr2_edio_data_out1
Ethernet Digital Output
O
B8
pr2_edio_data_out2
Ethernet Digital Output
O
A7
pr2_edio_data_out3
Ethernet Digital Output
O
A8
pr2_edio_data_out4
Ethernet Digital Output
O
C9
pr2_edio_data_out5
Ethernet Digital Output
O
A9
pr2_edio_data_out6
Ethernet Digital Output
O
B9
pr2_edio_data_out7
Ethernet Digital Output
O
A10
Latch Input
I
D9
Start Of Frame
O
D7
pr2_mdio_data
MDIO Data
IO
AA4, D14
pr2_mdio_mdclk
MDIO Clock
O
AB3, C14
pr2_mii0_col
MII0 Collision Detect
I
F15
pr2_edio_latch_in
pr2_edio_sof
pr2_mii0_crs
MII0 Carrier Sense
I
B18
pr2_mii0_rxd0
MII0 Receive Data
I
C15
pr2_mii0_rxd1
MII0 Receive Data
I
A18
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
131
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-27. PRU-ICSS Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL BOTTOM
pr2_mii0_rxd2
DESCRIPTION
MII0 Receive Data
I
A19
pr2_mii0_rxd3
MII0 Receive Data
I
F14
pr2_mii0_rxdv
MII0 Data Valid
I
G14
pr2_mii0_rxer
MII0 Receive Error
I
G12
pr2_mii0_rxlink
MII0 Receive Link
I
A16
pr2_mii0_txd0
MII0 Transmit Data
O
E14
pr2_mii0_txd1
MII0 Transmit Data
O
A12
pr2_mii0_txd2
MII0 Transmit Data
O
B13
pr2_mii0_txd3
MII0 Transmit Data
O
A11
pr2_mii0_txen
MII0 Transmit Enable
O
B12
pr2_mii_mr0_clk
MII0 Receive Clock
I
A13
pr2_mii_mt0_clk
MII0 Transmit Clock
I
F12
pr2_mii1_col
MII1 Collision Detect
I
D18
pr2_mii1_crs
MII1 Carrier Sense
I
E17
pr2_mii1_rxd0
MII1 Receive Data
I
AB5
pr2_mii1_rxd1
MII1 Receive Data
I
AB8
pr2_mii1_rxd2
MII1 Receive Data
I
AD6
pr2_mii1_rxd3
MII1 Receive Data
I
AC8
pr2_mii1_rxdv
MII1 Data Valid
I
AC3
pr2_mii1_rxer
MII1 Receive Error
I
B19
pr2_mii1_rxlink
MII1 Receive Link
I
C17
pr2_mii1_txd0
MII1 Transmit Data
O
AC6
pr2_mii1_txd1
MII1 Transmit Data
O
AC7
pr2_mii1_txd2
MII1 Transmit Data
O
AC4
pr2_mii1_txd3
MII1 Transmit Data
O
AD4
pr2_mii1_txen
MII1 Transmit Enable
O
AB4
pr2_mii_mr1_clk
MII1 Receive Clock
I
AC9
pr2_mii_mt1_clk
MII1 Transmit Clock
I
AC5
pr2_uart0_cts_n
UART Clear-To-Send
I
D8
pr2_uart0_rts_n
UART Ready-To-Send
O
A5
pr2_uart0_rxd
UART Receive Data
I
C6
pr2_uart0_txd
UART Transmit Data
O
C8
IO
C7
pr2_ecap0_ecap_capin_apwm_ Capture Input / PWM output
o
NOTE
PRU-ICSS has an internal wrapper multiplexing that allows MII_RT, EnDAT, and Sigma
Delta functionality to be muxed with the PRU GPIO signals. See PRU-ICSS IO Interface in
device TRM. Additionally, the EGPIO module can also be configured to export additional
functions to EGPIO pins in place of simple GPIO. See Enhanced General-Purpose
Module/Serial Capture Unit in device TRM.
132
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
4.4.25 Test Interfaces
CAUTION
The I/O timing provided in Section 7, Timing Requirements and Switching
Characteristics are valid only if signals within a single IOSET are used. The
IOSETs are defined in Table 7-176.
NOTE
For more information, see the On-Chip Debug Support / Debug Ports section of the device
TRM.
Table 4-28. Debug Signal Descriptions
SIGNAL NAME
DESCRIPTION
tms
JTAG test port mode select. An external pullup resistor should be used on this
ball.
TYPE
BALL
IO
F18
D23
tdi
JTAG test data
I
tdo
JTAG test port data
O
F19
tclk
JTAG test clock
I
E20
trstn
JTAG test reset
I
D20
rtck
JTAG return clock
O
E18
emu0
Emulator pin 0
IO
G21
emu1
Emulator pin 1
IO
D24
emu2
Emulator pin 2
O
F10
emu3
Emulator pin 3
O
D7
emu4
Emulator pin 4
O
A7
emu5
Emulator pin 5
O
E1 / G11
emu6
Emulator pin 6
O
G2 / E9
emu7
Emulator pin 7
O
H7 / F9
emu8
Emulator pin 8
O
G1 / F8
emu9
Emulator pin 9
O
G6 / E7
emu10
Emulator pin 10
O
F2 / D8
emu11
Emulator pin 11
O
F3 / A5
emu12
Emulator pin 12
O
D1 / C6
emu13
Emulator pin 13
O
E2 / C8
emu14
Emulator pin 14
O
D2 / C7
emu15
Emulator pin 15
O
F4 / A8
emu16
Emulator pin 16
O
C1 / C9
emu17
Emulator pin 17
O
E4 / A9
emu18
Emulator pin 18
O
F5 / B9
emu19
Emulator pin 19
O
E6 / A10
4.4.26 System and Miscellaneous
4.4.26.1 Sysboot
NOTE
For more information, see the Initialization (ROM Code) section of the device TRM.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
133
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-29. Sysboot Signal Descriptions
SIGNAL NAME
TYPE
BALL
sysboot0
DESCRIPTION
Boot Mode Configuration 0. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
M6
sysboot1
Boot Mode Configuration 1. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
M2
sysboot2
Boot Mode Configuration 2. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L5
sysboot3
Boot Mode Configuration 3. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
M1
sysboot4
Boot Mode Configuration 4. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L6
sysboot5
Boot Mode Configuration 5. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L4
sysboot6
Boot Mode Configuration 6. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L3
sysboot7
Boot Mode Configuration 7. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L2
sysboot8
Boot Mode Configuration 8. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
L1
sysboot9
Boot Mode Configuration 9. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
K2
sysboot10
Boot Mode Configuration 10. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
J1
sysboot11
Boot Mode Configuration 11. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
J2
sysboot12
Boot Mode Configuration 12. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
H1
sysboot13
Boot Mode Configuration 13. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
J3
sysboot14
Boot Mode Configuration 14. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
H2
sysboot15
Boot Mode Configuration 15. The value latched on this pin upon porz reset release
will determine the boot mode configuration of the device.
I
H3
TYPE
BALL
4.4.26.2 Power, Reset, and Clock Management (PRCM)
NOTE
For more information, see PRCM section of the device TRM.
Table 4-30. PRCM Signal Descriptions
SIGNAL NAME
clkout1
Device Clock output 1. Can be used externally for devices with non-critical timing
requirements, or for debug, or as a reference clock on GPMC as described in
Table 7-22 GPMC/NOR Flash Interface Switching Characteristics - Synchronous
Mode - 1 Load and Table 7-24 GPMC/NOR Flash Interface Switching
Characteristics - Synchronous Mode - 5 Loads.
O
F21 / P7
clkout2
Device Clock output 2. Can be used externally for devices with non-critical timing
requirements, or for debug.
O
D18 / N1
clkout3
Device Clock output 3. Can be used externally for devices with non-critical timing
requirements, or for debug.
O
C23
rstoutn
Reset out (Active low).This pin asserts low in response to any global reset
condition on the device.(2)
O
F23
resetn
Device Reset Input
I
E23
Power on Reset (active low).This pin must be asserted low until all device
supplies are valid (see reset sequence/requirements)
I
F22
porz
134
DESCRIPTION
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-30. PRCM Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
xref_clk0
DESCRIPTION
External Reference Clock 0. For Audio and other Peripherals.
I
D18
xref_clk1
External Reference Clock 1. For Audio and other Peripherals.
I
E17
xref_clk2
External Reference Clock 2. For Audio and other Peripherals.
I
B26
xref_clk3
External Reference Clock 3. For Audio and other Peripherals.
I
C23
xi_osc0
System Oscillator OSC0 Crystal input / LVCMOS clock input.Functions as the
input connection to a crystal when the internal oscillator OSC0 is used. Functions
as an LVCMOS-compatible input clock when an external oscillator is used.
I
AE15
xo_osc0
System Oscillator OSC0 Crystal output
O
AD15
xi_osc1
Auxiliary Oscillator OSC1 Crystal input / LVCMOS clock input.Functions as the
input connection to a crystal when the internal oscillator OSC1 is used. Functions
as an LVCMOS-compatible input clock when an external oscillator is used
I
AC15
xo_osc1
Auxiliary Oscillator OSC1 Crystal output
O
AC13
IO
U3
RMII_MHZ_50_CL RMII Reference Clock (50MHz).This pin is an input when external reference is
K(1)
used or output when internal reference is used.
(1) This clock signal is implemented as 'pad loopback' inside the device - the output signal is looped back through the input buffer to serve
as the internal reference signal. Series termination is recommended (as close to device pin as possible) to improve signal integrity of the
clock input. Any nonmonotonicity in voltage that occurs at the pad loopback clock pin between VIH and VIL must be less than VHYS.
(2) Note that rstoutn is only valid after vddshv3 is valid. If the rstoutn signal will be used as a reset into other devices attached to the SOC, it
must be AND'ed with porz. This will prevent glitches occurring during supply ramping being propagated.
4.4.26.3 Real-Time Clock (RTC) Interface
NOTE
For more information, see the Real-Time Clock (RTC) chapter of the device TRM.
NOTE
RTC only mode is not supported feature.
Table 4-31. RTC Signal Descriptions
SIGNAL NAME
DESCRIPTION
TYPE
BALL
Wakeup0
RTC External Wakeup Input 0
I
AD17
Wakeup3
RTC External Wakeup Input 3
I
AC16
rtc_porz
RTC Power Domain Power-On Reset Input
I
AB17
RTC Oscillator Input. Crystal connection to internal RTC oscillator. Functions as
an RTC clock input when an external oscillator is used.
I
AE14
RTC Oscillator Output
O
AD14
RTC Domain Isolation Signal
I
AF14
RTC Power Enable output pin
O
Y11
rtc_osc_xi_clkin32
rtc_osc_xo
(1)
rtc_iso
on_off
(1) This signal must be kept 0 if device power supplies are not valid during RTC mode and 1 during normal operation. This can typically be
achieved by connecting rtc_iso to the same signal driving porz (not rtc_porz) with appropriate voltage level translation if necessary.
4.4.26.4 System Direct Memory Access (SDMA)
NOTE
For more information, see the DMA Controllers / System DMA section of the device TRM.
Table 4-32. SDMA Signal Descriptions
SIGNAL NAME
dma_evt1
DESCRIPTION
System DMA Event Input 1
TYPE
BALL
I
P7 / P4
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
135
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-32. SDMA Signal Descriptions (continued)
SIGNAL NAME
TYPE
BALL
dma_evt2
DESCRIPTION
System DMA Event Input 2
I
N1 / R3
dma_evt3
System DMA Event Input 3
I
N6
dma_evt4
System DMA Event Input 4
I
M4
4.4.26.5 Interrupt Controllers (INTC)
NOTE
For more information, see the Interrupt Controllers section of the device TRM.
Table 4-33. INTC Signal Descriptions
SIGNAL NAME
TYPE
BALL
nmin_dsp
DESCRIPTION
Non maskable interrupt input, active-low. This pin can be optionally routed to the
DSP NMI input or as generic input to the ARM cores. Note that by default this pin
has an internal pulldown resistor enabled. This internal pulldown should be disabled
or countered by a stronger external pullup resistor before routing to the DSP or
ARM processors.
I
D21
sys_nirq2
External interrupt event to any device INTC
I
AD17
sys_nirq1
External interrupt event to any device INTC
I
AC16
TYPE
BALL
4.4.26.6 Observability
NOTE
For more information, see the Control Module section of the device TRM.
Table 4-34. Observability Signal Descriptions
SIGNAL NAME DESCRIPTION
136
obs0
Observation Output 0
O
F10
obs1
Observation Output 1
O
G11
obs2
Observation Output 2
O
E9
obs3
Observation Output 3
O
F9
obs4
Observation Output 4
O
F8
obs5
Observation Output 5
O
D7
obs6
Observation Output 6
O
D8
obs7
Observation Output 7
O
A5
obs8
Observation Output 8
O
C6
obs9
Observation Output 9
O
C8
obs10
Observation Output 10
O
C7
obs11
Observation Output 11
O
A7
obs12
Observation Output 12
O
A8
obs13
Observation Output 13
O
C9
obs14
Observation Output 14
O
A9
obs15
Observation Output 15
O
B9
obs16
Observation Output 16
O
F10
obs17
Observation Output 17
O
G11
obs18
Observation Output 18
O
E9
obs19
Observation Output 19
O
F9
obs20
Observation Output 20
O
F8
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-34. Observability Signal Descriptions (continued)
SIGNAL NAME DESCRIPTION
TYPE
BALL
obs21
Observation Output 21
O
D7
obs22
Observation Output 22
O
D8
obs23
Observation Output 23
O
A5
obs24
Observation Output 24
O
C6
obs25
Observation Output 25
O
C8
obs26
Observation Output 26
O
C7
obs27
Observation Output 27
O
A7
obs28
Observation Output 28
O
A8
obs29
Observation Output 29
O
C9
obs30
Observation Output 30
O
A9
obs31
Observation Output 31
O
B9
obs_dmarq1
DMA Request External Observation Output 1
O
G11
obs_dmarq2
DMA Request External Observation Output 2
O
D8
obs_irq1
IRQ External Observation Output 1
O
F10
obs_irq2
IRQ External Observation Output 2
O
D7
4.4.27 Power Supplies
NOTE
For more information, see Power, Reset and Clock Management / PRCM Subsystem
Environment / External Voltage Inputs section of the device TRM.
Table 4-35. Power Supply Signal Descriptions
SIGNAL NAME
vdd
DESCRIPTION
TYPE
BALL
PWR
H13 / H14 / J17 / J18
/ L7 / L8 / N10 / N13 /
P11 / P12 / P13 / R11
/ R16 / R19 / T13 /
T16 / T19 / U13 / U16
/ U8 / U9 / V16 / V8
GND
A1 / A14 / A2 / A23 /
A28 / A6 / AA14 /
AA15 / AA20 / AA8 /
AA9 / AB14 / AB20 /
AD1 / AD24 / AG1 /
AH1 / AH2 / AH20 /
AH28 / B1 / D13 /
D19 / E13 / E19 / F1 /
F7 / G7 / G8 / G9 /
H12 / J12 / J15 / J28 /
K1 / K15 / K24 / K25 /
K4 / K5 / L13 / L14 /
M19 / N14 / N15 /
N19 / N24 / N25 / P28
/ R1 / R12 / R13 /
R21 / T10 / T11 / T12
/ T14 / T15 / T17 /
T18 / T21 / U14 / U15
/ U17 / U20 / U21 /
V15 / V17 / W1 / W15
/ W24 / W25 / W28
External capacitor connection for the GPU vbb ldo output
CAP
Y14
Core voltage domain supply
vss
Ground
cap_vbbldo_gpu(1)
(1)
External capacitor connection for the IVA vbb ldo output
CAP
J10
cap_vbbldo_mpu(1)
cap_vbbldo_iva
External capacitor connection for the MPU vbb ldo output
CAP
J16
cap_vbbldo_dsp(1)
External capacitor connection for the DSP vbb ldo output
CAP
K9
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
137
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 4-35. Power Supply Signal Descriptions (continued)
DESCRIPTION
TYPE
BALL
cap_vddram_core1(1)
SIGNAL NAME
External capacitor connection for the Core SRAM array ldo1 output
CAP
T20
(1)
cap_vddram_core3
External capacitor connection for the Core SRAM array ldo3 output
CAP
L9
cap_vddram_core4(1)
External capacitor connection for the Core SRAM array ldo4 output
CAP
J19
cap_vddram_mpu(1)
External capacitor connection for the MPU SRAM array ldo output
CAP
K19
(1)
cap_vddram_gpu
External capacitor connection for the GPU SRAM array ldo output
CAP
Y13
cap_vddram_iva(1)
External capacitor connection for the IVA SRAM array ldo output
CAP
K16
cap_vddram_dsp(1)
External capacitor connection for the DSP
CAP
J9
DSP PLL and IVA PLL analog power supply
PWR
N12
DPLL_CORE and CORE HSDIVIDER analog power supply
PWR
P14
DPLL_SPARE analog power supply
PWR
P15
DPLL_ABE, DPLL_PER, and PER HSDIVIDER analog power supply
PWR
M14
vdda_mpu_abe
MPU_ABE PLL analog power supply
PWR
N16
vdda33v_usb1
HS USB1 3.3V analog power supply. If USB1 is not used, this pin can
alternatively be connected to VSS if the following requirements are met:
- The usb1_dm/usb1_dp pins are left unconnected
- The USB1 PHY is kept powered down
PWR
AA12
vdda33v_usb2
HS USB2 3.3V analog power supply. If USB2 is not used, this pin can
alternatively be connected to VSS if the following requirements are met:
- The usb2_dm/usb2_dp pins are left unconnected
- The USB2 PHY is kept powered down
PWR
Y12
DPLL_DDR and DDR HSDIVIDER analog power supply
PWR
R17
DPLL_DEBUG analog power supply
PWR
N11
vdda_gpu
DPLL_GPU analog power supply
PWR
R14
vdda_hdmi
PLL_HDMI and HDMI analog power supply
PWR
Y17
vdda_osc
HFOSC analog power supply
PWR
AD16 / AE16
vdda_pcie
DPLL_PCIe_REF and PCIe analog power supply
PWR
AA17
vdda_pcie0
PCIe ch0 RX/TX analog power supply
PWR
AA16
RTC bias and RTC LFOSC analog power supply
PWR
AB13
vdda_dsp_iva
vdda_core_gmac
vdda_pll_spare
vdda_per
vdda_ddr
vdda_debug
vdda_rtc
vdda_sata
DPLL_SATA and SATA RX/TX analog power supply
PWR
V13
vdda_usb1
DPLL_USB and HS USB1 1.8V analog power supply
PWR
AA13
vdda_usb2
HS USB2 1.8V analog power supply
PWR
AB12
vdda_usb3
DPLL_USB_OTG_SS and USB3.0 RX/TX analog power supply
PWR
W14
CSI Interface 1.8V supply
PWR
W12
VIDEO1 and VIDEO2 PLL analog power supply
PWR
P16
1.8V power supply
PWR
G18 / H17 / M8 / M9 /
N8 / P8 / R8 / T8 /
V21 / V22 / W17 /
W18
EMIF1 bias power supply
PWR
AA18 / AA19 / N21 /
P20 / P21 / W21 /
Y21
vddshv1
Dual Voltage (1.8V or 3.3V) power supply for the VIN2 Power Group
pins
PWR
E3 / E5 / G4 / G5 / H8
/ H9
vddshv2
Dual Voltage (1.8V or 3.3V) power supply for the VOUT Power Group
pins
PWR
B6 / D10 / E10 / H10 /
H11
vddshv3
Dual Voltage (1.8V or 3.3V) power supply for the GENERAL Power
Group pins
PWR
B23 / D16 / D22 / E16
/ E22 / G15 / H15 /
H16 / H18 / H19
vddshv4
Dual Voltage (1.8V or 3.3V) power supply for the MMC4 Power Group
pins
PWR
C24
vddshv5
Dual Voltage (1.8V or 3.3V) power supply for the RTC Power Group
pins
PWR
V12
vdda_csi
vdda_video
vdds18v
vdds18v_ddr1
138
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 4-35. Power Supply Signal Descriptions (continued)
SIGNAL NAME
DESCRIPTION
TYPE
BALL
vddshv6
Dual Voltage (1.8V or 3.3V) power supply for the VIN1 Power Group
pins
PWR
AD5 / AD7 / AE7 /
AF5
vddshv7
Dual Voltage (1.8V or 3.3V) power supply for the WIFI Power Group
pins
PWR
AB6 / AB7
vddshv8
Dual Voltage (1.8V or 3.3V) power supply for the MMC1 Power Group
pins
PWR
W8 / Y8
vddshv9
Dual Voltage (1.8V or 3.3V) power supply for the RGMII Power Group
pins
PWR
U10 / W4 / W5
vddshv10
Dual Voltage (1.8V or 3.3V) power supply for the GPMC Power Group
pins
PWR
N4 / N5 / P10 / R10 /
R7 / T4 / T5
vddshv11
Dual Voltage (1.8V or 3.3V) power supply for the MMC2 Power Group
pins
PWR
J8 / K8
vdds_ddr1
EMIF1 power supply (1.5V for DDR3 mode / 1.35V DDR3L mode)
PWR
AA21 / AA22 / AB21 /
AB22 / AB24 / AB25 /
AC22 / AD26 / AG20 /
AG28 / AH27 / T24 /
T25 / W16 / W27
vdds_mlbp
MLBP IO power supply
PWR
AA7 / Y7
vdd_dsp
DSP voltage domain supply
PWR
K10 / K11 / L10 / L11
/ M10 / M11
vdd_gpu
GPU voltage domain supply
PWR
U11 / U12 / V10 / V11
/ V14 / W10 / W11 /
W13
vdd_iva
IVA voltage domain supply
PWR
J13 / K12 / K13 / L12
/ M12 / M13
vdd_mpu
MPU voltage domain supply
PWR
K17 / K18 / L15 / L16
/ L17 / L18 / L19 /
M15 / M16 / M17 /
M18 / N17 / N18 /
P17 / P18 / R18
vdd_rtc
RTC voltage domain supply
PWR
AB15
vssa_hdmi
DPLL_HDMI and HDMI PHY analog ground
GND
AD19 / AE19
vssa_osc0
OSC0 analog ground
GND
AF15
vssa_osc1
OSC1 analog ground
GND
AC14
AD13 / AE13
vssa_pcie
PCIe analog ground
GND
vssa_sata
SATA analog ground
GND
AE10
vssa_usb
HS USB1 and HS USB2 analog ground
GND
AA11 / AB11
vssa_usb3
DPLL_USB and USB3.0 RX/TX analog ground
GND
AD10
CSI Interface 0v Supply
GND
AA10 / AH8
DPLL_VIDEO1 analog ground
GND
R15
vssa_csi
vssa_video
(1) This pin must always be connected via a 1-µF capacitor to vss.
Terminal Configuration and Functions
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
139
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
5 Specifications
NOTE
For more information, see Power, Reset, and Clock Management / PRCM Subsystem
Environment / External Voltage Inputs or Initialization / Preinitialization / Power Requirements
section of the Device TRM.
NOTE
The index numbers 1 which is part of the EMIF1 signal prefixes (ddr1_*) listed in Table 4-8,
EMIF Signal Descriptions, column "SIGNAL NAME" not to be confused with DDR1 type of
SDRAM memories.
NOTE
Audio Back End (ABE) module is not supported for this family of devices, but “ABE” name is
still present in some clock or DPLL names.
CAUTION
All IO Cells are NOT Fail-safe compliant and should not be externally driven in
absence of their IO supply.
5.1
Absolute Maximum Ratings
Stresses beyond those listed as absolute maximum ratings may cause permanent damage to the device.
These are stress ratings only, and functional operation of the device at these or any other conditions
beyond those listed under Section 5.4, Recommended Operating Conditions, is not implied. Exposure to
absolute maximum rated conditions for extended periods may affect device reliability.
Table 5-1. Absolute Maximum Rating Over Junction Temperature Range
PARAMETER(1)
VSUPPLY (Steady-State)
140
Supply Voltage Ranges (SteadyState)
MIN
MAX
UNIT
Core (vdd, vdd_mpu, vdd_gpu,
vdd_dsp, vdd_iva, vdd_rtc)
-0.3
1.5
V
Analog (vdda_usb1, vdda_usb2,
vdda_per, vdda_ddr, vdda_debug,
vdda_mpu_abe, vdda_usb3,
vdda_csi, vdda_core_gmac,
vdda_pll_spare, vdda_dsp_iva,
vdda_gpu, dda_hdmi, vdda_pcie,
vdda_pcie0, vdda_sata,
vdda_video, vdda_osc, vdda_rtc)
-0.3
2.0
V
Analog 3.3V (vdda33v_usb1,
vdda33v_usb2)
-0.3
3.8
V
vdds18v, vdds18v_ddr1,
vdds_mlbp, vdds_ddr1
-0.3
2.1
V
vddshv1-11 (1.8V mode)
-0.3
2.1
V
vddshv1-7 (3.3V mode), vddshv9-11
(3.3V mode)
-0.3
3.8
V
vddshv8 (3.3V mode)
-0.3
3.6
V
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-1. Absolute Maximum Rating Over Junction Temperature Range (continued)
PARAMETER(1)
VIO (Steady-State)
Input and Output Voltage Ranges
(Steady-State)
MIN
MAX
UNIT
Core I/Os
-0.3
1.5
V
Analog I/Os (except HDMI)
-0.3
2.0
V
HDMI I/Os
-0.3
3.5
V
I/O 1.35V
-0.3
1.65
V
I/O 1.5V
-0.3
1.8
V
1.8V I/Os
-0.3
2.1
V
3.3V I/Os (except those powered by
vddshv8)
-0.3
3.8
V
3.3V I/Os (powered by vddshv8)
-0.3
3.6
V
105
V/s
0.2*VDD
V
SR
Maximum slew rate, all supplies
VIO (Transient Overshoot /
Undershoot)
Input and Output Voltage Ranges (Transient Overshoot/Undershoot)
Note: valid for up to 20% of the signal period
TSTG
Storage temperature range after soldered onto PC Board
-55
+150
°C
Latch-up I-Test
I-test(3), All I/Os (if different levels then one line per level)
-100
100
mA
Latch-up OV-Test
Over-voltage Test(4), All supplies (if different levels then one line per level)
N/A
1.5*Vsup
ply max
V
(2)
(1) See I/Os supplied by this power pin in Table 4-2 Ball Characteristics
(2) VDD is the voltage on the corresponding power-supply pin(s) for the IO.
(3) Per JEDEC JESD78 at 125°C with specified I/O pin injection current and clamp voltage of 1.5 times maximum recommended I/O
voltage and negative 0.5 times maximum recommended I/O voltage.
(4) Per JEDEC JESD78 at 125°C.
5.2
ESD Ratings
Table 5-2. ESD Ratings
VALUE
VESD Electrostatic discharge
Human-body model (HBM), per AEC Q100-002(1)
±1000
All pins
Charged-device model (CDM), per AEC Q100-011 Corner pins (A1, AH1,
A28, AH28)
±250
UNIT
V
±750
(1) AEC Q100-002 indicates that HBM stressing shall be in accordance with the ANSI/ESDA/JEDEC JS-001 specification.
5.3
Power on Hours (POH) Limits
The information in the section below is provided solely for your convenience and does not extend or
modify the warranty provided under TI’s standard terms and conditions for TI semiconductor products.
NOTE
POH is a function of voltage, temperature and time. Usage at higher voltages and
temperatures will result in a reduction in POH.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
141
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-3. Power on Hour (POH) Limits(1)
OPERATING
CONDITION
OPP
OPP_NOM
or OPP_OD
OPP_HIGH
COMMERCIAL
JUNCTION TEMP
RANGE 0°C ~ 90°C
EXTENDED JUNCTION TEMP RANGE -40°C ~
105°C
AUTOMOTIVE
JUNCTION TEMP
RANGE -40°C ~ 125°C
HDMI
JUNCTION
TEMP (Tj)
LIFETIME
(POH)
JUNCTION
TEMP (Tj)
LIFETIME
(POH)
JUNCTION
TEMP (Tj)
LIFETIME
(POH)
JUNCTION
TEMP (Tj)
LIFETIME
(POH)
Not Used
90°C
100k
100°C
100k
105°C
100k (3)
Automotive
profile(4)
20k
Used(2)
90°C
100k
100°C
63k
105°C
45k
Automotive
profile(4)
20k
Not Used
90°C
65k
100°C
55k
105°C
50k
Automotive
profile(4)
20k
Used(2)
90°C
65k
100°C
55k
105°C
45k
Automotive
profile(4)
20k
(1) Unless specified in Table 5-3, all voltage domains and operating conditions are supported in the device at the noted temperatures.
(2) Power-on hours (POH) assume HDMI is used at the maximum supported bit rate continuously and/or operating the device continuously
at the VD_MPU operating point (OPP) noted.
(3) 90k POH only if SuperSpeed USB 3.0 Dual-Role-Device (at 5 Gbps) or PCIe in Gen-II mode (at 5 Gbps) are used.
(4) Automotive profile is defined as 20000 power on hours with junction temperature as follows: 5%@-40°C, 65%@70°C, 20%@110°C,
10%@125°C.
5.4
Recommended Operating Conditions
The device is used under the recommended operating conditions described in Table 5-4.
NOTE
Logic functions and parameter values are not assured out of the range specified in the
recommended operating conditions.
Table 5-4. Recommended Operating Conditions
PARAMETER
DESCRIPTION
MIN (2)
NOM
MAX DC (3)
MAX (2)
UNIT
Input Power Supply Voltage Range
vdd
Core voltage domain supply
See Section 5.5
V
vdd_mpu
Supply voltage range for MPU domain
See Section 5.5
V
vdd_gpu
GPU voltage domain supply
See Section 5.5
V
vdd_dsp
DSP voltage domain supply
See Section 5.5
V
vdd_iva
IVA voltage domain supply
See Section 5.5
V
vdd_rtc
RTC voltage domain supply
vdda_usb1
DPLL_USB and HS USB1 1.8V analog
power supply
See Section 5.5
1.71
1.80
1.71
1.80
Maximum noise (peak-peak)
vdda_usb2
HS USB2 1.8V analog power supply
HS USB1 3.3V analog power supply.If
USB1 is not used, this pin can
alternatively be connected to VSS if the
following requirements are met:
- The usb1_dm/usb1_dp pins are left
unconnected
- The USB1 PHY is kept powered down
1.836
1.89
3.135
Specifications
3.3
50
V
mVPPmax
50
Maximum noise (peak-peak)
142
1.89
50
Maximum noise (peak-peak)
vdda33v_usb1
V
1.836
V
mVPPmax
3.366
3.465
V
mVPPmax
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-4. Recommended Operating Conditions (continued)
PARAMETER
vdda33v_usb2
DESCRIPTION
MIN (2)
NOM
MAX DC (3)
MAX (2)
HS USB2 3.3V analog power supply. If
USB2 is not used, this pin can
alternatively be connected to VSS if the
following requirements are met:
- The usb2_dm/usb2_dp pins are left
unconnected
- The USB2 PHY is kept powered down
3.135
3.3
3.366
3.465
Maximum noise (peak-peak)
vdda_per
PER PLL and PER HSDIVIDER analog
power supply
50
1.71
1.80
1.71
1.80
1.71
1.80
1.71
1.80
Maximum noise (peak-peak)
vdda_ddr
DPLL_DDR and DDR HSDIVIDER
analog power supply
DPLL_DEBUG analog power supply
DPLL_DSP and DPLL_IVA analog
power supply
DPLL_CORE and CORE HSDIVIDER
analog power supply
DPLL_SPARE analog power supply
1.71
DPLL_GPU analog power supply
1.71
PLL_HDMI and HDMI analog power
supply
1.71
DPLL_PCIe_REF and PCIe analog
power supply
1.71
PCIe ch0 RX/TX analog power supply
DPLL_SATA and SATA RX/TX analog
power supply
DPLL_USB_OTG_SS and USB3.0
RX/TX analog power supply
DPLL_VIDEO1 analog power supply
MLBP IO power supply
DPLL_MPU analog power supply
1.71
1.80
1.71
1.80
HFOSC analog power supply
RTC bias and RTC LFOSC analog
power supply
1.89
1.71
1.80
1.71
1.80
1.836
1.89
1.836
1.89
1.836
1.89
Maximum noise (peak-peak)
1.80
50
V
mVPPmax
1.836
1.89
V
mVPPmax
1.89
V
mVPPmax
1.836
1.89
1.836
1.89
V
mVPPmax
V
mVPPmax
1.836
1.89
V
mVPPmax
1.89
V
mVPPmax
1.836
1.89
V
mVPPmax
1.89
50
1.71
V
mVPPmax
50
1.80
V
mVPPmax
50
1.71
V
mVPPmax
50
Maximum noise (peak-peak)
vdda_rtc
1.836
50
1.80
V
mVPPmax
50
1.71
V
mVPPmax
50
Maximum noise (peak-peak)
vdda_osc
1.89
50
Maximum noise (peak-peak)
vdda_mpu_abe
1.80
1.80
Maximum noise (peak-peak)
vdds_mlbp
1.80
1.71
Maximum noise (peak-peak)
vdda_video
1.80
1.80
Maximum noise (peak-peak)
vdda_usb3
1.80
1.71
Maximum noise (peak-peak)
vdda_sata
1.836
V
mVPPmax
50
Maximum noise (peak-peak)
vdda_pcie0
1.89
50
Maximum noise (peak-peak)
vdda_pcie
1.836
V
mVPPmax
50
Maximum noise (peak-peak)
vdda_hdmi
1.89
50
Maximum noise (peak-peak)
vdda_gpu
1.836
50
Maximum noise (peak-peak)
vdda_pll_spare
1.89
50
Maximum noise (peak-peak)
vdda_core_gmac
1.836
50
Maximum noise (peak-peak)
vdda_dsp_iva
V
mVPPmax
50
Maximum noise (peak-peak)
vdda_debug
UNIT
V
mVPPmax
1.89
V
mVPPmax
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
143
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-4. Recommended Operating Conditions (continued)
PARAMETER
vdda_csi
DESCRIPTION
CSI Interface 1.8v Supply
MIN (2)
NOM
MAX DC (3)
MAX (2)
1.71
1.80
1.836
1.89
1.71
1.80
1.836
1.89
Maximum noise (peak-peak)
vdds18v
1.8V power supply
50
Maximum noise (peak-peak)
vdds18v_ddr1
EMIF1 bias power supply
1.71
vdds_ddr1
1.80
V
mVPPmax
50
Maximum noise (peak-peak)
UNIT
V
mVPPmax
1.836
1.89
50
V
mVPPmax
EMIF1 power supply
(1.5V for DDR3 mode /
1.35V DDR3L mode)
1.35-V
Mode
1.28
1.35
1.377
1.42
1.5-V Mode
1.43
1.50
1.53
1.57
Maximum noise (peakpeak)
1.35-V
Mode
50
V
mVPPmax
1.5-V Mode
vddshv5
vddshv1
vddshv10
vddshv11
vddshv2
vddshv3
vddshv4
144
Dual Voltage (1.8V or
3.3V) power supply for
the RTC Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the VIN2 Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the GPMC Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the MMC2 Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the VOUT Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the GENERAL Power
Group pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the MMC4 Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-4. Recommended Operating Conditions (continued)
PARAMETER
vddshv6
vddshv7
vddshv8
vddshv9
DESCRIPTION
MIN (2)
NOM
MAX DC (3)
MAX (2)
Dual Voltage (1.8V or
3.3V) power supply for
the VIN1 Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the WIFI Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the MMC1 Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
Dual Voltage (1.8V or
3.3V) power supply for
the RGMII Power Group
pins
1.8-V Mode
1.71
1.80
1.836
1.89
3.3-V Mode
3.135
3.30
3.366
3.465
Maximum noise (peakpeak)
1.8-V Mode
50
UNIT
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
50
V
mVPPmax
3.3-V Mode
vss
Ground supply
0
V
vssa_hdmi
DPLL_HDMI and HDMI PHY analog
ground
0
V
vssa_pcie
PCIe analog ground
0
V
vssa_usb
HS USB1 and HS USB2 analog ground
0
V
vssa_usb3
DPLL_USB and USB3.0 RX/TX analog
ground
0
V
vssa_csi
CSI Interface 0v Supply
0
V
vssa_sata
SATA TX ground
0
V
vssa_video
DPLL_VIDEO1 analog ground
0
V
vssa_osc0
OSC0 analog ground
0
V
vssa_osc1
OSC1 analog ground
0
V
(1)
TJ
Operating junction
temperature range
ddr1_vref0
Commercial
0
90
Extended
-40
105
Automotive
-40
Reference Power Supply EMIF1
°C
125
0.5*vdds_ddr1
V
(1) Refer to Power on Hours table for limitations.
(2) The voltage at the device ball should never be below the MIN voltage or above the MAX voltage for any amount of time. This
requirement includes dynamic voltage events such as AC ripple, voltage transients, voltage dips, etc.
(3) The DC voltage at the device ball should never be above the MAX DC voltage to avoid impact on device reliability and lifetime POH
(Power-On-Hours). The MAX DC voltage is defined as the highest allowed DC regulated voltage, without transients, seen at the ball.
5.5
Operating Performance Points
This section describes the operating conditions of the device. This section also contains the description of
each OPP (operating performance point) for processor clocks and device core clocks.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
145
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
CAUTION
The OPP voltage and frequency values may change following the silicon
characterization result.
Table 5-5 describes the maximum supported frequency per speed grade for AM571x devices.
Table 5-5. Speed Grade Maximum Frequency
DEVICE
SPEED
MAXIMUM FREQUENCY (MHz)
MPU
DSP
IVA
GPU
IPU
L3
DDR3/DDR3L
AM5718xxX
1500
750
532
532
212.8
266
667 (DDR3-1333)
AM5716xxX
1500
750
N/A(1)
N/A(1)
212.8
266
667 (DDR3-1333)
AM5716xxD
500(2)
500
N/A(1)
N/A(1)
212.8
266
533 (DDR3-1066)
(1) N/A in this table stands for Not Applicable.
(2) Device will boot up initially at 588MHz. An intermediate boot program must immediately reprogram the PLL to a frequency not over
500MHz.
5.5.1
AVS and ABB Requirements
Adaptive Voltage Scaling (AVS) and Adaptive Body Biasing (ABB) are required on most of the vdd_*
supplies as defined in Table 5-6.
Table 5-6. AVS and ABB Requirements per vdd_* Supply
5.5.2
SUPPLY
AVS REQUIRED?
ABB REQUIRED?
vdd_core
Yes, for all OPPs
No
vdd_mpu
Yes, for all OPPs
Yes, for all OPPs
vdd_iva
Yes, for all OPPs
Yes, for all OPPs
vdd_dsp
Yes, for all OPPs
Yes, for all OPPs
vdd_gpu
Yes, for all OPPs
Yes, for all OPPs
vdd_rtc
No
No
Voltage And Core Clock Specifications
Table 5-7 shows the recommended OPP per voltage domain.
Table 5-7. Voltage Domains Operating Performance Points (1)
DOMAIN
VD_CORE
(V)
VD_MPU (V)
CONDITION
OPP_NOM
OPP_OD
MIN (3)
NOM (2)
OPP_HIGH
MIN (3)
NOM (2)
MAX (3)
MAX (3)
MIN (3)
NOM (2)
BOOT (Before
AVS is enabled)
1.11
1.15
1.2
Not Applicable
Not Applicable
After AVS is
enabled (5)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
1.16
Not Applicable
Not Applicable
BOOT (Before
AVS is enabled)
1.11
1.15
1.2
Not Applicable
Not Applicable
After AVS is
enabled (5)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
1.16
MAX DC
(4)
MAX (3)
(5)
(6)
(5)
146
(6)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
(6)
Specifications
AVS
Voltage
(6)
+ 5%
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
(6)
AVS
Voltage
(6)
+2%
AVS
Voltage
(6)
+ 5%
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-7. Voltage Domains Operating Performance Points (1) (continued)
DOMAIN
CONDITION
OPP_NOM
OPP_OD
MIN (3)
NOM (2)
MAX (3)
MIN (3)
NOM (2)
OPP_HIGH
MAX (3)
MIN (3)
NOM (2)
MAX DC
(4)
VD_RTC (7)
(V)
-
0.84
0.88 to
1.06
1.16
Not Applicable
Not Applicable
Others (V)
BOOT (Before
AVS is enabled)
1.02
1.06
1.16
Not Applicable
Not Applicable
After AVS is
enabled (5)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
1.16
MAX (3)
(5)
(6)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
AVS
Voltage
(6)
+ 5%
(6)
AVS
Voltage
(6)
–
3.5%
AVS
Voltage
(6)
AVS
Voltage
(6)
+2%
AVS
Voltage
(6)
+ 5%
(1) The voltage ranges in this table are preliminary, and final voltage ranges may be different than shown. Systems should be designed with
the ability to modify the voltage to comply with future recommendations.
(2) In a typical implementation, the power supply should target the NOM voltage.
(3) The voltage at the device ball should never be below the MIN voltage or above the MAX voltage for any amount of time. This
requirement includes dynamic voltage events such as AC ripple, voltage transients, voltage dips, etc.
(4) The DC voltage at the device ball should never be above the MAX DC voltage to avoid impact on device reliability and lifetime POH
(Power-On-Hours). The MAX DC voltage is defined as the highest allowed DC regulated voltage, without transients, seen at the ball.
(5) For all OPPs, AVS must be enabled to avoid impact on device reliability, lifetime POH (Power-On-Hours), and device power.
(6) The AVS voltages are device-dependent, voltage domain-dependent, and OPP-dependent. They must be read from the
STD_FUSE_OPP Registers. For information about STD_FUSE_OPP Registers address, please refer to Control Module Section of the
TRM. The power supply should be adjustable over the following ranges for each required OPP:
– OPP_NOM for MPU: 0.85 V – 1.15 V
– OPP_NOM for CORE and Others: 0.85 V - 1.15 V
– OPP_OD: 0.94 V - 1.15 V
– OPP_HIGH: 1.05 V - 1.25 V
The AVS voltages will be within the above specified ranges.
(7) VD_RTC can optionally be tied to VD_CORE and operate at the VD_CORE AVS voltages.
(8) The power supply must be programmed with the AVS voltages for the MPU and the CORE voltage domain, either just after the ROM
boot or at the earliest possible time in the secondary boot loader before there is significant activity seen on these domains.
Table 5-8 describes the standard processor clocks speed characteristics vs OPP of the device.
Table 5-8. Supported OPP vs Max Frequency (2)
DESCRIPTION
OPP_NOM
OPP_OD
OPP_HIGH
Max Freq. (MHz)
Max Freq. (MHz)
Max Freq. (MHz)
MPU_CLK
1000
1176
1500
DSP_CLK
600
700
750
IVA_GCLK
388.3
430
532
GPU_CLK
425.6
500
532
CORE_IPUx_CLK
212.8
N/A
N/A
L3_CLK
266
N/A
N/A
DDR3 / DDR3L
667 (DDR3-1333)
N/A
N/A
RTC_FCLK
0.034
N/A
N/A
VD_MPU
VD_DSP
VD_IVA
VD_GPU
VD_CORE
VD_RTC
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
147
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) N/A in this table stands for Not Applicable.
(2) Maximum supported frequency is limited according to the Device Speed Grade (see Table 5-5).
5.5.3
Maximum Supported Frequency
Device modules either receive their clock directly from an external clock input, directly from a PLL, or from
a PRCM. Table 5-9 lists the clock source options for each module on this device, along with the maximum
frequency that module can accept. To ensure proper module functionality, the device PLLs and dividers
must be programmed not to exceed the maximum frequencies listed in this table.
Table 5-9. Maximum Supported Frequency
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
AES1
AES1_L3_CLK
Int
266
L4SEC_L3_GICLK
CORE_X2_CLK
DPLL_CORE
AES2
AES2_L3_CLK
Int
266
L4SEC_L3_GICLK
CORE_X2_CLK
DPLL_CORE
BB2D
BB2D_FCLK
Func
354.6
BB2D_GFCLK
BB2D_GFCLK
DPLL_CORE
BB2D_ICLK
Int
266
DSS_L3_GICLK
CORE_X2_CLK
DPLL_CORE
COUNTER_32K_F
CLK
Func
0.032
FUNC_32K_CLK
SYS_CLK1/610
OSC1
COUNTER_32K_IC
LK
Int
38.4
WKUPAON_GICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
CTRL_MODULE_B
ANDGAP
L3INSTR_TS_GCL
K
Int
CTRL_MODULE_C
ORE
L4CFG_L4_GICLK
Int
133
L4CFG_L4_GICLK
CTRL_MODULE_
WKUP
WKUPAON_GICLK
Int
38.4
WKUPAON_GICLK
DCAN1
DCAN1_FCLK
Func
38.4
DCAN1_SYS_CLK
DCAN1_ICLK
Int
266
WKUPAON_GICLK
COUNTER_32K
DCAN2
4.8
L3INSTR_TS_GCLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
CORE_X2_CLK
DPLL_CORE
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
SYS_CLK1
OSC1
SYS_CLK2
OSC2
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
DCAN2_FCLK
Func
38.4
DCAN2_SYS_CLK
SYS_CLK1
OSC1
DCAN2_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
DES3DES
DES_CLK_L3
Int
266
L4SEC_L3_GICLK
CORE_X2_CLK
DPLL_CORE
DLL
EMIF_DLL_FCLK
Func
EMIF_DLL_FC
LK
EMIF_DLL_GCLK
EMIF_DLL_GCLK
DPLL_DDR
DLL_AGING
FCLK
Int
38.4
L3INSTR_DLL_AGING
_GCLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
DMM
DMM_CLK
Int
266
EMIF_L3_GICLK
CORE_X2_CLK
DPLL_CORE
DPLL_DEBUG
SYSCLK
Int
38.4
EMU_SYS_CLK
SYS_CLK1
OSC1
DSP1
DSP1_FICLK
Int &
Func
DSP_CLK
DSP1_GFCLK
DSP_GFCLK
DPLL_DSP
148
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
DSS_HDMI_CEC_
CLK
Func
0.032
HDMI_CEC_GFCLK
SYS_CLK1/610
OSC1
DSS_HDMI_PHY_
CLK
Func
48
HDMI_PHY_GFCLK
FUNC_192M_CLK
DPLL_PER
DSS_CLK
Func
192
DSS_GFCLK
DSS_CLK
DPLL_PER
HDMI_CLKINP
Func
38.4
HDMI_DPLL_CLK
SYS_CLK1
OSC1
Instance Name
Input Clock Name
DSS
SYS_CLK2
OSC2
DSS_L3_ICLK
Int
266
DSS_L3_GICLK
CORE_X2_CLK
DPLL_CORE
VIDEO1_CLKINP
Func
38.4
VIDEO1_DPLL_CLK
SYS_CLK1
OSC1
SYS_CLK2
OSC2
SYS_CLK1
OSC1
SYS_CLK2
OSC2
VIDEO2_CLKINP
DSS DISPC
CLOCK SOURCES
Func
DPLL_DSI1_A_CL
K1
Func
DPLL_DSI1_B_CL
K1
Func
38.4
209.3
209.3
VIDEO2_DPLL_CLK
N/A
N/A
HDMI_CLK
DPLL_HDMI
VIDEO1_CLKOUT1
DPLL_VIDEO1
VIDEO1_CLKOUT3
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
DPLL_ABE_X2_CL
K
DPLL_ABE
HDMI_CLK
DPLL_HDMI
VIDEO1_CLKOUT3
DPLL_VIDEO1
DPLL_DSI1_C_CL
K1
Func
209.3
N/A
DPLL_HDMI_CLK1
Func
185.6
N/A
HDMI_CLK
DPLL_HDMI
LCD1_CLK
Func
209.3
N/A
DPLL_DSI1_A_CL
K1
See DSS data in
the rows above
LCD2_CLK
Func
209.3
N/A
DPLL_DSI1_B_CL
K1
LCD3_CLK
Func
209.3
N/A
DPLL_DSI1_C_CL
K1
F_CLK
Func
209.3
N/A
DPLL_DSI1_A_CL
K1
DSS_CLK
DSS_CLK
DSS_CLK
DPLL_DSI1_B_CL
K1
DPLL_DSI1_C_CL
K1
DSS_CLK
DPLL_HDMI_CLK1
EFUSE_CTRL_CU
ST
ELM
ocp_clk
Int
133
CUSTEFUSE_L4_GICL
K
CORE_X2_CLK
DPLL_CORE
sys_clk
Func
38.4
CUSTEFUSE_SYS_GF
CLK
SYS_CLK1
OSC1
ELM_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
DPLL_CORE
EMIF_OCP_FW
L3_CLK
Int
266
EMIF_L3_GICLK
CORE_X2_CLK
EMIF_PHY1
EMIF_PHY1_FCLK
Func
DDR
EMIF_PHY_GCLK
EMIF_PHY_GCLK
DPLL_DDR
EMIF1
EMIF1_ICLK
Int
266
EMIF_L3_GICLK
CORE_X2_CLK
DPLL_CORE
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
149
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
GMAC_SW
CPTS_RFT_CLK
Func
266
GMAC_RFT_CLK
GPIO1
PLL / OSC /
Source Name
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CORE_X2_CLK
DPLL_CORE
MAIN_CLK
Int
125
GMAC_MAIN_CLK
GMAC_250M_CLK
DPLL_GMAC
MHZ_250_CLK
Func
250
GMII_250MHZ_CLK
GMII_250MHZ_CL
K
DPLL_GMAC
MHZ_5_CLK
Func
5
RGMII_5MHZ_CLK
GMAC_RMII_HS_C
LK
DPLL_GMAC
MHZ_50_CLK
Func
50
RMII_50MHZ_CLK
GMAC_RMII_HS_C
LK
DPLL_GMAC
RMII1_MHZ_50_CL
K
Func
50
RMII_50MHZ_CLK
GMAC_RMII_HS_C
LK
DPLL_GMAC
RMII2_MHZ_50_CL
K
Func
50
RMII_50MHZ_CLK
GMAC_RMII_HS_C
LK
DPLL_GMAC
GPIO1_ICLK
Int
38.4
WKUPAON_GICLK
GPIO1_DBCLK
GPIO2
PLL / OSC /
Source Clock
Name
Func
0.032
WKUPAON_SYS_GFC
LK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
WKUPAON_32K_G
FCLK
OSC1
RTC Oscillator
GPIO2_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
GPIO2_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
OSC1
GPIO3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
GPIO3_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
OSC1
GPIO4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
GPIO4_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
OSC1
RTC Oscillator
GPIO3
RTC Oscillator
GPIO4
GPIO5
GPIO6
GPIO7
GPIO8
GPMC
150
PIDBCLK
Func
0.032
GPIO_GFCLK
GPIO5_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
RTC Oscillator
GPIO5_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
PIDBCLK
Func
0.032
GPIO_GFCLK
OSC1
RTC Oscillator
GPIO6_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
GPIO6_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
PIDBCLK
Func
0.032
GPIO_GFCLK
DPLL_CORE
OSC1
RTC Oscillator
GPIO7_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
GPIO7_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
PIDBCLK
Func
0.032
GPIO_GFCLK
DPLL_CORE
OSC1
RTC Oscillator
GPIO8_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
GPIO8_DBCLK
Func
0.032
GPIO_GFCLK
FUNC_32K_CLK
PIDBCLK
Func
0.032
GPIO_GFCLK
GPMC_FCLK
Int
266
L3MAIN1_L3_GICLK
Specifications
DPLL_CORE
DPLL_CORE
OSC1
RTC Oscillator
CORE_X2_CLK
DPLL_CORE
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
GPU
GPU_FCLK1
Func
GPU_CLK
GPU_CORE_GCLK
CORE_GPU_CLK
DPLL_CORE
GPU_FCLK2
Func
GPU_CLK
GPU_HYD_GCLK
PER_GPU_CLK
DPLL_PER
GPU_GCLK
DPLL_GPU
CORE_GPU_CLK
DPLL_CORE
PER_GPU_CLK
DPLL_PER
GPU_GCLK
DPLL_GPU
GPU_ICLK
Int
266
GPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
HDMI PHY
DSS_HDMI_PHY_
CLK
Func
38.4
HDMI_PHY_GFCLK
FUNC_192M_CLK
DPLL_PER
HDQ1W
HDQ1W_ICLK
Int &
Func
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
HDQ1W_FCLK
Func
12
PER_12M_GFCLK
FUNC_192M_CLK
DPLL_PER
DPLL_CORE
I2C1
I2C2
I2C3
I2C4
I2C1_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
I2C1_FCLK
Func
96
PER_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
I2C2_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
I2C2_FCLK
Func
96
PER_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
I2C3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
I2C3_FCLK
Func
96
PER_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
DPLL_CORE
I2C4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
I2C4_FCLK
Func
96
PER_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
I2C5_ICLK
Int
266
IPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
I2C5_FCLK
Func
96
IPU_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
IEEE1500_2_OCP
PI_L3CLK
Int &
Func
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
IPU1
IPU1_GFCLK
Int &
Func
425.6
IPU1_GFCLK
DPLL_ABE_X2_CL
K
DPLL_ABE
CORE_IPU_ISS_B
OOST_CLK
DPLL_CORE
CORE_IPU_ISS_B
OOST_CLK
DPLL_CORE
I2C5
IPU2
IPU2_GFCLK
Int &
Func
425.6
IPU2_GFCLK
IVA
IVA_GCLK
Int
IVA_GCLK
IVA_GCLK
IVA_GFCLK
DPLL_IVA
KBD
KBD_FCLK
Func
0.032
WKUPAON_SYS_GFC
LK
WKUPAON_32K_G
FCLK
OSC1
PICLKKBD
Func
0.032
WKUPAON_SYS_GFC
LK
KBD_ICLK
Int
38.4
WKUPAON_GICLK
SYS_CLK1
OSC1
PICLKOCP
Int
38.4
WKUPAON_GICLK
DPLL_ABE_X2_CL
K
DPLL_ABE
L3_INSTR
L3_CLK
Int
L3_CLK
L3INSTR_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L3_MAIN
L3_CLK1
Int
L3_CLK
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L3_CLK2
Int
L3_CLK
L3INSTR_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L4_CFG
L4_CFG_CLK
Int
133
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L4_PER1
L4_PER1_CLK
Int
133
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L4_PER2
L4_PER2_CLK
Int
133
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L4_PER3
L4_PER3_CLK
Int
133
L4PER3_L3_GICLK
CORE_X2_CLK
DPLL_CORE
L4_WKUP
L4_WKUP_CLK
Int
38.4
WKUPAON_GICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
RTC Oscillator
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
151
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
MAILBOX1
MAILBOX1_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX2
MAILBOX2_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX3
MAILBOX3_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX4
MAILBOX4_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX5
MAILBOX5_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX6
MAILBOX6_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX7
MAILBOX7_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX8
MAILBOX8_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX9
MAILBOX9_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX10
MAILBOX10_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX11
MAILBOX11_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX12
MAILBOX12_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MAILBOX13
MAILBOX13_FLCK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
McASP1
MCASP1_AHCLKR
Func
100
MCASP1_AHCLKR
DPLL_ABE_X2_CL
K
DPLL_ABE
MCASP1_AHCLKX
MCASP1_FCLK
MCASP1_ICLK
152
Func
Func
Int
100
192
266
MCASP1_AHCLKX
MCASP1_AUX_GFCLK
IPU_L3_GICLK
Specifications
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CORE_X2_CLK
DPLL_CORE
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
McASP2
MCASP2_AHCLKR
Func
100
MCASP2_AHCLKR
MCASP2_AHCLKX
MCASP2_FCLK
McASP3
Func
Func
100
192
MCASP2_AHCLKX
MCASP2_AUX_GFCLK
PLL / OSC /
Source Clock
Name
DPLL_ABE_X2_CL
K
PLL / OSC /
Source Name
DPLL_ABE
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
MCASP2_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MCASP3_AHCLKX
Func
100
MCASP3_AHCLKX
DPLL_ABE_X2_CL
K
DPLL_ABE
MCASP3_FCLK
MCASP3_ICLK
Func
Int
192
266
MCASP3_AUX_GFCLK
L4PER2_L3_GICLK
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
CORE_X2_CLK
DPLL_CORE
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
153
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
McASP4
MCASP4_AHCLKX
Func
100
MCASP4_AHCLKX
MCASP4_FCLK
McASP5
MCASP4_AUX_GFCLK
DPLL_ABE
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MCASP5_AHCLKX
Func
100
MCASP5_AHCLKX
DPLL_ABE_X2_CL
K
DPLL_ABE
Func
192
MCASP5_AUX_GFCLK
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
MCASP5_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MCASP6_AHCLKX
Func
100
MCASP6_AHCLKX
DPLL_ABE_X2_CL
K
DPLL_ABE
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK1
OSC1
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
MCASP6_FCLK
MCASP6_ICLK
154
192
DPLL_ABE_X2_CL
K
PLL / OSC /
Source Name
MCASP4_ICLK
MCASP5_FCLK
McASP6
Func
PLL / OSC /
Source Clock
Name
Func
Int
192
266
MCASP6_AUX_GFCLK
L4PER2_L3_GICLK
Specifications
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
CORE_X2_CLK
DPLL_CORE
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
McASP7
MCASP7_AHCLKX
Func
100
MCASP7_AHCLKX
MCASP7_FCLK
McASP8
McSPI2
McSPI3
McSPI4
CSI2_0
CSI2_1
192
MCASP7_AUX_GFCLK
DPLL_ABE_X2_CL
K
PLL / OSC /
Source Name
DPLL_ABE
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
MCASP7_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MCASP8_AHCLKX
Func
100
MCASP8_AHCLKX
DPLL_ABE_X2_CL
K
DPLL_ABE
MCASP8_FCLK
McSPI1
Func
PLL / OSC /
Source Clock
Name
Func
192
MCASP8_AUX_GFCLK
SYS_CLK1
OSC1
FUNC_96M_AON_
CLK
DPLL_PER
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
PER_ABE_X1_GF
CLK
DPLL_ABE
VIDEO1_CLK
DPLL_ABE
HDMI_CLK
DPLL_HDMI
MCASP8_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SPI1_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SPI1_FCLK
Func
48
PER_48M_GFCLK
PER_48M_GFCLK
DPLL_PER
DPLL_CORE
SPI2_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
SPI2_FCLK
Func
48
PER_48M_GFCLK
PER_48M_GFCLK
DPLL_PER
SPI3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SPI3_FCLK
Func
48
PER_48M_GFCLK
PER_48M_GFCLK
DPLL_PER
SPI4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SPI4_FCLK
Func
48
PER_48M_GFCLK
PER_48M_GFCLK
DPLL_PER
CTRLCLK
Int &
Func
96
LVDSRX_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
CAL_FCLK
Int &
Func
266
CAL_GICLK
CORE_ISS_MAIN_
CLK
DPLL_CORE
L3_ICLK
CM_CORE_AON
CTRLCLK
Int &
Func
96
LVDSRX_96M_GFCLK
FUNC_192M_CLK
DPLL_PER
CAL_FCLK
Int &
Func
266
CAL_GICLK
CORE_ISS_MAIN_
CLK
DPLL_CORE
L3_ICLK
CM_CORE_AON
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
155
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
MMC1
MMC1_CLK_32K
Func
0.032
L3INIT_32K_GFCLK
FUNC_32K_CLK
OSC1
MMC1_FCLK
Func
192
MMC1_GFCLK
FUNC_192M_CLK
DPLL_PER
128
MMC2
DPLL_PER
MMC1_ICLK1
Int
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MMC1_ICLK2
Int
133
L3INIT_L4_GICLK
CORE_X2_CLK
DPLL_CORE
MMC2_CLK_32K
Func
0.032
L3INIT_32K_GFCLK
FUNC_32K_CLK
OSC1
MMC2_FCLK
Func
192
MMC2_GFCLK
FUNC_192M_CLK
DPLL_PER
FUNC_256M_CLK
DPLL_PER
128
MMC3
FUNC_256M_CLK
MMC2_ICLK1
Int
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MMC2_ICLK2
Int
133
L3INIT_L4_GICLK
CORE_X2_CLK
DPLL_CORE
MMC3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MMC3_CLK_32K
Func
0.032
L4PER_32K_GFCLK
FUNC_32K_CLK
OSC1
MMC3_FCLK
Func
48
MMC3_GFCLK
FUNC_192M_CLK
DPLL_PER
MMC4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MMC4_CLK_32K
Func
0.032
L4PER_32K_GFCLK
FUNC_32K_CLK
OSC1
MMC4_FCLK
Func
48
MMC4_GFCLK
FUNC_192M_CLK
DPLL_PER
192
MMC4
192
MMU_EDMA
MMU1_CLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MMU_PCIESS
MMU2_CLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
MPU
MPU_CLK
Int &
Func
MPU_CLK
MPU_GCLK
MPU_GCLK
DPLL_MPU
MPU_EMU_DBG
FCLK
Int
38.4
EMU_SYS_CLK
SYS_CLK1
OSC1
MPU_GCLK
DPLL_MPU
OCMC_RAM1
OCMC1_L3_CLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
OCMC_ROM
OCMC_L3_CLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
OCP_WP_NOC
PICLKOCPL3
Int
266
L3INSTR_L3_GICLK
CORE_X2_CLK
DPLL_CORE
OCP2SCP1
L4CFG1_ADAPTE
R_CLKIN
Int
133
L3INIT_L4_GICLK
CORE_X2_CLK
DPLL_CORE
OCP2SCP2
L4CFG2_ADAPTE
R_CLKIN
Int
133
L4CFG_L4_GICLK
CORE_X2_CLK
DPLL_CORE
OCP2SCP3
L4CFG3_ADAPTE
R_CLKIN
Int
133
L3INIT_L4_GICLK
CORE_X2_CLK
DPLL_CORE
PCIe_SS1
PCIE1_PHY_WKU
P_CLK
Func
0.032
PCIE_32K_GFCLK
FUNC_32K_CLK
RTC Oscillator
PCIe_SS1_FICLK
Int
266
PCIE_L3_GICLK
CORE_X2_CLK
DPLL_CORE
156
PCIEPHY_CLK
Func
2500
PCIE_PHY_GCLK
PCIE_PHY_GCLK
APLL_PCIE
PCIEPHY_CLK_DI
V
Func
1250
PCIE_PHY_DIV_GCLK
PCIE_PHY_DIV_G
CLK
APLL_PCIE
PCIE1_REF_CLKI
N
Func
34.3
PCIE_REF_GFCLK
CORE_USB_OTG_
SS_LFPS_TX_CLK
DPLL_CORE
PCIE1_PWR_CLK
Func
38.4
PCIE_SYS_GFCLK
SYS_CLK1
OSC1
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
PCIE2_PHY_WKU
P_CLK
Func
0.032
PCIE_32K_GFCLK
FUNC_32K_CLK
RTC Oscillator
PCIe_SS2_FICLK
Func
266
PCIE_L3_GICLK
CORE_X2_CLK
DPLL_CORE
PCIEPHY_CLK
Func
2500
PCIE_PHY_GCLK
PCIE_PHY_GCLK
APLL_PCIE
PCIEPHY_CLK_DI
V
Func
1250
PCIE_PHY_DIV_GCLK
PCIE_PHY_DIV_G
CLK
APLL_PCIE
PCIE2_REF_CLKI
N
Func
34.3
PCIE_REF_GFCLK
CORE_USB_OTG_
SS_LFPS_TX_CLK
DPLL_CORE
PCIE2_PWR_CLK
Func
38.4
PCIE_SYS_GFCLK
SYS_CLK1
OSC1
32K_CLK
Func
0.032
FUNC_32K_CLK
SYS_CLK1/610
OSC1
SYS_CLK
Func
38.4
WKUPAON_ICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
Instance Name
Input Clock Name
PCIe_SS2
PRCM_MPU
CLOCK SOURCES
PWMSS1
PWMSS1_GICLK
Int &
Func
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
PWMSS2
PWMSS2_GICLK
Int &
Func
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
PWMSS3
PWMSS3_GICLK
Int &
Func
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
QSPI_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
QSPI_FCLK
Func
128
QSPI_GFCLK
FUNC_256M_CLK
DPLL_PER
QSPI
RNG
RTC_SS
RNG_ICLK
Int
PER_QSPI_CLK
DPLL_PER
DPLL_CORE
266
L4SEC_L3_GICLK
CORE_X2_CLK
RTC_ICLK
Int
133
RTC_L4_GICLK
CORE_X2_CLK
DPLL_CORE
RTC_FCLK
Func
RTC_FCLK
RTC_AUX_CLK
SYS_32K
RTC Oscillator
FUNC_32K_CLK
SYS_CLK1/610
OSC1
SAR_ROM
PRCM_ROM_CLO
CK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SATA
SATA_FICLK
Int
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SATA_PMALIVE_F
CLK
Func
48
L3INIT_48M_GFCLK
FUNC_192M_CLK
DPLL_PER
REF_CLK
Func
38
SATA_REF_GFCLK
SYS_CLK1
OSC1
SDMA
SDMA_FCLK
Int &
Func
266
DMA_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SHA2MD51
SHAM_1_CLK
Int
266
L4SEC_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SHA2MD52
SHAM_2_CLK
Int
266
L4SEC_L3_GICLK
CORE_X2_CLK
DPLL_CORE
SL2
IVA_GCLK
Int
IVA_GCLK
IVA_GCLK
IVA_GFCLK
DPLL_IVA
SMARTREFLEX_C
ORE
MCLK
Int
133
COREAON_L4_GICLK
CORE_X2_CLK
DPLL_CORE
SYSCLK
Func
38.4
WKUPAON_ICLK
SMARTREFLEX_D
SP
SMARTREFLEX_G
PU
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
MCLK
Int
133
COREAON_L4_GICLK
CORE_X2_CLK
DPLL_CORE
SYSCLK
Func
38.4
WKUPAON_ICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
MCLK
Int
133
COREAON_L4_GICLK
CORE_X2_CLK
DPLL_CORE
SYSCLK
Func
38.4
WKUPAON_ICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
157
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
SMARTREFLEX_IV
AHD
MCLK
Int
133
COREAON_L4_GICLK
CORE_X2_CLK
DPLL_CORE
SYSCLK
Func
38.4
WKUPAON_ICLK
SMARTREFLEX_M
PU
MCLK
Int
133
COREAON_L4_GICLK
SYSCLK
Func
38.4
WKUPAON_ICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
CORE_X2_CLK
DPLL_CORE
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
DPLL_CORE
SPINLOCK
SPINLOCK_ICLK
Int
266
L4CFG_L3_GICLK
CORE_X2_CLK
TIMER1
TIMER1_ICLK
Int
38.4
WKUPAON_GICLK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
SYS_CLK1
OSC1
TIMER1_FCLK
Func
100
TIMER1_GFCLK
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER2
TIMER2_ICLK
Int
266
L4PER_L3_GICLK
TIMER2_FCLK
Func
100
TIMER2_GFCLK
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CORE_X2_CLK
DPLL_CORE
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
158
Specifications
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
TIMER3
TIMER3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER3_FCLK
Func
100
TIMER3_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER4
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER4_FCLK
Func
100
TIMER4_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER5
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER5_ICLK
Int
266
IPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER5_FCLK
Func
100
TIMER5_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CLKOUTMUX[0]
CLKOUTMUX[0]
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
159
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
TIMER6
TIMER6_ICLK
Int
266
IPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER6_FCLK
Func
100
TIMER6_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER7
TIMER7_ICLK
Int
266
IPU_L3_GICLK
TIMER7_FCLK
Func
100
TIMER7_GFCLK
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CLKOUTMUX[0]
CLKOUTMUX[0]
CORE_X2_CLK
DPLL_CORE
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER8
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CLKOUTMUX[0]
CLKOUTMUX[0]
TIMER8_ICLK
Int
266
IPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER8_FCLK
Func
100
TIMER8_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
160
Specifications
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
CLKOUTMUX[0]
CLKOUTMUX[0]
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
TIMER9
TIMER9_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER9_FCLK
Func
100
TIMER9_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER10
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER10_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER10_FCLK
Func
100
TIMER10_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER11
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER11_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER11_FCLK
Func
100
TIMER11_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER12
TIMER12_ICLK
TIMER12_FCLK
Int
Func
38.4
0.032
WKUPAON_GICLK
OSC_32K_CLK
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
RC_CLK
RC oscillator
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
161
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
TIMER13
TIMER13_ICLK
Int
266
L4PER3_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER13_FCLK
Func
100
TIMER13_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER14
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER14_ICLK
Int
266
L4PER3_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER14_FCLK
Func
100
TIMER14_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
TIMER15
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TIMER15_ICLK
Int
266
L4PER3_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER15_FCLK
Func
100
TIMER15_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
162
Specifications
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
TIMER16
TIMER16_ICLK
Int
266
L4PER3_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TIMER16_FCLK
Func
100
TIMER16_GFCLK
SYS_CLK1
OSC1
FUNC_32K_CLK
OSC1
RTC Oscillator
SYS_CLK2
OSC2
XREF_CLK0
XREF_CLK0
XREF_CLK1
XREF_CLK1
XREF_CLK2
XREF_CLK2
XREF_CLK3
XREF_CLK3
DPLL_ABE_X2_CL
K
DPLL_ABE
VIDEO1_CLK
DPLL_VIDEO1
HDMI_CLK
DPLL_HDMI
TPCC
TPCC_GCLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TPTC1
TPTC0_GCLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
TPTC2
TPTC1_GCLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART1
UART1_FCLK
Func
48
UART1_GFCLK
FUNC_192M_CLK
DPLL_PER
UART1_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART2_FCLK
Func
48
UART2_GFCLK
FUNC_192M_CLK
DPLL_PER
UART2_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART3_FCLK
Func
48
UART3_GFCLK
FUNC_192M_CLK
DPLL_PER
UART3_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART4_FCLK
Func
48
UART4_GFCLK
FUNC_192M_CLK
DPLL_PER
UART4_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART5
UART5_FCLK
Func
48
UART5_GFCLK
FUNC_192M_CLK
DPLL_PER
UART5_ICLK
Int
266
L4PER_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART6
UART6_FCLK
Func
48
UART6_GFCLK
FUNC_192M_CLK
DPLL_PER
UART6_ICLK
Int
266
IPU_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART7_FCLK
Func
48
UART7_GFCLK
FUNC_192M_CLK
DPLL_PER
UART7_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART8_FCLK
Func
48
UART8_GFCLK
FUNC_192M_CLK
DPLL_PER
UART8_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART9_FCLK
Func
48
UART9_GFCLK
FUNC_192M_CLK
DPLL_PER
UART9_ICLK
Int
266
L4PER2_L3_GICLK
CORE_X2_CLK
DPLL_CORE
UART10_FCLK
Func
48
UART10_GFCLK
FUNC_192M_CLK
DPLL_PER
UART10_ICLK
Int
38.4
WKUPAON_GICLK
UART2
UART3
UART4
UART7
UART8
UART9
UART10
USB1
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
USB1_MICLK
Int
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
USB3PHY_REF_C
LK
Func
34.3
USB_LFPS_TX_GFCL
K
CORE_USB_OTG_
SS_LFPS_TX_CLK
DPLL_CORE
USB2PHY1_TREF
_CLK
Func
38.4
USB_OTG_SS_REF_C
LK
SYS_CLK1
OSC1
USB2PHY1_REF_
CLK
Func
960
L3INIT_960M_GFCLK
L3INIT_960_GFCL
K
DPLL_USB
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
163
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-9. Maximum Supported Frequency (continued)
MODULE
CLOCK SOURCES
Instance Name
Input Clock Name
Clock
Type
Max. Clock
Allowed (MHz)
PRCM Clock Name
PLL / OSC /
Source Clock
Name
PLL / OSC /
Source Name
USB2
USB2_MICLK
Int
266
L3INIT_L3_GICLK
CORE_X2_CLK
DPLL_CORE
USB2PHY2_TREF
_CLK
Func
38.4
USB_OTG_SS_REF_C
LK
SYS_CLK1
OSC1
USB2PHY2_REF_
CLK
Func
960
L3INIT_960M_GFCLK
L3INIT_960_GFCL
K
DPLL_USB
USB_PHY1_CORE USB2PHY1_WKUP
_CLK
Func
0.032
COREAON_32K_GFCL
K
SYS_CLK1/610
OSC1
USB_PHY2_CORE USB2PHY2_WKUP
_CLK
Func
0.032
COREAON_32K_GFCL
K
SYS_CLK1/610
OSC1
USB_PHY3_CORE USB3PHY_WKUP_
CLK
Func
0.032
COREAON_32K_GFCL
K
SYS_CLK1/610
OSC1
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
VCP1
VCP1_CLK
VCP2
VCP2_CLK
Int
266
L3MAIN1_L3_GICLK
CORE_X2_CLK
DPLL_CORE
VIP1
L3_CLK_PROC_CL
K
Int &
Func
266
VIP1_GCLK
CORE_X2_CLK
DPLL_CORE
CORE_ISS_MAIN_
CLK
DPLL_CORE
L3_CLK_PROC_CL
K
Int &
Func
300
CORE_ISS_MAIN_
CLK
DPLL_CORE
VIDEO1_CLKOUT4
DPLL_VIDEO1
VPE
WD_TIMER1
WD_TIMER2
PIOCPCLK
38.4
WKUPAON_GICLK
PITIMERCLK
Func
0.032
OSC_32K_CLK
WD_TIMER2_ICLK
Int
38.4
WKUPAON_GICLK
WD_TIMER2_FCL
K
5.6
Int
VPE_GCLK
Func
0.032
WKUPAON_SYS_GFC
LK
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
RC_CLK
RC oscillator
SYS_CLK1
OSC1
DPLL_ABE_X2_CL
K
DPLL_ABE
WKUPAON_32K_G
FCLK
RTC Oscillator
Power Consumption Summary
NOTE
Maximum power consumption for this SoC depends on the specific use conditions for the
end system. Contact your TI representative for assistance in estimating maximum power
consumption for the end system use case.
5.7
Electrical Characteristics
NOTE
The data specified in Section 5.7.1 through Section 5.7.14 are subject to change.
164
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
NOTE
The interfaces or signals described in Section 5.7.1 through Section 5.7.14 correspond to the
interfaces or signals available in multiplexing mode 0 (Function 1).
All interfaces or signals multiplexed on the balls described in these tables have the same DC
electrical characteristics, unless multiplexing involves a PHY/GPIO combination in which
case different DC electrical characteristics are specified for the different multiplexing modes
(Functions).
5.7.1
LVCMOS DDR DC Electrical Characteristics
Table 5-10 summarizes the DC electrical characteristics for LVCMOS DDR Buffers.
NOTE
For more information on the I/O cell configurations (i[2:0], sr[1:0]), see the Chapter Control
Module of the Device TRM.
Table 5-10. LVCMOS DDR DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0 (Single-Ended Signals): ddr1_d[31:0], ddr1_a[15:0], ddr1_dqm[3:0], ddr1_ba[2:0], ddr1_csn[1:0],
ddr1_cke, ddr1_odt[1:0], ddr1_casn, ddr1_rasn, ddr1_wen, ddr1_rst, ddr1_ecc_d[7:0], ddr1_dqm_ecc;
Balls: AH23 / AB16 / AG22 / AE20 / AC17 / AC18 / AF20 /AH21 / AG21 / AF17 / AE18 / AB18 / AD20 / AC19 / AC20 / AB19 / AF21 / AH22
/ AG23 / AE21 / AF22 / AE22 / AD21 / AD22 / AC21 / AF18 / AE17 / AD18 / AF25 / AF26 / AG26 / AH26 / AF24 / AE24 / AF23 / AE23 /
AC23 / AF27 / AG27 / AF28 / AE26 / AC25 / AC24 / AD25 / V20 / W20 / AB28 / AC28 / AC27 / Y19 / AB27 / Y20 / AA23 / Y22 / Y23 / AA24
/ Y24 / AA26 / AA25 / AA28 / W22 / V23 / W19 / W23 / Y25 / V24 / V25 / Y26 / AD23 / AB23 / AC26 / AA27 / V26;
Driver Mode
VOH
High-level output threshold (IOH = 0.1 mA)
VOL
Low-level output threshold (IOL = 0.1 mA)
CPAD
Pad capacitance (including package capacitance)
ZO
Output impedance (drive
strength)
0.9*VDDS
V
l[2:0] = 000
(Imp80)
80
l[2:0] = 001
(Imp60)
60
l[2:0] = 010
(Imp48)
48
l[2:0] = 011
(Imp40)
40
l[2:0] = 100
(Imp34)
34
0.1*VDDS
V
3
pF
Ω
Single-Ended Receiver Mode
VIH
High-level input threshold
DDR3/DDR3L
VREF+0.1
VDDS+0.2
V
VIL
Low-level input threshold
DDR3/DDR3L
-0.2
VREF-0.1
V
VCM
Input common-mode voltage
VREF 10%vdds
VREF+
10%vdds
V
CPAD
Pad capacitance (including package capacitance)
3
pF
Signal Names in MUXMODE 0 (Differential Signals): ddr1_dqs[3:0], ddr1_dqsn[3:0], ddr1_ck, ddr1_nck, ddr1_dqs_ecc, ddr1_dqsn_ecc
Bottom Balls: AH25 / AG25 / AE27 / AE28 / AD27 / AD28 / Y28 / Y27 / V27 / V28 / AG24 / AH24
Driver Mode
VOH
High-level output threshold (IOH = 0.1 mA)
VOL
Low-level output threshold (IOL = 0.1 mA)
CPAD
Pad capacitance (including package capacitance)
0.9*VDDS
V
0.1*VDDS
V
3
pF
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
165
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-10. LVCMOS DDR DC Electrical Characteristics (continued)
PARAMETER
ZO
Output impedance (drive
strength)
MIN
NOM
l[2:0] = 000
(Imp80)
80
l[2:0] = 001
(Imp60)
60
l[2:0] = 010
(Imp48)
48
l[2:0] = 011
(Imp40)
40
l[2:0] = 100
(Imp34)
34
MAX
UNIT
Ω
Single-Ended Receiver Mode
VIH
High-level input threshold
DDR3/DDR3L
DDR3/DDR3L
VIL
Low-level input threshold
VCM
Input common-mode voltage
CPAD
Pad capacitance (including package capacitance)
VREF+0.1
VDDS+0.2
V
-0.2
VREF-0.1
V
VREF 10%vdds
VREF+
10%vdds
V
3
pF
0.2
vdds+0.4
V
VREF 10%vdds
VREF+
10%vdds
V
3
pF
Differential Receiver Mode
VSWING
Input voltage swing
DDR3/DDR3L
VCM
Input common-mode voltage
CPAD
Pad capacitance (including package capacitance)
(1) VDDS in this table stands for corresponding power supply (i.e. vdds_ddr1). For more information on the power supply name and the
corresponding ball, see Table 4-2, POWER [10] column.
(2) VREF in this table stands for corresponding Reference Power Supply (i.e. ddr1_vref0). For more information on the power supply name
and the corresponding ball, see Table 4-2, POWER [10] column.
5.7.2
HDMIPHY DC Electrical Characteristics
The HDMIPHY DC Electrical Characteristics are compliant with the HDMI 1.4a specification and are not
reproduced here.
Dual Voltage LVCMOS I2C DC Electrical Characteristics
5.7.3
Table 5-11 summarizes the DC electrical characteristics for Dual Voltage LVCMOS I2C Buffers.
NOTE
For more information on the I/O cell configurations, see the Control Module section of the
Device TRM.
Table 5-11. Dual Voltage LVCMOS I2C DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: i2c2_scl; i2c1_scl; i2c1_sda; i2c2_sda;
Balls: F17 / C20 / C21 / C25
I2C Standard Mode – 1.8 V
VIH
Input high-level threshold
VIL
Input low-level threshold
Vhys
Hysteresis
IIN
166
0.7*VDDS
V
0.3*VDDS
0.1*VDDS
Input current at each I/O pin with an input voltage
between 0.1*VDDS to 0.9*VDDS
Specifications
V
V
12
µA
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-11. Dual Voltage LVCMOS I2C DC Electrical Characteristics (continued)
PARAMETER
MIN
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
CIN
Input capacitance
VOL3
Output low-level threshold open-drain at 3-mA
sink current
IOLmin
Low-level output current @VOL=0.2*VDDS
tOF
NOM
MAX
µA
10
pF
0.2*VDDS
V
3
Output fall time from VIHmin to VILmax with a bus
capacitance CB from 5 pF to 400 pF
UNIT
12
mA
250
ns
I2C Fast Mode – 1.8 V
VIH
Input high-level threshold
VIL
Input low-level threshold
Vhys
Hysteresis
0.7*VDDS
V
0.3*VDDS
0.1*VDDS
V
V
IIN
Input current at each I/O pin with an input voltage
between 0.1*VDDS to 0.9*VDDS
12
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
12
µA
CIN
Input capacitance
10
pF
0.2*VDDS
V
VOL3
Output low-level threshold open-drain at 3-mA
sink current
IOLmin
Low-level output current @VOL=0.2*VDDS
tOF
Output fall time from VIHmin to VILmax with a bus
capacitance CB from 10 pF to 400 pF
3
20+0.1*Cb
mA
250
ns
I2C Standard Mode – 3.3 V
VIH
Input high-level threshold
VIL
Input low-level threshold
Vhys
Hysteresis
0.7*VDDS
V
0.3*VDDS
V
0.05*VDDS
V
IIN
Input current at each I/O pin with an input voltage
between 0.1*VDDS to 0.9*VDDS
31
80
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
31
80
µA
CIN
Input capacitance
10
pF
VOL3
Output low-level threshold open-drain at 3-mA
sink current
0.4
V
IOLmin
Low-level output current @VOL=0.4V
3
mA
IOLmin
Low-level output current @VOL=0.6V for full drive
load (400pF/400KHz)
6
mA
tOF
Output fall time from VIHmin to VILmax with a bus
capacitance CB from 5 pF to 400 pF
250
ns
I2C Fast Mode – 3.3 V
VIH
Input high-level threshold
VIL
Input low-level threshold
Vhys
Hysteresis
IIN
0.7*VDDS
V
0.3*VDDS
0.05*VDDS
Input current at each I/O pin with an input voltage
between 0.1*VDDS to 0.9*VDDSS
31
V
V
80
µA
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
167
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-11. Dual Voltage LVCMOS I2C DC Electrical Characteristics (continued)
PARAMETER
MIN
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
CIN
NOM
MAX
31
UNIT
80
µA
Input capacitance
10
pF
VOL3
Output low-level threshold open-drain at 3-mA
sink current
0.4
V
IOLmin
Low-level output current @VOL=0.4V
3
mA
IOLmin
Low-level output current @VOL=0.6V for full drive
load (400pF/400KHz)
6
mA
tOF
Output fall time from VIHmin to VILmax with a bus
capacitance CB from 10 pF to 200 pF (Proper
External Resistor Value should be used as per
I2C spec)
20+0.1*Cb
250
Output fall time from VIHmin to VILmax with a bus
capacitance CB from 300 pF to 400 pF (Proper
External Resistor Value should be used as per
I2C spec)
40
290
ns
(1) VDDS in this table stands for corresponding power supply (i.e. vddshv3). For more information on the power supply name and the
corresponding ball, see Table 4-2, POWER [11] column.
5.7.4
IQ1833 Buffers DC Electrical Characteristics
Table 5-12 summarizes the DC electrical characteristics for IQ1833 Buffers.
Table 5-12. IQ1833 Buffers DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: tclk;
Balls: E20;
1.8-V Mode
VIH
Input high-level threshold (Does not meet JEDEC VIH)
VIL
Input low-level threshold (Does not meet JEDEC VIL)
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
CPAD
Pad capacitance (including package capacitance)
0.75 *
VDDS
V
0.25 *
VDDS
100
2
V
mV
11
µA
1
pF
3.3-V Mode
VIH
Input high-level threshold (Does not meet JEDEC VIH)
VIL
Input low-level threshold (Does not meet JEDEC VIL)
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
CPAD
Pad capacitance (including package capacitance)
2.0
V
0.6
400
5
V
mV
11
µA
1
pF
(1) VDDS in this table stands for corresponding power supply (i.e. vddshv3). For more information on the power supply name and the
corresponding ball, see Table 4-2, POWER [11] column.
5.7.5
IHHV1833 Buffers DC Electrical Characteristics
Table 5-13 summarizes the DC electrical characteristics for IHHV1833 Buffers.
168
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-13. IHHV1833 Buffers DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: porz / rtc_iso / rtc_porz / wakeup [3:0];
Balls: F22 / AF14 / AB17 / AD17 / AC17 / AB16 / AC16;
1.8-V Mode
1.2(1)
VIH
Input high-level threshold
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
CPAD
Pad capacitance (including package capacitance)
V
0.4
V
40
mV
0.02
1
µA
1
pF
3.3-V Mode
1.2(1)
VIH
Input high-level threshold
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
CPAD
Pad capacitance (including package capacitance)
V
0.4
V
40
mV
5
8
µA
1
pF
(1) The IHHV1833 buffer exists in the dual-voltage IO logic that can be powered by either 1.8V or 3.3V provided by vddshv3. However, the
vddshv3 supply is only used for input protection circuitry, not for logic functionality. The logic in this buffer operates entirely on the
vdds18v supply. Therefore, IHHV control is asserted whenever the input is low and vdds18v is valid.
5.7.6
LVCMOS OSC Buffers DC Electrical Characteristics
Table 5-14 summarizes the DC electrical characteristics for LVCMOS OSC Buffers.
Table 5-14. LVCMOS OSC Buffers DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: rtc_osc_xi_clkin32 / rtc_osc_xo;
Balls: AE14 / AD14;
1.8-V Mode
VIH
Input high-level threshold
0.65 *
VDDS
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
CPAD
Pad capacitance (including package capacitance)
V
0.35 *
VDDS
V
150
mV
3
pF
(1) VDDS in this table stands for corresponding power supply (i.e. vddshv3). For more information on the power supply name and the
corresponding ball, see Table 4-2, POWER [11] column.
5.7.7
LVCMOS CSI2 DC Electrical Characteristics
Table 5-15 summarizes the DC electrical characteristics for LVSMOS CSI2 Buffers.
Table 5-15. LVCMOS CSI2 DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
1350
mV
Signals MUXMODE0 : csi2_0_dx[4:0]; csi2_0_dy[4:0]; csi2_1_dx[2:0]; csi2_1_dy[2:0];
Bottom Balls: AE1 / AD2 / AF1 / AE2 / AF2 / AF3 / AH4 / AG4 / AH3 / AG3 / AG5 / AH5 / AG6 / AH6 / AH7 / AG7
MIPI D-PHY Mode Low-Power Receiver (LP-RX)
VIH
Input high-level voltage
880
VIL
Input low-level voltage
550
mV
VITH
Input high-level threshold
880
mV
VITL
Input low-level threshold
550
mV
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
169
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-15. LVCMOS CSI2 DC Electrical Characteristics (continued)
PARAMETER
VHYS
MIN
Input hysteresis
NOM
MAX
25
UNIT
mV
MIPI D-PHY Mode Ultralow Power Receiver (ULP-RX)
VIH
Input high-level voltage
VIL
Input low-level voltage
VITH
Input high-level threshold
VITL
Input low-level threshold
VHYS
Input hysteresis
880
mV
300
mV
880
mV
300
mV
25
mV
MIPI D-PHY Mode High-Speed Receiver (HS-RX)
VIDTH
Differential input high-level threshold
VIDTL
Differential input low-level threshold
–70
mV
VIDMAX
Maximum differential input voltage
270
mV
VIHHS
Single-ended input high voltage
460
mV
VILHS
Single-ended input low voltage
VCMRXDC
ZID
70
mV
–40
Differential input common-mode voltage
70
Differential input impedance
80
mV
100
330
mV
125
Ω
(1) VITH is the voltage at which the receiver is required to detect a high state in the input signal.
(2) VITL is the voltage at which the receiver is required to detect a low state in the input signal. VITL is larger than the maximum single-ended
line high voltage during HS transmission. Therefore, both low-power (LP) receivers will detect low during HS signaling.
(3) To reduce noise sensitivity on the received signal, the LP receiver is required to incorporate a hysteresis, VHYST. VHYST is the difference
between the VITH threshold and the VITL threshold.
(4) VITL is the voltage at which the receiver is required to detect a low state in the input signal. Specification is relaxed for detecting 0 during
ultralow power (ULP) state. The LP receiver is not required to detect HS single-ended voltage as 0 in this state.
(5) Excluding possible additional RF interference of 200 mVPP beyond 450 MHz.
(6) This value includes a ground difference of 50 mV between the transmitter and the receiver, the static common-mode level tolerance and
variations below 450 MHz.
(7) This number corresponds to the VODMAX transmitter.
(8) Common mode is defined as the average voltage level of X and Y: VCMRX = (VX + VY) / 2.
(9) Common mode ripple may be due to tR or tF and transmission line impairments in the PCB.
(10) For more information regarding the pin name (or ball name) and corresponding signal name, see Table 4-7, CSI 2 Signal Descriptions.
5.7.8
BMLB18 Buffers DC Electrical Characteristics
Table 5-16 summarizes the DC electrical characteristics for BMLB18 Buffers.
Table 5-16. BMLB18 Buffers DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: mlbp_dat_n / mlbp_dat_p / mlbp_sig_n / mlbp_sig_p / mlbp_clk_n / mlbp_clk_p;
Balls: AB2 / AB1 / AA2 / AA1 / AC2 / AC1;
1.8-V Mode
VIH/VIL
Input high-level threshold
VHYS
Input hysteresis voltage
VOD
Differential output voltage (measured with 50ohm resistor
between PAD and PADN)
VCM
Common mode output voltage
CPAD
Pad capacitance (including package capacitance)
170
VCM ±
50mV
V
NONE
Specifications
mV
300
500
mV
1
1.5
V
4
pF
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
5.7.9
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
BC1833IHHV Buffers DC Electrical Characteristics
Table 5-17 summarizes the DC electrical characteristics for BC1833IHHV Buffers.
Table 5-17. BC1833IHHV Buffers DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in MUXMODE 0: on_off;
Balls: Y11;
1.8-V Mode
VOH
Output high-level threshold (IOH = 2 mA)
VDDS0.45
VOL
Output low-level threshold (IOL = 2 mA)
IDRIVE
Pin Drive strength at PAD Voltage = 0.45V or VDDS-0.45V
6
IIN
Input current at each I/O pin
6
IOZ
CPAD
V
0.45
V
mA
12
µA
IOZ(IPAD Current) for BIDI cell. This current is contributed by
the tristated driver leakage + input current of the Rx + weak
pullup/pulldown leakage. PAD is swept from 0 to VDDS and
the Max(I(PAD)) is measured and is reported as IOZ
6
µA
Pad capacitance (including package capacitance)
4
pF
3.3-V Mode
VOH
Output high-level threshold (IOH =100µA)
VOL
Output low-level threshold (IOL = 100µA)
VDDS-0.2
V
IDRIVE
Pin Drive strength at PAD Voltage = 0.45V or VDDS-0.45V
IIN
Input current at each I/O pin
60
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is contributed by
the tristated driver leakage + input current of the Rx + weak
pullup/pulldown leakage. PAD is swept from 0 to VDDS and
the Max(I(PAD)) is measured and is reported as IOZ
60
µA
CPAD
Pad capacitance (including package capacitance)
4
pF
0.2
V
6
mA
(1) VDDS in this table stands for corresponding power supply (i.e. vddshv3). For more information on the power supply name and the
corresponding ball, see Table 4-2, POWER [11] column.
5.7.10 USBPHY DC Electrical Characteristics
NOTE
USB1 instance is compliant with the USB3.0 SuperSpeed Transmitter and Receiver
Normative Electrical Parameters as defined in the USB3.0 Specification Rev 1.0 dated Jun 6,
2011.
NOTE
USB1 and USB2 Electrical Characteristics are compliant with USB2.0 Specification Rev 2.0
dated April 27, 2000 including ECNs and Errata as applicable.
5.7.11
Dual Voltage SDIO1833 DC Electrical Characteristics
Table 5-18 summarizes the DC electrical characteristics for SDIO1833 Buffers.
Table 5-18. Dual Voltage SDIO1833 DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
Signal Names in Mode 0: mmc1_clk, mmc1_cmd, mmc1_data[3:0]
Bottom Balls: W6 / Y6 / AA6 / Y4 / AA5 / Y3
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
171
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 5-18. Dual Voltage SDIO1833 DC Electrical Characteristics (continued)
PARAMETER
MIN
NOM
MAX
UNIT
1.8-V Mode
VIH
Input high-level threshold
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
30
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is contributed by the
tristated driver leakage + input current of the Rx + weak
pullup/pulldown leakage. PAD is swept from 0 to VDDS and the
Max(I(PAD)) is measured and is reported as IOZ
30
µA
IIN with
Input current at each I/O pin with weak pulldown enabled
measured when PAD = VDDS
50
120
210
µA
Input current at each I/O pin with weak pullup enabled measured
when PAD = 0
60
120
200
µA
5
pF
pulldown
enabled
IIN with
pullup
enabled
1.27
V
0.58
50
CPAD
Pad capacitance (including package capacitance)
VOH
Output high-level threshold (IOH = 2 mA)
VOL
Output low-level threshold (IOL = 2 mA)
(2)
V
mV
1.4
V
0.45
V
3.3-V Mode
VIH
Input high-level threshold
VIL
Input low-level threshold
0.625 ×
VDDS
V
0.25 × VDDS
V
VHYS
Input hysteresis voltage
IIN
Input current at each I/O pin
110
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is contributed by the
tristated driver leakage + input current of the Rx + weak
pullup/pulldown leakage. PAD is swept from 0 to VDDS and the
Max(I(PAD)) is measured and is reported as IOZ
110
µA
IIN with
Input current at each I/O pin with weak pulldown enabled
measured when PAD = VDDS
40
100
290
µA
Input current at each I/O pin with weak pullup enabled measured
when PAD = 0
10
100
290
µA
5
pF
pulldown
enabled
IIN with
pullup
enabled
40
(2)
CPAD
Pad capacitance (including package capacitance)
VOH
Output high-level threshold (IOH = 2 mA)
VOL
Output low-level threshold (IOL = 2 mA)
mV
0.75 × VDDS
V
0.125 ×
VDDS
V
(1) VDDS in this table stands for corresponding power supply. For more information on the power supply name and the corresponding ball,
see Table 4-2, POWER [11] column.
(2) Hysteresis is enabled/disabled with CTRL_CORE_CONTROL_HYST_1.SDCARD_HYST register.
5.7.12 Dual Voltage LVCMOS DC Electrical Characteristics
Table 5-19 summarizes the DC electrical characteristics for Dual Voltage LVCMOS Buffers.
Table 5-19. Dual Voltage LVCMOS DC Electrical Characteristics
PARAMETER
MIN
NOM
MAX
UNIT
1.8-V Mode
VIH
Input high-level threshold
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
VOH
Output high-level threshold (IOH = 2 mA)
172
0.65*VDDS
V
0.35*VDDS
100
VDDS-0.45
Specifications
V
mV
V
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 5-19. Dual Voltage LVCMOS DC Electrical Characteristics (continued)
PARAMETER
MIN
NOM
MAX
UNIT
0.45
V
VOL
Output low-level threshold (IOL = 2 mA)
IDRIVE
Pin Drive strength at PAD Voltage = 0.45V or
VDDS-0.45V
IIN
Input current at each I/O pin
16
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
16
µA
IIN with pulldown
Input current at each I/O pin with weak pulldown
enabled measured when PAD = VDDS
50
120
210
µA
Input current at each I/O pin with weak pullup
enabled measured when PAD = 0
60
120
200
µA
enabled
CPAD
Pad capacitance (including package capacitance)
4
pF
ZO
Output impedance (drive strength)
enabled
IIN with pullup
6
mA
40
Ω
3.3-V Mode
VIH
Input high-level threshold
VIL
Input low-level threshold
VHYS
Input hysteresis voltage
VOH
Output high-level threshold (IOH = 100 µA)
VOL
Output low-level threshold (IOL = 100 µA)
IDRIVE
Pin Drive strength at PAD Voltage = 0.45V or
VDDS-0.45V
IIN
Input current at each I/O pin
65
µA
IOZ
IOZ(IPAD Current) for BIDI cell. This current is
contributed by the tristated driver leakage + input
current of the Rx + weak pullup/pulldown leakage.
PAD is swept from 0 to VDDS and the Max(I(PAD))
is measured and is reported as IOZ
65
µA
IIN with pulldown
Input current at each I/O pin with weak pulldown
enabled measured when PAD = VDDS
40
100
200
µA
Input current at each I/O pin with weak pullup
enabled measured when PAD = 0
10
100
290
µA
enabled
CPAD
Pad capacitance (including package capacitance)
4
pF
ZO
Output impedance (drive strength)
enabled
IIN with pullup
2
V
0.8
200
V
mV
VDDS-0.2
V
0.2
6
V
mA
40
Ω
(1) VDDS in this table stands for corresponding power supply. For more information on the power supply name and the corresponding ball,
see Table 4-2, POWER [11] column.
5.7.13 SATAPHY DC Electrical Characteristics
NOTE
The SATA module is compliant with the electrical parameters specified in the SATA-IO SATA
Specification, Revision 3.2, August 7, 2013.
5.7.14 SERDES DC Electrical Characteristics
NOTE
The PCIe interfaces are compliant with the electrical parameters specified in PCI Express®
Base Specification Revision 3.0.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
173
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
USB1 instance is compliant with the USB3.0 SuperSpeed Transmitter and Receiver
Normative Electrical Parameters as defined in the USB3.0 Specification Rev 1.0 dated Jun 6,
2011.
5.8
Thermal Characteristics
For reliability and operability concerns, the maximum junction temperature of the Device has to be at or
below the TJ value identified in Table 5-4, Recommended Operating Conditions.
It is recommended to perform thermal simulations at the system level with the worst case device power
consumption.
5.8.1
Package Thermal Characteristics
Table 5-20 provides the thermal resistance characteristics for the package used on this device.
NOTE
Power dissipation of 1.5 W and an ambient temperature of 85ºC is assumed for ABC
package.
Table 5-20. Thermal Resistance Characteristics
PARAMETER
DESCRIPTION
°C/W(1)
AIR FLOW (m/s)(2)
T1
RΘJC
Junction-to-case
0.41
N/A
T2
RΘJB
Junction-to-board
4.74
N/A
Junction-to-free air
11.9
0
8.9
1
8.0
2
T6
7.4
3
T7
0.22
0
T8
0.22
1
NO.
T3
T4
T5
T9
RΘJA
ΨJT
Junction-to-moving air
Junction-to-package top
0.22
2
T10
0.23
3
T11
4.12
0
3.73
1
3.59
2
3.48
3
T12
T13
ΨJB
Junction-to-board
T14
(1) These measurements were conducted in a JEDEC defined 2S2P system (with the exception of the Theta JC [RΘJC] measurement,
which was conducted in a JEDEC defined 1S0P system) and will change based on environment as well as application. For more
information, see these EIA/JEDEC standards:
– JESD51-2, Integrated Circuits Thermal Test Method Environment Conditions - Natural Convection (Still Air)
– JESD51-3, Low Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages
– JESD51-7, High Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages
– JESD51-9, Test Boards for Area Array Surface Mount Packages
(2) m/s = meters per second
174
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
5.9
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Power Supply Sequences
This section describes the power-up and power-down sequence required to ensure proper device
operation. The power supply names described in this section comprise a superset of a family of
compatible devices. Some members of this family will not include a subset of these power supplies and
their associated device modules. Refer to the Section 4.2, Ball Characteristics of the Section 4, Terminal
Configuration and Functions to determine which power supplies are applicable.
NOTE
RTC only mode is not supported feature.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
175
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Figure 5-1 and Figure 5-2 describe the device Power Sequencing when RTC-mode is NOT used.
Note 4
Note 5
vdds18v, vdds_mlbp, vdds18v_ddr1, vdda_rtc(3)
vdda_per, vdda_ddr, vdda_debug,
vdda_dsp_iva, vdda_core_gmac, vdda_gpu,
vdda_video, vdda_mpu, vdda_osc, vdda_csi
vdds_ddr1, ddr1_vref0
(16)
VD_CORE BOOT voltage
vdd, vdd_rtc
(3)
VD_MPU BOOT voltage
vdd_mpu
VD_IVA BOOT voltage
vdd_iva
VD_GPU BOOT voltage
vdd_gpu
VD_DSP BOOT voltage
vdd_dsp
vdda_usb1, vdda_usb2, vdda_hdmi,
vdda_pcie, vdda_pcie0, vdda_sata,
vdda_usb3
vddshv1, vddshv2, vddshv3, vddshv4,
(3)
vddshv5 , vddshv6, vddshv7, vddshv9,
vddshv10, vddshv11
Note 6
vdda33v_usb1, vdda33v_usb2
Note 7
vddshv8
xi_osc0
Note 9
rtc_porz
Note 11
resetn/porz
Note 12
sysboot[15:0]
Note 13
Valid Config
Note 14
rstoutn
SPRS906_ELCH_04
Figure 5-1. Power-Up Sequencing
(1) Grey shaded areas are windows where it is valid to ramp the voltage rail.
(2) Blue dashed lines are not valid windows but show alternate ramp possibilities based on the associated note.
(3) RTC-only mode is not used and the following combinations are approved:
176
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
- vdda_rtc can be combined with vdds18v
- vdd_rtc can be combined with vdd
- vddshv5 can be combined with other 1.8V or 3.3V vddshvn rails.
If combinations listed above are not followed then sequencing for these 3 voltage rails should follow the RTC mode timing requirements.
When using RTC mode timing:
- vdda_rtc rises coincident with, or before, the 1.8V interface supplies (such as vdds18v).
- vdd_rtc rises coincident with vdd, or it may rise earlier. If rising earlier, it must rise after the 1.8V interface supplies.
- vddshv5 rises coincident with the other vddshvn rails (of the same voltage) or it can rise about the same time as the 1.8V PHY
supplies (such as vdd_usb1).
(4) vdd must ramp before or at the same time as vdd_mpu, vdd_gpu, vdd_dsp and vdd_iva.
(5) vdd_mpu, vdd_gpu, vdd_dsp, vdd_iva can be ramped at the same time or can be staggered.
(6) If any of the vddshv[1-7,9-11] rails (not including vddshv8) are used as 1.8V only, then these rails can be combined with vdds18v.
(7) vddshv8 is separated out to show support for dual voltage. If single voltage is used then vddshv8 can be combined with other vddshvn
rails but vddshv8 must ramp after vdd.
(8) vdds and vdda rails must not be combined together, with the one exception of vdda_rtc when RTC-mode is not supported.
(9) Pulse duration: rtc_porz must remain low 1ms after vdda_rtc, vddshv5, and vdd_rtc are ramped and stable.
(10) The SYS_32K source must be stable and at a valid frequency 1ms prior to de-asserting rtc_porz high.
(11) Pulse duration: resetn/porz must remain low a minimum of 12P(15) after xi_osc0 is stable and at a valid frequency. resetn/porz must
also remain low until all supply rails are valid and stable.
(12) Setup time: sysboot[15:0] pins must be valid 2P(15) before porz is de-asserted high.
(13) Hold time: sysboot[15:0] pins must be valid 15P(15) after porz is de-asserted high.
(14) resetn to rstoutn delay is 2ms.
(15) P = 1/(SYS_CLK1/610) frequency in ns.
(16) ddr1_vref0 may rise coincident with vdds_ddr1 or at a later time. However, it must be valid before porz rising.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
177
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Note 5
Note 6
porz
Note 8
vddshv8
vdda33v_usb1, vdda33v_usb2
Note 7
Note 9
vdda_usb1, vdda_usb2, vdda_hdmi,
vdda_pcie, vdda_pcie0, vdda_sata,
vdda_usb3
vdd_dsp
vdd_gpu
vdd_iva
vdd_mpu
vdd, vdd_rtc
vdds_ddr1, ddr1_vref0
(4)
(11)
vdda_per, vdda_ddr, vdda_debug,
vdda_dsp_iva, vdda_core_gmac,
vdda_gpu, vdda_video, vdda_mpu,
vdda_osc, vdda_csi
vdds18v, vdds_mlbp, vdds18v_ddr1,
(4)
vdda_rtc
xi_osc0
SPRS906_ELCH_05
Figure 5-2. Power-Down Sequencing
(10)(12)
(1) Grey shaded areas are windows where it is valid to ramp the voltage rail.
(2) Blue dashed lines are not valid windows but show alternate ramp possibilities based on the associated note.
(3) xi_osc0 can be turned off anytime after porz assertion and must be turned off before vdda_osc voltage rail is shutdown.
(4) RTC-only mode is not used and the following combinations are approved:
- vdda_rtc can be combined with vdds18v
- vdd_rtc can be combined with vdd
- vddshv5 can be combined with other 1.8V or 3.3V vddshvn rails
If combinations listed above are not followed then sequencing for these 3 voltage rails should follow the RTC mode timing requirements.
When using RTC mode timing:
- vdda_rtc falls coincident with, or later than, the 1.8V interface supplies (such as vdds18v).
- vdd_rtc falls coincident with vdd, or it may fall later. If falling later, it must fall before, or coincident with, the 1.8V interface supplies.
- vddshv5 falls coincident with the other vddshvn rails (of the same voltage) or it can fall about the same time as the 1.8V PHY supplies
(such as vdd_usb1).
(5) vdd_mpu, vdd_gpu, vdd_dsp, vdd_iva can be ramped at the same time or can be staggered.
178
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(6) vdd must ramp after or at the same time as vdd_mpu, vdd_gpu, vdd_dsp and vdd_iva
(7) If any of the vddshv[1-7,9-11] rails (not including vddshv8) are used as 1.8V only, then these rails can be combined with vdds18v.
- vddshv[1-7,9-11] is allowed to ramp down at either of the two points shown in the timing diagram in either 1.8V mode or in 3.3V mode.
- If vddshv[1-7,9-11] ramps down at the later time in the diagram then the board design must guarantee that the vddshv[1-7,9-11] rail is
never higher than 2.0 V above the vdds18v rail.
(8) vddshv8 is separated out to show support for dual voltage. If a dedicated LDO/supply source is used for vddshv8, then vddshv8 ramp
down should occur at one of the two earliest points in the timing diagram. If vddshv8 is powered by the same supply source as the other
vddshv[1-7,9-11] rails, then it is allowed to ramp down at either of the last two points in the timing diagram.
(9) The 1.8V vdda_* supplies can either ramp down at the earlier time period shown or can be delayed to ramp down after the core supplies
coincident with the vdds18v supply as long as porz is asserted (low) during the power down sequence.
(10) The power down sequence shown is the most general case and is always valid. An accelerated power down sequence is also available
but is only valid when porz is asserted (low). This accelerated power down sequence has been implemented in the companion PMIC
that is recommended for use with this SOC. The accelerated sequence has porz go low first, then all 3.3V supplies simultaneously
second, core supplies, DDR supplies and DDR references simultaneously third and all 1.8V supplies simultaneously last.
(11) ddr1_vref0 may fall coincident with vdds_ddr1, or at a prior time but after porz is asserted low.
(12) Ramped Down is defined as reaching a voltage level of no more than 0.6V.
Figure 5-3 describes vddshv[1-7,9-11] Supplies Falling Before vdds18v Supplies Delta.
vddshv1, vddshv2, vddshv3,
vddshv4, vddshv6, vddshv7,
vddshv9, vddshv10, vddshv11,
(Note 2)
vddshv8
vdds18v
Vdelta
(Note1)
SPRS85v_ELCH_06
Figure 5-3. vddshv* Supplies Falling After vdds18v Supplies Delta
(1) Vdelta MAX = 2V
(2) If vddshv8 is powered by the same supply source as the other vddshv[1-7,9-11] rails.
Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
179
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
6 Clock Specifications
NOTE
For more information, see Power, Reset, and Clock Management / PRCM Environment /
External Clock Signal and Power Reset / PRCM Functional Description / PRCM Clock
Manager Functional Description section of the Device TRM.
NOTE
Audio Back End (ABE) module is not supported for this family of devices, but “ABE” name is
still present in some clock or DPLL names.
The device operation requires the following clocks:
• The 32 kHz frequency is used for low frequency operation. It supplies the wake-up domain for
operation in lowest power mode. This is an optional clock and will be supplied by on chip divider + mux
(FUNC_32K_CLK) incase it is not available on external pin.
• The system clocks, SYS_CLKIN1(Mandatory) and SYS_CLKIN2(Optional) are the main clock sources
of the device. They supply the reference clock to the DPLLs as well as functional clock to several
modules.
The Device also embeds an internal free-running 32-kHz oscillator that is always active as long as the the
wake-up (WKUP) domain is supplied.
Figure 6-1 shows the external input clock sources and the output clocks to peripherals.
180
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Device
rtc_osc_xi_clkin32
rtc_osc_xo
From quartz (32 kHz) or from CMOS square clock source (32 kHz).
To quartz (from oscillator output).
rstoutn
Warm reset output.
resetn
Device reset input.
porz
xi_osc0
xo_osc0
xi_osc1
xo_osc1
Power ON Reset.
From quartz (19.2, 20 or 27 MHz)
or from CMOS square clock source (19.2, 20 or 27MHz).
To quartz (from oscillator output).
From quartz (range from 19.2 to 32 MHz)
or from CMOS square clock source(range from 12 to 38.4 MHz).
To quartz (from oscillator output).
clkout1
clkout2
Output clkout[3:1] clocks come from:
• Either the input system clock and alternate clock (xi_osc0 or xi_osc1)
• Or a CORE clock (from CORE output)
• Or a 192-MHz clock (from PER DPLL output).
clkout3
xref_clk0
xref_clk1
External Reference Clock [3:0].
For Audio and other Peripherals
xref_clk2
xref_clk3
sysboot[15:0]
Boot Mode Configuration
Figure 6-1. Clock Interface
6.1
Input Clock Specifications
6.1.1
Input Clock Requirements
•
•
•
The source of the internal system clock (SYS_CLK1) could be either:
– A CMOS clock that enters on the xi_osc0 ball (with xo_osc0 left unconnected on the CMOS clock
case).
– A crystal oscillator clock managed by xi_osc0 and xo_osc0.
The source of the internal system clock (SYS_CLK2) could be either:
– A CMOS clock that enters on the xi_osc1 ball (with xo_osc1 left unconnected on the CMOS clock
case).
– A crystal oscillator clock managed by xi_osc1 and xo_osc1.
The source of the internal system clock (SYS_32K) could be either:
– A CMOS clock that enters on the rtc_osc_xi_clkin32 ball and supports external LVCMOS clock
generators
– A crystal oscillator clock managed by rtc_osc_xi_clkin32 and rtc_osc_xo.
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
181
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
6.1.2
www.ti.com
System Oscillator OSC0 Input Clock
SYS_CLKIN1 is received directly from oscillator OSC0. For more information about SYS_CLKIN1 see
Device TRM, Chapter: Power, Reset, and Clock Management.
6.1.2.1
OSC0 External Crystal
An external crystal is connected to the device pins. Figure 6-2 describes the crystal implementation.
Device
xo_osc0
xi_osc0
vssa_osc0
Rd
(Optional)
Crystal
Rd
(Optional)
Cf2
Cf1
Figure 6-2. Crystal Implementation
NOTE
The load capacitors,Cf1 and Cf2 in Figure 6-2, should be chosen such that the below equation
is satisfied. CL in the equation is the load specified by the crystal manufacturer. All discrete
components used to implement the oscillator circuit should be placed as close as possible to
the associated oscillator xi_osc0, xo_osc0, and vssa_osc0 pins.
CL=
Cf1Cf2
(Cf1+Cf2)
Figure 6-3. Load Capacitance Equation
The crystal must be in the fundamental mode of operation and parallel resonant. Table 6-1 summarizes
the required electrical constraints.
Table 6-1. OSC0 Crystal Electrical Characteristics
NAME
fp
Parallel resonance crystal frequency
MIN
TYP
MAX
19.2, 20, 27
UNIT
MHz
Cf1
Cf1 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
pF
Cf2
Cf2 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
pF
100
Ω
ESR(Cf1,Cf2)
(1)
182
DESCRIPTION
Crystal ESR
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 6-1. OSC0 Crystal Electrical Characteristics (continued)
NAME
DESCRIPTION
ESR = 30 Ω
ESR = 40 Ω
ESR = 50 Ω
CO
ESR = 60 Ω
Crystal shunt capacitance
ESR = 80 Ω
ESR = 100 Ω
LM
Crystal motional inductance for fp = 20 MHz
CM
Crystal motional capacitance
MIN
TYP
MAX
19.2 MHz, 20 MHz, 27 MHz
7
pF
19.2 MHz, 20 MHz
7
pF
27 MHz
5
pF
7
pF
5
pF
19.2 MHz, 20 MHz
27 MHz
Not Supported
19.2 MHz, 20 MHz
27 MHz
Frequency accuracy
-
Not Supported
19.2 MHz, 20 MHz
3
27 MHz
pF
Not Supported
-
10.16
mH
3.42
fF
Ethernet not used
tj(xiosc0)
UNIT
(1)
, xi_osc0
±200
Ethernet RGMII and RMII
using derived clock
±50
Ethernet MII using derived
clock
±100
ppm
(1) Crystal characteristics should account for tolerance+stability+aging.
When selecting a crystal, the system design must take into account the temperature and aging
characteristics of a crystal versus the user environment and expected lifetime of the system.
Table 6-2 details the switching characteristics of the oscillator and the requirements of the input clock.
Table 6-2. Oscillator Switching Characteristics—Crystal Mode
NAME
DESCRIPTION
fp
Oscillation frequency
tsX
Start-up time
6.1.2.2
MIN
TYP
MAX
19.2, 20, 27 MHz
UNIT
MHz
4
ms
OSC0 Input Clock
A 1.8-V LVCMOS-Compatible Clock Input can be used instead of the internal oscillator to provide the
SYS_CLKIN1 clock input to the system. The external connections to support this are shown in Figure 6-4.
The xi_osc0 pin is connected to the 1.8-V LVCMOS-Compatible clock source. The xi_osc0 pin is left
unconnected. The vssa_osc0 pin is connected to board ground (VSS).
Device
xi_osc0
xo_osc0
vssa_osc0
NC
SPRS906_CLK_04
Figure 6-4. 1.8-V LVCMOS-Compatible Clock Input
Table 6-3 summarizes the OSC0 input clock electrical characteristics.
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
183
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 6-3. OSC0 Input Clock Electrical Characteristics—Bypass Mode
NAME
DESCRIPTION
f
MIN
Frequency
TYP
MAX
UNIT
19.2, 20, 27
CIN
Input capacitance
IIN
Input current (3.3V mode)
MHz
2.184
2.384
2.584
pF
4
6
10
µA
Table 6-4 details the OSC0 input clock timing requirements.
Table 6-4. OSC0 Input Clock Timing Requirements
NAME
DESCRIPTION
1/
CK0
tc(xiosc0)
CK1
MIN
Frequency, xi_osc0
TYP
19.2, 20, 27
tw(xiosc0)
Pulse duration, xi_osc0 low or high
tj(xiosc0)
Period jitter(1), xi_osc0
tR(xiosc0)
Rise time, xi_osc0
tF(xiosc0)
Fall time, xi_osc0
0.45
*
tc(xiosc0)
Ethernet not used
tj(xiosc0)
MAX
Frequency accuracy(2), xi_osc0
UNIT
MHz
0.55 *
tc(xiosc0)
ns
0.01 ×
tc(xiosc0)
ns
5
ns
5
ns
±200
Ethernet RGMII and RMII
using derived clock
±50
Ethernet MII using derived
clock
±100
ppm
(1) Period jitter is meant here as follows:
– The maximum value is the difference between the longest measured clock period and the expected clock period
– The minimum value is the difference between the shortest measured clock period and the expected clock period
(2) Crystal characteristics should account for tolerance+stability+aging.
CK0
CK1
CK1
xi_osc0
SPRS906_CLK_05
Figure 6-5. xi_osc0 Input Clock
6.1.3
Auxiliary Oscillator OSC1 Input Clock
SYS_CLKIN2 is received directly from oscillator OSC1. For more information about SYS_CLKIN2 see
Device TRM, Chapter: Power, Reset, and Clock Management.
6.1.3.1
OSC1 External Crystal
An external crystal is connected to the device pins. Figure 6-6 describes the crystal implementation.
184
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Device
xo_osc1
xi_osc1
vssa_osc1
Rd
(Optional)
Crystal
Rd
(Optional)
Cf2
Cf1
Figure 6-6. Crystal Implementation
NOTE
The load capacitors, Cf1 and Cf2 in Figure 6-6, should be chosen such that the below
equation is satisfied. CL in the equation is the load specified by the crystal manufacturer. All
discrete components used to implement the oscillator circuit should be placed as close as
possible to the associated oscillator xi_osc1, xo_osc1, and vssa_osc1 pins.
CL=
Cf1Cf2
(Cf1+Cf2)
Figure 6-7. Load Capacitance Equation
The crystal must be in the fundamental mode of operation and parallel resonant. Table 6-5 summarizes
the required electrical constraints.
Table 6-5. OSC1 Crystal Electrical Characteristics
NAME
fp
DESCRIPTION
MIN
Parallel resonance crystal frequency
TYP
MAX
Range from 19.2 to 32
UNIT
MHz
Cf1
Cf1 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
pF
Cf2
Cf2 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
pF
ESR(Cf1,Cf2) Crystal ESR
19.2 MHz ≤ fp ≤ 32 MHz
7
pF
ESR = 40 Ω
19.2 MHz ≤ fp ≤ 32 MHz
5
pF
19.2 MHz ≤ fp ≤ 25 MHz
7
pF
25 MHz < fp ≤ 27 MHz
5
pF
19.2 MHz ≤ fp ≤ 23 MHz
7
pF
23 MHz < fp ≤ 25 MHz
5
pF
5
pF
3
pF
27 MHz < fp ≤ 32 MHz
Crystal shunt capacitance
Ω
ESR = 30 Ω
ESR = 50 Ω
CO
100
ESR = 60 Ω
25 MHz < fp ≤ 32 MHz
Not Supported
Not Supported
19.2 MHz ≤ fp ≤ 23 MHz
ESR = 80 Ω
23 MHz ≤ fp ≤ 25 MHz
25 MHz < fp ≤ 32 MHz
ESR = 100 Ω
LM
Crystal motional inductance for fp = 20 MHz
CM
Crystal motional capacitance
-
Not Supported
19.2 MHz ≤ fp ≤ 20 MHz
20 MHz < fp ≤ 32 MHz
-
3
Not Supported
Submit Documentation Feedback
-
10.16
mH
3.42
fF
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
pF
185
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 6-5. OSC1 Crystal Electrical Characteristics (continued)
NAME
DESCRIPTION
MIN
TYP
Ethernet not used
tj(xiosc1)
Frequency accuracy(1), xi_osc1
MAX
UNIT
±200
Ethernet RGMII and RMII
using derived clock
±50
Ethernet MII using derived
clock
±100
ppm
(1) Crystal characteristics should account for tolerance+stability+aging.
When selecting a crystal, the system design must take into account the temperature and aging
characteristics of a crystal versus the user environment and expected lifetime of the system.
Table 6-6 details the switching characteristics of the oscillator and the requirements of the input clock.
Table 6-6. Oscillator Switching Characteristics—Crystal Mode
NAME
DESCRIPTION
fp
Oscillation frequency
tsX
Start-up time
6.1.3.2
MIN
TYP
MAX
Range from 19.2 to 32
UNIT
MHz
4
ms
OSC1 Input Clock
A 1.8-V LVCMOS-Compatible Clock Input can be used instead of the internal oscillator to provide the
SYS_CLKIN2 clock input to the system. The external connections to support this are shown in, Figure 6-8.
The xi_osc1 pin is connected to the 1.8-V LVCMOS-Compatible clock sources. The xo_osc1 pin is left
unconnected. The vssa_osc1 pin is connected to board ground (vss).
Device
xi_osc1
xo_osc1
vssa_osc1
NC
SPRS906_CLK_07
Figure 6-8. 1.8-V LVCMOS-Compatible Clock Input
Table 6-7 summarizes the OSC1 input clock electrical characteristics.
Table 6-7. OSC1 Input Clock Electrical Characteristics—Bypass Mode
NAME
f
186
DESCRIPTION
Frequency
CIN
Input capacitance
IIN
Input current (3.3V mode)
tsX
Start-up time(1)
MIN
TYP
MAX
Range from 12 to 38.4
MHz
2.819
3.019
3.219
pF
4
6
10
µA
See(2)
Clock Specifications
UNIT
ms
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) To switch from bypass mode to crystal or from crystal mode to bypass mode, there is a waiting time about 100 μs; however, if the chip
comes from bypass mode to crystal mode the crystal will start-up after time mentioned in Table 6-6, tsX parameter.
(2) Before the processor boots up and the oscillator is set to bypass mode, there is a waiting time when the internal oscillator is in
application mode and receives a wave. The switching time in this case is about 100 μs.
Table 6-8 details the OSC1 input clock timing requirements.
Table 6-8. OSC1 Input Clock Timing Requirements
NAME
DESCRIPTION
MIN
CK0
1/
tc(xiosc1)
Frequency, xi_osc1
CK1
tw(xiosc1)
Pulse duration, xi_osc1 low or high
tj(xiosc1)
Period jitter(1), xi_osc1
tR(xiosc1)
Rise time, xi_osc1
tF(xiosc1)
Fall time, xi_osc1
TYP
MAX
Range from 12 to 38.4
0.45 *
tc(xiosc1)
MHz
0.55 *
tc(xiosc1)
ns
0.01 ×
tc(xiosc1)
ns
5
ns
5
ns
(3)
Ethernet not used
tj(xiosc1)
Frequency accuracy(2), xi_osc1
UNIT
±200
Ethernet RGMII and RMII
using derived clock
±50
Ethernet MII using derived
clock
±100
ppm
(1) Period jitter is meant here as follows:
– The maximum value is the difference between the longest measured clock period and the expected clock period
– The minimum value is the difference between the shortest measured clock period and the expected clock period
(2) Crystal characteristics should account for tolerance+stability+aging.
(3) The Period jitter requirement for osc1 can be relaxed to 0.02*tc(xiosc1) under the following constraints:
a.The osc1/SYS_CLK2 clock bypasses all device PLLs
b.The osc1/SYS_CLK2 clock is only used to source the DSS pixel clock outputs
CK0
CK1
CK1
xi_osc1
SPRS906_CLK_08
Figure 6-9. xi_osc1 Input Clock
6.1.4
RTC Oscillator Input Clock
SYS_32K is received directly from RTC Oscillator. For more information about SYS_32K see the Device
TRM, Power, Reset, and Clock Management chapter.
NOTE
RTC only mode is not supported feature.
6.1.4.1
RTC Oscillator External Crystal
An external crystal is connected to the device pins. Figure 6-2 describes the crystal implementation.
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
187
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Device
rtc_osc_xo
rtc_osc_xi_clkin32
Rd
(Optional)
Crystal
Cf2
Cf1
SPRS906_CLK_09
Figure 6-10. Crystal Implementation
NOTE
The load capacitors, Cf1 and Cf2 in Figure 6-10, should be chosen such that the below
equation is satisfied. CL in the equation is the load specified by the crystal manufacturer. All
discrete components used to implement the oscillator circuit should be placed as close as
possible to the associated oscillator rtc_osc_xi_clkin32 and rtc_osc_xo pins.
CL=
Cf1Cf2
(Cf1+Cf2)
Figure 6-11. Load Capacitance Equation
The crystal must be in the fundamental mode of operation and parallel resonant. Table 6-9 summarizes
the required electrical constraints.
Table 6-9. RTC Crystal Electrical Characteristics
NAME
DESCRIPTION
fp
MIN
TYP
Parallel resonance crystal frequency
MAX
32.768
UNIT
kHz
Cf1
Cf1 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
Cf2
Cf2 load capacitance for crystal parallel resonance with Cf1 = Cf2
12
24
pF
80
kΩ
5
pF
ESR(Cf1,Cf2)
Crystal ESR
CO
Crystal shunt capacitance
LM
Crystal motional inductance for fp = 32.768 kHz
CM
Crystal motional capacitance
tj(rtc_osc_xi_clkin32)
10.7
mH
2.2
Frequency accuracy, rtc_osc_xi_clkin32
pF
fF
±200
ppm
When selecting a crystal, the system design must take into account the temperature and aging
characteristics of a crystal versus the user environment and expected lifetime of the system.
Table 6-10 details the switching characteristics of the oscillator and the requirements of the input clock.
Table 6-10. Oscillator Switching Characteristics—Crystal Mode
NAME
fp
188
DESCRIPTION
Oscillation frequency
MIN
TYP
32.768
Clock Specifications
MAX
UNIT
kHz
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 6-10. Oscillator Switching Characteristics—Crystal Mode (continued)
NAME
tsX
6.1.4.2
DESCRIPTION
MIN
TYP
MAX
Start-up time
UNIT
4
ms
RTC Oscillator Input Clock
A 1.8-V LVCMOS-Compatible Clock Input can be used instead of the internal oscillator to provide the
SYS_32K clock input to the system. The external connections to support this are shown in Figure 6-12.
The rtc_osc_xi_clkin32 pin is connected to the 1.8-V LVCMOS-Compatible clock sources. The rtc_osc_xo
pin is left unconnected.
Device
rtc_osc_xo
rtc_osc_xi_clkin32
NC
SPRS906_CLK_10
Figure 6-12. LVCMOS-Compatible Clock Input
Table 6-11 summarizes the RTC Oscillator input clock electrical characteristics.
Table 6-11. RTC Oscillator Input Clock Electrical Characteristics—Bypass Mode
NAME
CK0
CK1
DESCRIPTION
1/tc(rtc_osc_xi_clkin32)
tw(rtc_osc_xi_clkin32)
MIN
Frequency, rtc_osc_xi_clkin32
Pulse duration, rtc_osc_xi_clkin32 low or
high
CIN
Input capacitance
IIN
Input current (3.3V mode)
tsX
Start-up time
TYP
MAX
32.768
UNIT
kHz
0.45 *
0.55 *
tc(rtc_osc_xi_clkin32)
tc(rtc_osc_xi_clkin32)
ns
2.178
2.378
2.578
pF
4
6
10
µA
See (1)
ms
(1) Before the processor boots up and the oscillator is set to bypass mode, there is a waiting time when the internal oscillator is
inapplication mode and receives a wave. The switching time in this case is about 100 μs.
CK0
CK1
CK1
rtc_osc_xi_clkin32
SPRS906_CLK_11
Figure 6-13. rtc_osc_xi_clkin32 Input Clock
6.2
DPLLs, DLLs Specifications
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
189
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
For more information, see:
• Power, Reset, and Clock Management / Clock Management Functional / Internal Clock
Sources / Generators / Generic DPLL Overview Section
and
• Display Subsystem / Display Subsystem Overview section of the Device TRM.
To generate high-frequency clocks, the device supports multiple on-chip DPLLs controlled directly by the
PRCM module. They are of two types: type A and type B DPLLs.
•
They have their own independent power domain (each one embeds its own switch and can be
controlled as an independent functional power domain)
• They are fed with ALWAYS ON system clock, with independent control per DPLL.
The different DPLLs managed by the PRCM are listed below:
• DPLL_MPU: It supplies the MPU subsystem clocking internally.
• DPLL_IVA: It feeds the IVA subsystem clocking.
• DPLL_CORE: It supplies all interface clocks and also few module functional clocks.
• DPLL_PER: It supplies several clock sources: a 192-MHz clock for the display functional clock, a
96-MHz functional clock to subsystems and peripherals.
• DPLL_ABE: It provides clocks to various modules within the device.
• DPLL_USB: It provides 960M clock for USB modules (USB1/2/3/4).
• DPLL_GMAC: It supplies several clocks for the Gigabit Ethernet Switch (GMAC_SW).
• DPLL_DSP: It feeds the DSP Subsystem clocking.
• DPLL_GPU: It supplies clock for the GPU Subsystem.
• DPLL_DDR: It generates clocks for the two External Memory Interface (EMIF) controllers and their
associated EMIF PHYs.
• DPLL_PCIE_REF: It provides reference clock for the APLL_PCIE in PCIE Subsystem.
• APLL_PCIE: It feeds clocks for the device Peripheral Component Interconnect Express (PCIe)
controllers.
NOTE
The following DPLLs are controlled by the clock manager located in the always-on Core
power domain (CM_CORE_AON):
• DPLL_MPU, DPLL_IVA, DPLL_CORE, DPLL_ABE, DPLL_DDR, DPLL_GMAC,
DPLL_PCIE_REF, DPLL_PER, DPLL_USB, DPLL_DSP, DPLL_GPU, APLL_PCIE_REF.
For more information on CM_CORE_AON and CM_CORE or PRCM DPLLs, see the Power, Reset, and
Clock Management (PRCM) chapter of the Device TRM.
The following DPLLs are not managed by the PRCM:
•
•
•
•
•
DPLL_VIDEO1; (It is controlled from DSS)
DPLL_HDMI; (It is controlled from DSS)
DPLL_SATA; (It is controlled from SATA)
DPLL_DEBUG; (It is controlled from DEBUGSS)
DPLL_USB_OTG_SS; (It is controlled from OCP2SCP1)
NOTE
For more information for not controlled from PRCM DPLL’s see the related chapters in TRM.
190
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
6.2.1
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
DPLL Characteristics
The DPLL has three relevant input clocks. One of them is the reference clock (CLKINP) used to generated
the synthesized clock but can also be used as the bypass clock whenever the DPLL enters a bypass
mode. It is therefore mandatory. The second one is a fast bypass clock (CLKINPULOW) used when
selected as the bypass clock and is optional. The third clock (CLKINPHIF) is explained in the next
paragraph.
The DPLL has three output clocks (namely CLKOUT, CLKOUTX2, and CLKOUTHIF). CLKOUT and
CLKOUTX2 run at the bypass frequency whenever the DPLL enters a bypass mode. Both of them are
generated from the lock frequency divided by a post-divider (namely M2 post-divider). The third clock,
CLKOUTHIF, has no automatic bypass capability. It is an output of a post-divider (M3 post-divider) with
the input clock selectable between the internal lock clock (Fdpll) and CLKINPHIF input of the PLL through
an asynchronous multplexing.
For more information, see the Power Reset Controller Management chapter of the Device TRM.
Table 6-12 summarizes DPLL type described in Section 6.2, DPLLs, DLLs Specifications introduction.
Table 6-12. DPLL Control Type
DPLL NAME
TYPE
CONTROLLED BY PRCM
DPLL_ABE
Table 6-13 (Type A)
Yes(1)
DPLL_CORE
Table 6-13 (Type A)
Yes(1)
DPLL_DEBUGSS
Table 6-13 (Type A)
No(2)
DPLL_DSP
Table 6-13 (Type A)
Yes(1)
DPLL_GMAC
Table 6-13 (Type A)
Yes(1)
DPLL_HDMI
Table 6-14 (Type B)
No(2)
DPLL_IVA
Table 6-13 (Type A)
Yes(1)
DPLL_MPU
Table 6-13 (Type A)
Yes(1)
DPLL_PER
Table 6-13 (Type A)
Yes(1)
APLL_PCIE
Table 6-13 (Type A)
Yes(1)
DPLL_PCIE_REF
Table 6-14 (Type B)
Yes(1)
DPLL_SATA
Table 6-14 (Type B)
No(2)
DPLL_USB
Table 6-14 (Type B)
Yes(1)
DPLL_USB_OTG_SS
Table 6-14 (Type B)
No(2)
DPLL_VIDEO1
Table 6-13 (Type A)
No(2)
DPLL_DDR
Table 6-13 (Type A)
Yes(1)
DPLL_GPU
Table 6-13 (Type A)
Yes(1)
(1) DPLL is in the always-on domain.
(2) DPLL is not controlled by the PRCM.
Table 6-13 and Table 6-14 summarize the DPLL characteristics and assume testing over recommended
operating conditions.
Table 6-13. DPLL Type A Characteristics
NAME
finput
DESCRIPTION
MIN
CLKINP input frequency
TYP
0.032
MAX
UNIT
52
MHz
FINP
COMMENTS
finternal
Internal reference frequency
0.15
52
MHz
REFCLK
fCLKINPHIF
CLKINPHIF input frequency
10
1400
MHz
FINPHIF
0.001
600
MHz
Bypass mode: fCLKOUT =
fCLKINPULOW / (M1 + 1) if
ulowclken = 1(6)
20(1)
1400(2)
MHz
[M / (N + 1)] × FINP × [1 / M2]
(in locked condition)
fCLKINPULOW
fCLKOUT
CLKINPULOW input frequency
CLKOUT output frequency
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
191
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 6-13. DPLL Type A Characteristics (continued)
NAME
fCLKOUTx2
DESCRIPTION
MIN
CLKOUTx2 output frequency
TYP
MAX
UNIT
COMMENTS
40(1)
2200(2)
MHz
2 × [M / (N + 1)] × FINP × [1 /
M2] (in locked condition)
20
(4)
1400
MHz
FINPHIF / M3 if clkinphifsel = 1
40(3)
2200(4)
MHz
2 × [M / (N + 1)] × FINP × [1 /
M3] if clkinphifsel = 0
40
2800
MHz
2 × [M / (N + 1)] × FINP (in
locked condition)
(3)
fCLKOUTHIF
CLKOUTHIF output frequency
fCLKDCOLDO
DCOCLKLDO output
frequency
tlock
Frequency lock time
6 + 350 ×
REFCLK
µs
plock
Phase lock time
6 + 500 ×
REFCLK
µs
6 + 70 ×
REFCLK
µs
DPLL in LP relock time:
lowcurrstdby = 1
6 + 120 ×
REFCLK
µs
DPLL in LP relock time:
lowcurrstdby = 1
3.55 + 70 ×
REFCLK
µs
DPLL in fast relock time:
lowcurrstdby = 0
3.55 + 120 ×
REFCLK
µs
DPLL in fast relock time:
lowcurrstdby = 0
trelock-L
Relock time—Frequency
lock(5) (LP relock time from
bypass)
prelock-L
Relock time—Phase lock(5)
(LP relock time from bypass)
trelock-F
Relock time—Frequency
lock(5) (fast relock time from
bypass)
prelock-F
Relock time—Phase lock(5)
(fast relock time from bypass)
(1) The minimum frequencies on CLKOUT and CLKOUTX2 are assuming M2 = 1.
For M2 > 1, the minimum frequency on these clocks will further scale down by factor of M2.
(2) The maximum frequencies on CLKOUT and CLKOUTX2 are assuming M2 = 1.
(3) The minimum frequency on CLKOUTHIF is assuming M3 = 1. For M3 > 1, the minimum frequency on this clock will further scale down
by factor of M3.
(4) The maximum frequency on CLKOUTHIF is assuming M3 = 1.
(5) Relock time assumes typical operating conditions, 10°C maximum temperature drift.
(6) Bypass mode: fCLKOUT = FINP if ulowclken = 0. For more information, see the Device TRM.
Table 6-14. DPLL Type B Characteristics
NAME
MAX
UNIT
CLKINP input clock frequency
0.62
60
MHz
FINP
finternal
REFCLK internal reference
clock frequency
0.62
2.5
MHz
[1 / (N + 1)] × FINP
fCLKINPULOW
CLKINPULOW bypass input
clock frequency
0.001
600
MHz
Bypass mode: fCLKOUT =
fCLKINPULOW / (M1 + 1) If
ulowclken = 1(4)
fCLKLDOOUT
CLKOUTLDO output clock
frequency
20(1)(5)
2500(2)(5)
MHz
M / (N + 1)] × FINP × [1 / M2]
(in locked condition)
CLKOUT output clock
frequency
20(1)(5)
1450(2)(5)
MHz
[M / (N + 1)] × FINP × [1 / M2]
(in locked condition)
750(5)
finput
fCLKOUT
fCLKDCOLDO
DESCRIPTION
MIN
Internal oscillator (DCO) output
clock frequency
TYP
1500(5)
MHz
(5)
2500(5)
MHz
–2.5%
2.5%
1250
COMMENTS
[M / (N + 1)] × FINP (in locked
condition)
CLKOUTLDO period jitter
tJ
CLKOUT period jitter
The period jitter at the output
clocks is ± 2.5% peak to peak
CLKDCOLDO period jitter
tlock
Frequency lock time
350 ×
REFCLKs
µs
plock
Phase lock time
500 ×
REFCLKs
µs
9 + 30 ×
REFCLKs
µs
(3)
trelock-L
192
Relock time—Frequency lock
(LP relock time from bypass)
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 6-14. DPLL Type B Characteristics (continued)
NAME
DESCRIPTION
prelock-L
Relock time—Phase lock(3) (LP
relock time from bypass)
MIN
TYP
MAX
9 + 125 ×
REFCLKs
UNIT
COMMENTS
µs
(1) The minimum frequency on CLKOUT is assuming M2 = 1.
For M2 > 1, the minimum frequency on this clock will further scale down by factor of M2.
(2) The maximum frequency on CLKOUT is assuming M2 = 1.
(3) Relock time assumes typical operating conditions, 10°C maximum temperature drift.
(4) Bypass mode: fCLKOUT = FINP if ULOWCLKEN = 0. For more information, see the Device TRM.
(5) For output clocks, there are two frequency ranges according to the SELFREQDCO setting. For more information, see the Device TRM.
6.2.2
DLL Characteristics
Table 6-15 summarizes the DLL characteristics and assumes testing over recommended operating
conditions.
Table 6-15. DLL Characteristics
NAME
MAX
UNIT
finput
Input clock frequency (EMIF_DLL_FCLK)
266
MHz
tlock
Lock time
50k
cycles
Relock time (a change of the DLL frequency implies that DLL must relock)
50k
cycles
trelock
DESCRIPTION
MIN
TYP
Clock Specifications
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
193
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
7 Timing Requirements and Switching Characteristics
7.1
Timing Test Conditions
All timing requirements and switching characteristics are valid over the recommended operating conditions
unless otherwise specified.
7.2
7.2.1
Interface Clock Specifications
Interface Clock Terminology
The interface clock is used at the system level to sequence the data and/or to control transfers accordingly
with the interface protocol.
7.2.2
Interface Clock Frequency
The two interface clock characteristics are:
• The maximum clock frequency
• The maximum operating frequency
The interface clock frequency documented in this document is the maximum clock frequency, which
corresponds to the maximum frequency programmable on this output clock. This frequency defines the
maximum limit supported by the Device IC and does not take into account any system consideration
(PCB, peripherals).
The system designer will have to consider these system considerations and the Device IC timing
characteristics as well to define properly the maximum operating frequency that corresponds to the
maximum frequency supported to transfer the data on this interface.
7.3
Timing Parameters and Information
The timing parameter symbols used in the timing requirement and switching characteristic tables are
created in accordance with JEDEC Standard 100. To shorten the symbols, some of pin names and other
related terminologies have been abbreviated as follows:
Table 7-1. Timing Parameters
SUBSCRIPTS
194
SYMBOL
PARAMETER
c
Cycle time (period)
d
Delay time
dis
Disable time
en
Enable time
h
Hold time
su
Setup time
START
Start bit
t
Transition time
v
Valid time
w
Pulse duration (width)
X
Unknown, changing, or don't care level
F
Fall time
H
High
L
Low
R
Rise time
V
Valid
IV
Invalid
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-1. Timing Parameters (continued)
SUBSCRIPTS
7.3.1
SYMBOL
PARAMETER
AE
Active Edge
FE
First Edge
LE
Last Edge
Z
High impedance
Parameter Information
Tester Pin Electronics
42 Ω
3.5 nH
Transmission Line
Z0 = 50 Ω
(see Note)
4.0 pF
1.85 pF
Data Sheet Timing Reference Point
Output
Under
Test
Device Pin
(see Note)
NOTE: The data sheet provides timing at the device pin. For output timing analysis, the tester pin electronics and its transmission line effects must be
taken into account. A transmission line with a delay of 2 ns can be used to produce the desired transmission line effect. The transmission line is
intended as a load only. It is not necessary to add or subtract the transmission line delay (2 ns) from the data sheet timings.
Input requirements in this data sheet are tested with an input slew rate of < 4 Volts per nanosecond (4 V/ns) at the device pin.
pm_tstcirc_prs403
Figure 7-1. Test Load Circuit for AC Timing Measurements
The load capacitance value stated is only for characterization and measurement of AC timing signals.
This load capacitance value does not indicate the maximum load the device is capable of driving.
7.3.1.1
1.8V and 3.3V Signal Transition Levels
All input and output timing parameters are referenced to Vref for both "0" and "1" logic levels. Vref = (VDD
I/O)/2.
Vref
pm_io_volt_prs403
Figure 7-2. Input and Output Voltage Reference Levels for AC Timing Measurements
All rise and fall transition timing parameters are referenced to VIL MAX and VIH MIN for input clocks, VOL
MAX and VOH MIN for output clocks.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
195
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Vref = VIH MIN (or VOH MIN)
Vref = VIL MAX (or VOL MAX)
pm_transvolt_prs403
Figure 7-3. Rise and Fall Transition Time Voltage Reference Levels
7.3.1.2
1.8V and 3.3V Signal Transition Rates
The default SLEWCONTROL settings in each pad configuration register must be used to guaranteed
timings, unless specific instructions otherwise are given in the individual timing sub-sections of the
datasheet.
All timings are tested with an input edge rate of 4 volts per nanosecond (4 V/ns).
7.3.1.3
Timing Parameters and Board Routing Analysis
The timing parameter values specified in this data manual do not include delays by board routes. As a
good board design practice, such delays must always be taken into account. Timing values may be
adjusted by increasing/decreasing such delays. TI recommends using the available I/O buffer information
specification (IBIS) models to analyze the timing characteristics correctly. To properly use IBIS models to
attain accurate timing analysis for a given system, see the Using IBIS Models for timing Analysis
application report (literature number SPRA839). If needed, external logic hardware such as buffers may be
used to compensate any timing differences.
7.4
Recommended Clock and Control Signal Transition Behavior
All clocks and control signals must transition between VIH and VIL (or between VIL and VIH) in a monotonic
manner. Monotonic transitions are more easily guaranteed with faster switching signals. Slower input
transitions are more susceptible to glitches due to noise and special care should be taken for slow input
clocks.
7.5
Virtual and Manual I/O Timing Modes
Some of the timings described in the following sections require the use of Virtual or Manual I/O Timing
Modes. Table 7-2 provides a summary of the Virtual and Manual I/O Timing Modes across all device
interfaces. The individual interface timing sections found later in this document provide the full description
of each applicable Virtual and Manual I/O Timing Mode. Refer to the "Pad Configuration" section of the
TRM for the procedure on implementing the Virtual and Manual Timing Modes in a system.
Table 7-2. Modes Summary
Virtual or Manual IO Mode Name
Data Manual Timing Mode
DPI Video Output
No Virtual or Manual IO Timing Mode Required
DPI3 Video Output Default Timings - Rising-edge and Falling-edge Clock Reference
VOUT1_MANUAL1
DPI1 Video Output Alternate Timings
VOUT2_IOSET1_MANUAL1
DPI2 Video Output IOSET1 Alternate Timings
VOUT2_IOSET1_MANUAL2
DPI2 Video Output IOSET1 Default Timings - Rising-edge Clock Reference
VOUT2_IOSET1_MANUAL3
DPI2 Video Output IOSET1 Default Timings - Falling-edge Clock Reference
VOUT2_IOSET2_MANUAL1
DPI2 Video Output IOSET2 Alternate Timings
VOUT2_IOSET2_MANUAL2
DPI2 Video Output IOSET2 Default Timings - Rising-edge Clock Reference
VOUT2_IOSET2_MANUAL3
DPI2 Video Output IOSET2 Default Timings - Falling-edge Clock Reference
VOUT3_MANUAL1
DPI3 Video Output Alternate Timings
GPMC
No Virtual or Manual IO Timing Mode Required
GPMC Asynchronous Mode Timings and Synchronous Mode - 1 Load Timings
GPMC_VIRTUAL1
GPMC Synchronous Mode - 5 Load Timings
196
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-2. Modes Summary (continued)
Virtual or Manual IO Mode Name
Data Manual Timing Mode
McASP
No Virtual or Manual IO Timing Mode Required
McASP1 Asynchronous and Synchronous Transmit Timings
MCASP1_VIRTUAL1_SYNC_RX
See Table 7-51
MCASP1_VIRTUAL2_ASYNC_RX
See Table 7-51
No Virtual or Manual IO Timing Mode Required
McASP2 Asynchronous and Synchronous Transmit Timings
MCASP2_VIRTUAL1_SYNC_RX_80M
See Table 7-52
MCASP2_VIRTUAL2_ASYNC_RX
See Table 7-52
MCASP2_VIRTUAL3_SYNC_RX
See Table 7-52
MCASP2_VIRTUAL4_ASYNC_RX_80M
See Table 7-52
No Virtual or Manual IO Timing Mode Required
McASP3 Synchronous Transmit Timings
MCASP3_VIRTUAL2_SYNC_RX
See Table 7-53
No Virtual or Manual IO Timing Mode Required
McASP4 Synchronous Transmit Timings
MCASP4_VIRTUAL1_SYNC_RX
See Table 7-54
No Virtual or Manual IO Timing Mode Required
McASP5 Synchronous Transmit Timings
MCASP5_VIRTUAL1_SYNC_RX
See Table 7-55
No Virtual or Manual IO Timing Mode Required
McASP6 Synchronous Transmit Timings
MCASP6_VIRTUAL1_SYNC_RX
See Table 7-56
No Virtual or Manual IO Timing Mode Required
McASP7 Synchronous Transmit Timings
MCASP7_VIRTUAL2_SYNC_RX
See Table 7-57
No Virtual or Manual IO Timing Mode Required
McASP8 Synchronous Transmit Timings
MCASP8_VIRTUAL1_SYNC_RX
See Table 7-58
eMMC/SD/SDIO
No Virtual or Manual IO Timing Mode Required
MMC1 DS (Pad Loopback), HS (Internal Loopback and Pad Loopback), SDR12
(Internal Loopback and Pad Loopback), and SDR25 Timings (Internal Loopback and
Pad Loopback) Timings
MMC1_VIRTUAL1
MMC1 SDR50 (Pad Loopback) Timings
MMC1_VIRTUAL4
MMC1 DS (Internal Loopback) Timings
MMC1_VIRTUAL5
MMC1 SDR50 (Internal Loopback) Timings
MMC1_VIRTUAL6
MMC1 DDR50 (Internal Loopback) Timings
MMC1_MANUAL1
MMC1 DDR50 (Pad Loopback) Timings
MMC1_MANUAL2
MMC1 SDR104 Timings
MMC2_MANUAL1
MMC2 DDR (Pad Loopback) Timings
MMC2_MANUAL2
MMC2 DDR (Internal Loopback) Timings
MMC2_MANUAL3
MMC2 HS200 Timings
No Virtual or Manual IO Timing Mode Required
MMC3 DS, SDR12, HS, SDR25 Timings
MMC3_MANUAL1
MMC3 SDR50 Timings
No Virtual or Manual IO Timing Mode Required
MMC4 DS, SDR12, HS, SDR25 Timings
QSPI
No Virtual or Manual IO Timing Mode Required
QSPI Mode 3 Timings
QSPI1_MANUAL1
QSPI Mode 0 Timings
GMAC
No Virtual or Manual IO Timing Mode Required
GMAC MII0/1 Timings
GMAC_RGMII0_MANUAL1
GMAC RGMII0 with Transmit Clock Internal Delay Enabled
GMAC_RGMII1_MANUAL1
GMAC RGMII1 with Transmit Clock Internal Delay Enabled
GMAC_RMII0_MANUAL1
GMAC RMII0 Timings
GMAC_RMII1_MANUAL1
GMAC RMII1 Timings
VIP
VIP_MANUAL1
Copyright © 2016, Texas Instruments Incorporated
VIN2A (IOSET10) Rise-Edge Capture Mode Timings
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
197
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-2. Modes Summary (continued)
Virtual or Manual IO Mode Name
Data Manual Timing Mode
VIP_MANUAL2
VIN2A (IOSET10) Fall-Edge Capture Mode Timings
VIP_MANUAL3
VIN2A (IOSET4/5/6) Rise-Edge Capture Mode Timings
VIP_MANUAL4
VIN2B (IOSET1/2/7) Rise-Edge Capture Mode Timings
VIP_MANUAL5
VIN2A (IOSET4/5/6) Fall-Edge Capture Mode Timings
VIP_MANUAL6
VIN2B (IOSET1/2/7) Fall-Edge Capture Mode Timings
VIP_MANUAL7
VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8) Rise-Edge Capture
Mode Timings
VIP_MANUAL8
VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9) Rise-Edge Capture Mode Timings
VIP_MANUAL9
VIN1B (IOSET6) Rise-Edge Capture Mode Timings
VIP_MANUAL10
VIN1B (IOSET5) and VIN2B (IOSET9) Rise-Edge Capture Mode Timings
VIP_MANUAL11
VIN1B (IOSET5) and VIN2B (IOSET9) Fall-Edge Capture Mode Timings
VIP_MANUAL12
VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8) Fall-Edge Capture Mode
Timings
VIP_MANUAL13
VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9) Fall-Edge Capture Mode Timings
VIP_MANUAL14
VIN1B (IOSET6) Fall-Edge Capture Mode Timings
VIP_MANUAL15
VIN1A (IOSET8/9/10) Rise-Edge Capture Mode Timings
VIP_MANUAL16
VIN1A (IOSET8/9/10) Fall-Edge Capture Mode Timings
PRU-ICSS
No Virtual or Manual IO Timing Mode Required
All PRU_ICSS Modes not covered below
PR1_PRU1_DIR_IN_MANUAL
PRU-ICSS1 PRU1 Direct Input Mode Timings
PR1_PRU1_DIR_OUT_MANUAL
PRU-ICSS1 PRU1 Direct Output Mode Timings
PR1_PRU1_PAR_CAP_MANUAL
PRU-ICSS1 PRU1 Parallel Capture Mode Timings
PR2_PRU0_DIR_IN_MANUAL1
PRU-ICSS2 PRU0 IOSET1 Direct Input Mode Timings
PR2_PRU0_DIR_IN_MANUAL2
PRU-ICSS2 PRU0 IOSET2 Direct Input Mode Timings
PR2_PRU0_DIR_OUT_MANUAL1
PRU-ICSS2 PRU0 IOSET1 Direct Output Mode Timings
PR2_PRU0_DIR_OUT_MANUAL2
PRU-ICSS2 PRU0 IOSET2 Direct Output Mode Timings
PR2_PRU1_DIR_IN_MANUAL1
PRU-ICSS2 PRU1 IOSET1 Direct Input Mode Timings
PR2_PRU1_DIR_IN_MANUAL2
PRU-ICSS2 PRU1 IOSET2 Direct Input Mode Timings
PR2_PRU1_DIR_OUT_MANUAL1
PRU-ICSS2 PRU1 IOSET1 Direct Output Mode Timings
PR2_PRU1_DIR_OUT_MANUAL2
PRU-ICSS2 PRU1 IOSET2 Direct Output Mode Timings
PR2_PRU0_PAR_CAP_MANUAL1
PRU-ICSS2 PRU0 IOSET1 Parallel Capture Mode Timings
PR2_PRU0_PAR_CAP_MANUAL2
PRU-ICSS2 PRU0 IOSET2 Parallel Capture Mode Timings
PR2_PRU1_PAR_CAP_MANUAL1
PRU-ICSS2 PRU1 IOSET1 Parallel Capture Mode Timings
PR2_PRU1_PAR_CAP_MANUAL2
PRU-ICSS2 PRU1 IOSET2 Parallel Capture Mode Timings
HDMI, EMIF, Timers, I2C, HDQ/1-Wire, UART, McSPI, USB, SATA, PCIe, DCAN, GPIO, KBD, PWM, ATL, JTAG, TPIU, RTC, SDMA,
INTC, MLB
No Virtual or Manual IO Timing Mode Required
7.6
All Modes
Video Input Ports (VIP)
The Device includes 1 Video Input Ports (VIP)
Table 7-3, Figure 7-4 and Figure 7-5 present timings and switching characteristics of the VIPs.
CAUTION
The I/O timings provided in this section are valid only for VIN1 and VIN2 if
signals within a single IOSET are used. The IOSETs are defined in Table 7-4.
198
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-3. Timing Requirements for VIP (3)(4)(5)
NO.
PARAMETER
DESCRIPTION
V1
tc(CLK)
Cycle time, vinx_clki (3) (5)
MIN
V2
tw(CLKH)
Pulse duration, vinx_clki high (3) (5)
0.45*P
(2)
ns
V3
tw(CLKL)
Pulse duration, vinx_clki low (3) (5)
0.45*P
(2)
ns
6.06
MAX
(2)
UNIT
ns
(2)
ns
ns
V4
tsu(CTL/DATA-CLK)
Input setup time, Control (vinx_dei, vinx_vsynci, vinx_fldi,
vinx_hsynci) and Data (vinx_dn) valid to vinx_clki transition (3) (4) (5)
3.11
V6
th(CLK-CTL/DATA)
Input hold time, Control (vinx_dei, vinx_vsynci, vinx_fldi, vinx_hsynci)
and Data (vinx_dn) valid from vinx_clki transition (3) (4) (5)
-0.05 (2)
(1) For maximum frequency of 165 MHz.
(2) P = vinx_clki period.
(3) x in vinx = 1a, 1b, 2a, 2b.
(4) n in dn = 0 to 7 when x = 1b, 2b.
n = 0 to 23 when x = 1a, 2a.
(5) i in clki, dei, vsynci, hsynci and fldi = 0 or 1.
V3
V2
V1
vinx_clki
SPRS906_TIMING_VIP_01
Figure 7-4. Video Input Ports clock signal
vinx_clki
(positive-edge clocking)
vinx_clki
(negative-edge clocking)
V5
V4
vinx_d[23:0]/sig
SPRS906_TIMING_VIP_02
Figure 7-5. Video Input Ports timings
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
199
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
In Table 7-4 and Table 7-5 are presented the specific groupings of signals (IOSET) for use with vin1 and vin2.
Table 7-4. VIN1 IOSETs
SIGNALS
IOSET2
BALL
IOSET4 (1)
IOSET3
MUX
BALL
MUX
BALL
MUX
IOSET5 (1)
BALL
MUX
IOSET6 (1)
BALL
IOSET7 (1)
IOSET8
IOSET9
IOSET10
MUX
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
vin1a
vin1a_clk0
P1
2
B11
4
B11
3
P4
4
P4
4
B26
8
AC5
9
E17
7
E17
7
vin1a_hsync0
N7
2
C11
4
C11
3
R3
4
P7
4
E21
8
AB8
9
F12
7
F12
7
vin1a_vsync0
R4
2
E11
4
E11
3
T2
4
N1
4
F20
8
AB5
9
G12
7
G12
7
vin1a_fld0
P9
2
D11
4
D11
3
P9
4
J7
4
F21
8
C17
9
C14
7
C14
7
vin1a_de0
N9
2
B10
4
B10
3
P7
5
H6
4
C23
8
AB4
9
D14
7
D14
7
vin1a_d0
M6
2
B7
4
B7
3
R6
4
R6
4
B14
8
AD6
9
D18
7
C17
7
vin1a_d1
M2
2
B8
4
B8
3
T9
4
T9
4
J14
8
AC8
9
B19
7
B19
7
vin1a_d2
L5
2
A7
4
A7
3
T6
4
T6
4
G13
8
AC3
9
F15
7
F15
7
vin1a_d3
M1
2
A8
4
A8
3
T7
4
T7
4
J11
8
AC9
9
B18
7
B18
7
vin1a_d4
L6
2
C9
4
C9
3
P6
4
P6
4
E12
8
AC6
9
A16
7
A16
7
vin1a_d5
L4
2
A9
4
A9
3
R9
4
R9
4
F13
8
AC7
9
C15
7
C15
7
vin1a_d6
L3
2
B9
4
B9
3
R5
4
R5
4
C12
8
AC4
9
A18
7
A18
7
vin1a_d7
L2
2
A10
4
A10
3
P5
4
P5
4
D12
8
AD4
9
A19
7
A19
7
vin1a_d8
L1
2
E8
4
E8
3
U2
4
U2
4
E15
8
AA4
9
F14
7
F14
7
vin1a_d9
K2
2
D9
4
D9
3
U1
4
U1
4
A20
8
AB3
9
G14
7
G14
7
vin1a_d10
J1
2
D7
4
D7
3
P3
4
P3
4
B15
8
AB9
9
A13
7
A13
7
vin1a_d11
J2
2
D8
4
D8
3
R2
4
R2
4
A15
8
AA3
9
E14
7
E14
7
vin1a_d12
H1
2
A5
4
A5
3
K7
4
K7
4
D15
8
D17
9
A12
7
A12
7
vin1a_d13
J3
2
C6
4
C6
3
M7
4
M7
4
B16
8
G16
9
B13
7
B13
7
vin1a_d14
H2
2
C8
4
C8
3
J5
4
J5
4
B17
8
A21
9
A11
7
A11
7
vin1a_d15
H3
2
C7
4
C7
3
K6
4
K6
4
A17
8
C18
9
B12
7
B12
7
vin1a_d16
R6
2
F11
4
F11
3
C18
8
vin1a_d17
T9
2
G10
4
G10
3
A21
8
vin1a_d18
T6
2
F10
4
F10
3
G16
8
vin1a_d19
T7
2
G11
4
G11
3
D17
8
vin1a_d20
P6
2
E9
4
E9
3
AA3
8
vin1a_d21
R9
2
F9
4
F9
3
AB9
8
vin1a_d22
R5
2
F8
4
F8
3
AB3
8
vin1a_d23
P5
2
E7
4
E7
3
AA4
8
200
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-4. VIN1 IOSETs (continued)
SIGNALS
IOSET2
BALL
MUX
IOSET3
BALL
MUX
IOSET4 (1)
BALL
MUX
IOSET5 (1)
BALL
MUX
IOSET6 (1)
BALL
IOSET7 (1)
MUX
BALL
MUX
IOSET8
BALL
IOSET9
MUX
BALL
IOSET10
MUX
BALL
MUX
vin1b
vin1b_clk1
P7
6
M4
4
V1
5
N9
6
vin1b_hsync1
H5
6
H5
6
U7
5
N7
6
vin1b_vsync1
H6
6
H6
6
V6
5
R4
6
vin1b_fld1
M4
6
W2
5
P4
6
vin1b_de1
N6
6
N6
6
V7
5
P9
6
vin1b_d0
K7
6
K7
6
U4
5
R6
6
vin1b_d1
M7
6
M7
6
V2
5
T9
6
vin1b_d2
J5
6
J5
6
Y1
5
T6
6
vin1b_d3
K6
6
K6
6
W9
5
T7
6
vin1b_d4
J7
6
J7
6
V9
5
P6
6
vin1b_d5
J4
6
J4
6
U5
5
R9
6
vin1b_d6
J6
6
J6
6
V5
5
R5
6
vin1b_d7
H4
6
H4
6
V4
5
P5
6
(1) The IOSET under this column is only applicable for pins with alternate functionality which allows either VIN1 or VIN2 signals to be mapped to the pins. These alternate functions are
controlled via CTRL_CORE_VIP_MUX_SELECT register. For more information on how to use these options, please refer to Device TRM, chapter Control Module, section Pad
Configuration Registers.
Table 7-5. VIN2 IOSETs
SIGNALS
IOSET1
BALL
MUX
IOSET2
BALL
MUX
IOSET4
BALL
IOSET5
MUX
BALL
IOSET7 (1)
IOSET6
MUX
BALL
IOSET8 (1)
IOSET9 (1)
IOSET10 (1)
MUX
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
P4
4
B26
8
vin2a
vin2a_clk0
E1
0
E1
0
V1
4
B11
3
P4
4
vin2a_hsync0
G1
0
G1
0
U7
4
C11
3
R3
4
P7
4
E21
8
vin2a_vsync0
G6
0
G6
0
V6
4
E11
3
T2
4
N1
4
F20
8
vin2a_fld0
H7
0
G2
1
W2
4
D11
3
P9
4
J7
4
F21
8
vin2a_de0
G2
0
V7
4
B10
3
P7
5
H6
4
C23
8
vin2a_d0
F2
0
F2
0
U4
4
B7
3
R6
4
R6
4
B14
8
vin2a_d1
F3
0
F3
0
V2
4
B8
3
T9
4
T9
4
J14
8
vin2a_d2
D1
0
D1
0
Y1
4
A7
3
T6
4
T6
4
G13
8
vin2a_d3
E2
0
E2
0
W9
4
A8
3
T7
4
T7
4
J11
8
vin2a_d4
D2
0
D2
0
V9
4
C9
3
P6
4
P6
4
E12
8
vin2a_d5
F4
0
F4
0
U5
4
A9
3
R9
4
R9
4
F13
8
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
201
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-5. VIN2 IOSETs (continued)
SIGNALS
IOSET1
BALL
IOSET2
MUX
BALL
IOSET4
MUX
IOSET5
IOSET7 (1)
IOSET6
IOSET8 (1)
IOSET9 (1)
IOSET10 (1)
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
BALL
MUX
vin2a_d6
C1
0
C1
0
V5
4
B9
3
R5
4
R5
4
C12
8
vin2a_d7
E4
0
E4
0
V4
4
A10
3
P5
4
P5
4
D12
8
vin2a_d8
F5
0
F5
0
V3
4
E8
3
U2
4
U2
4
E15
8
vin2a_d9
E6
0
E6
0
Y2
4
D9
3
U1
4
U1
4
A20
8
vin2a_d10
D3
0
D3
0
U6
4
D7
3
P3
4
P3
4
B15
8
vin2a_d11
F6
0
F6
0
U3
4
D8
3
R2
4
R2
4
A15
8
vin2a_d12
D5
0
D5
0
A5
3
K7
4
K7
4
D15
8
vin2a_d13
C2
0
C2
0
C6
3
M7
4
M7
4
B16
8
vin2a_d14
C3
0
C3
0
C8
3
J5
4
J5
4
B17
8
vin2a_d15
C4
0
C4
0
C7
3
K6
4
K6
4
A17
8
vin2a_d16
B2
0
B2
0
F11
3
C18
8
vin2a_d17
D6
0
D6
0
G10
3
A21
8
vin2a_d18
C5
0
C5
0
F10
3
G16
8
vin2a_d19
A3
0
A3
0
G11
3
D17
8
vin2a_d20
B3
0
B3
0
E9
3
AA3
8
vin2a_d21
B4
0
B4
0
F9
3
AB9
8
vin2a_d22
B5
0
B5
0
F8
3
AB3
8
vin2a_d23
A4
0
A4
0
E7
3
AA4
8
vin2b
vin2b_clk1
P7
6
M4
4
H7
2
H7
2
AB5
4
vin2b_hsync1
H5
6
H5
6
G1
3
G1
3
AC5
4
vin2b_vsync1
H6
6
H6
6
G6
3
G6
3
AB4
4
G2
2
vin2b_fld1
M4
6
vin2b_de1
N6
6
N6
6
G2
3
AB8
4
vin2b_d0
K7
6
K7
6
A4
2
A4
2
AD6
4
vin2b_d1
M7
6
M7
6
B5
2
B5
2
AC8
4
vin2b_d2
J5
6
J5
6
B4
2
B4
2
AC3
4
vin2b_d3
K6
6
K6
6
B3
2
B3
2
AC9
4
vin2b_d4
J7
6
J7
6
A3
2
A3
2
AC6
4
vin2b_d5
J4
6
J4
6
C5
2
C5
2
AC7
4
vin2b_d6
J6
6
J6
6
D6
2
D6
2
AC4
4
vin2b_d7
H4
6
H4
6
B2
2
B2
2
AD4
4
202
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) The IOSET under this column is only applicable for pins with alternate functionality which allows either VIN1 or VIN2 signals to be mapped to the pins. These alternate functions are
controlled via CTRL_CORE_VIP_MUX_SELECT register. For more information on how to use these options, please refer to Device TRM, chapter Control Module, section Pad
Configuration Registers.
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
203
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section "Manual IO Timing Modes" of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information please see the Control Module chapter in the Device TRM.
204
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Manual Functions Mapping for VIP1 2A IOSET10 for a definition of the Manual modes.
Table 7-6 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-6. Manual Functions Mapping for VIP1 2A IOSET10
BALL
BALL NAME
VIP_MANUAL1
VIP_MANUAL2
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
E21
gpio6_14
1400
240
1767
0
CFG_GPIO6_14_IN
vin2a_hsync0
F20
gpio6_15
1170
240
1522
0
CFG_GPIO6_15_IN
vin2a_vsync0
8
F21
gpio6_16
1470
0
1600
0
CFG_GPIO6_16_IN
vin2a_fld0
B14
mcasp1_aclkr
2145
200
2509
0
CFG_MCASP1_ACLKR_IN
vin2a_d0
G13
mcasp1_axr2
2740
900
2680
1180
CFG_MCASP1_AXR2_IN
vin2a_d2
J11
mcasp1_axr3
2933
200
2700
600
CFG_MCASP1_AXR3_IN
vin2a_d3
E12
mcasp1_axr4
2901
240
2660
700
CFG_MCASP1_AXR4_IN
vin2a_d4
F13
mcasp1_axr5
2600
840
2640
920
CFG_MCASP1_AXR5_IN
vin2a_d5
C12
mcasp1_axr6
2718
240
3081
0
CFG_MCASP1_AXR6_IN
vin2a_d6
D12
mcasp1_axr7
2983
240
2540
800
CFG_MCASP1_AXR7_IN
vin2a_d7
J14
mcasp1_fsr
2203
240
2566
0
CFG_MCASP1_FSR_IN
vin2a_d1
E15
mcasp2_aclkr
2143
240
2492
0
CFG_MCASP2_ACLKR_IN
vin2a_d8
B15
mcasp2_axr0
2543
240
2905
0
CFG_MCASP2_AXR0_IN
vin2a_d10
A15
mcasp2_axr1
2664
240
2730
400
CFG_MCASP2_AXR1_IN
vin2a_d11
D15
mcasp2_axr4
2792
240
2750
400
CFG_MCASP2_AXR4_IN
vin2a_d12
B16
mcasp2_axr5
2621
300
2983
0
CFG_MCASP2_AXR5_IN
vin2a_d13
B17
mcasp2_axr6
1903
100
2086
0
CFG_MCASP2_AXR6_IN
vin2a_d14
A17
mcasp2_axr7
2928
200
2670
700
CFG_MCASP2_AXR7_IN
vin2a_d15
A20
mcasp2_fsr
2291
200
2654
0
CFG_MCASP2_FSR_IN
vin2a_d9
C18
mcasp4_aclkx
1433
0
1540
0
CFG_MCASP4_ACLKX_IN
vin2a_d16
G16
mcasp4_axr0
2500
0
2560
0
CFG_MCASP4_AXR0_IN
vin2a_d18
D17
mcasp4_axr1
2379
100
2599
0
CFG_MCASP4_AXR1_IN
vin2a_d19
A21
mcasp4_fsx
1500
1400
1900
1040
CFG_MCASP4_FSX_IN
vin2a_d17
AA3
mcasp5_aclkx
3740
1850
3900
1700
CFG_MCASP5_ACLKX_IN
vin2a_d20
AB3
mcasp5_axr0
3800
2760
3800
2800
CFG_MCASP5_AXR0_IN
vin2a_d22
AA4
mcasp5_axr1
4099
2500
3900
2870
CFG_MCASP5_AXR1_IN
vin2a_d23
AB9
mcasp5_fsx
3740
2100
3860
2060
CFG_MCASP5_FSX_IN
vin2a_d21
B26
xref_clk2
0
0
0
0
CFG_XREF_CLK2_IN
vin2a_clk0
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
205
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-6. Manual Functions Mapping for VIP1 2A IOSET10 (continued)
BALL
BALL NAME
C23
xref_clk3
VIP_MANUAL1
VIP_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
1440
0
1623
0
CFG REGISTER
MUXMODE
CFG_XREF_CLK3_IN
vin2a_de0
8
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-7 Manual Functions Mapping for VIN2A (IOSET4/5/6) for a definition of the Manual modes.
Table 7-7 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-7. Manual Functions Mapping for VIN2A (IOSET4/5/6)
BAL
L
BALL NAME
U3
VIP_MANUAL3
VIP_MANUAL5
CFG REGISTER
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
RMII_MHZ_50
_CLK
2616
1379
2798
1294
U4
mdio_d
2558
1105
2790
954
MUXMODE
0
1
2
3
4
CFG_RMII_MHZ_50_CLK_I
N
-
-
-
-
vin2a_d11
CFG_MDIO_D_IN
-
-
-
-
vin2a_d0
V1
mdio_mclk
998
463
1029
431
CFG_MDIO_MCLK_IN
-
-
-
-
vin2a_clk0
U5
rgmii0_rxc
2658
862
2896
651
CFG_RGMII0_RXC_IN
-
-
-
-
vin2a_d5
V5
rgmii0_rxctl
2658
1628
2844
1518
CFG_RGMII0_RXCTL_IN
-
-
-
-
vin2a_d6
W2
rgmii0_rxd0
2638
1123
2856
888
CFG_RGMII0_RXD0_IN
-
-
-
-
vin2a_fld0
Y2
rgmii0_rxd1
2641
1737
2804
1702
CFG_RGMII0_RXD1_IN
-
-
-
-
vin2a_d9
V3
rgmii0_rxd2
2641
1676
2801
1652
CFG_RGMII0_RXD2_IN
-
-
-
-
vin2a_d8
V4
rgmii0_rxd3
2644
1828
2807
1790
CFG_RGMII0_RXD3_IN
-
-
-
-
vin2a_d7
W9
rgmii0_txc
2638
1454
2835
1396
CFG_RGMII0_TXC_IN
-
-
-
-
vin2a_d3
V9
rgmii0_txctl
2672
1663
2831
1640
CFG_RGMII0_TXCTL_IN
-
-
-
-
vin2a_d4
U6
rgmii0_txd0
2604
1442
2764
1417
CFG_RGMII0_TXD0_IN
-
-
-
-
vin2a_d10
V6
rgmii0_txd1
2683
1598
2843
1600
CFG_RGMII0_TXD1_IN
-
-
-
-
vin2a_vsync
0
U7
rgmii0_txd2
2563
1483
2816
1344
CFG_RGMII0_TXD2_IN
-
-
-
-
vin2a_hsync
0
V7
rgmii0_txd3
2717
1461
2913
1310
CFG_RGMII0_TXD3_IN
-
-
-
-
vin2a_de0
V2
uart3_rxd
2445
1145
2743
923
CFG_UART3_RXD_IN
-
-
-
-
vin2a_d1
Y1
uart3_txd
2650
1197
2842
1080
CFG_UART3_TXD_IN
-
-
-
-
vin2a_d2
E1
vin2a_clk0
0
0
0
0
CFG_VIN2A_CLK0_IN
vin2a_clk0
-
-
-
-
F2
vin2a_d0
1812
102
1936
0
CFG_VIN2A_D0_IN
vin2a_d0
-
-
-
-
F3
vin2a_d1
1701
439
2229
10
CFG_VIN2A_D1_IN
vin2a_d1
-
-
-
-
D3
vin2a_d10
1720
215
2031
0
CFG_VIN2A_D10_IN
vin2a_d10
-
-
-
-
206
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-7. Manual Functions Mapping for VIN2A (IOSET4/5/6) (continued)
BAL
L
BALL NAME
F6
VIP_MANUAL3
VIP_MANUAL5
CFG REGISTER
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
vin2a_d11
1622
0
1702
0
D5
vin2a_d12
1350
412
1819
C2
vin2a_d13
1613
147
1476
C3
vin2a_d14
1149
516
1701
C4
vin2a_d15
1530
450
MUXMODE
0
1
2
3
4
CFG_VIN2A_D11_IN
vin2a_d11
-
-
-
-
0
CFG_VIN2A_D12_IN
vin2a_d12
-
-
-
-
260
CFG_VIN2A_D13_IN
vin2a_d13
-
-
-
-
0
CFG_VIN2A_D14_IN
vin2a_d14
-
-
-
-
2021
0
CFG_VIN2A_D15_IN
vin2a_d15
-
-
-
-
B2
vin2a_d16
1512
449
2044
11
CFG_VIN2A_D16_IN
vin2a_d16
-
vin2b_d7
-
-
D6
vin2a_d17
1293
488
1839
5
CFG_VIN2A_D17_IN
vin2a_d17
-
vin2b_d6
-
-
C5
vin2a_d18
2140
371
2494
0
CFG_VIN2A_D18_IN
vin2a_d18
-
vin2b_d5
-
-
A3
vin2a_d19
2041
275
1699
611
CFG_VIN2A_D19_IN
vin2a_d19
-
vin2b_d4
-
-
D1
vin2a_d2
1675
35
1736
0
CFG_VIN2A_D2_IN
vin2a_d2
-
-
-
-
B3
vin2a_d20
1972
441
2412
88
CFG_VIN2A_D20_IN
vin2a_d20
-
vin2b_d3
-
-
B4
vin2a_d21
1957
556
2391
161
CFG_VIN2A_D21_IN
vin2a_d21
-
vin2b_d2
-
-
B5
vin2a_d22
2011
433
2446
102
CFG_VIN2A_D22_IN
vin2a_d22
-
vin2b_d1
-
-
A4
vin2a_d23
1962
523
2395
145
CFG_VIN2A_D23_IN
vin2a_d23
-
vin2b_d0
-
-
E2
vin2a_d3
1457
361
1943
0
CFG_VIN2A_D3_IN
vin2a_d3
-
-
-
-
D2
vin2a_d4
1535
0
1601
0
CFG_VIN2A_D4_IN
vin2a_d4
-
-
-
-
F4
vin2a_d5
1676
271
2052
0
CFG_VIN2A_D5_IN
vin2a_d5
-
-
-
-
C1
vin2a_d6
1513
0
1571
0
CFG_VIN2A_D6_IN
vin2a_d6
-
-
-
-
E4
vin2a_d7
1616
141
1855
0
CFG_VIN2A_D7_IN
vin2a_d7
-
-
-
-
F5
vin2a_d8
1286
437
1224
618
CFG_VIN2A_D8_IN
vin2a_d8
-
-
-
-
E6
vin2a_d9
1544
265
1373
509
CFG_VIN2A_D9_IN
vin2a_d9
-
-
-
-
G2
vin2a_de0
1732
208
1949
0
CFG_VIN2A_DE0_IN
vin2a_de0
vin2a_fld0
vin2b_fld1
vin2b_de1
-
H7
vin2a_fld0
1461
562
1983
151
CFG_VIN2A_FLD0_IN
vin2a_fld0
-
vin2b_clk1
-
-
G1
vin2a_hsync0
1877
0
1943
0
CFG_VIN2A_HSYNC0_IN
vin2a_hsync
0
-
-
vin2b_hsync
1
-
G6
vin2a_vsync0
1566
0
1612
0
CFG_VIN2A_VSYNC0_IN
vin2a_vsync
0
-
-
vin2b_vsync
1
-
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-8 Manual Functions Mapping for VIN2B (IOSET1/2/7) for a definition of the Manual modes.
Table 7-8 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
207
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-8. Manual Functions Mapping for VIN2B (IOSET1/2/7)
BALL
AC5
BALL NAME
VIP_MANUAL4
VIP_MANUAL6
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
gpio6_10
2829
884
3009
892
MUXMODE
2
3
4
CFG_GPIO6_10_IN
-
-
vin2b_hsync1
AB4
gpio6_11
2648
1033
2890
1096
CFG_GPIO6_11_IN
-
-
vin2b_vsync1
AD4
mmc3_clk
2794
1074
2997
1089
CFG_MMC3_CLK_IN
-
-
vin2b_d7
AC4
mmc3_cmd
2789
1162
2959
1210
CFG_MMC3_CMD_IN
-
-
vin2b_d6
AC7
mmc3_dat0
2689
1180
2897
1269
CFG_MMC3_DAT0_IN
-
-
vin2b_d5
AC6
mmc3_dat1
2605
1219
2891
1219
CFG_MMC3_DAT1_IN
-
-
vin2b_d4
AC9
mmc3_dat2
2616
703
2947
590
CFG_MMC3_DAT2_IN
-
-
vin2b_d3
AC3
mmc3_dat3
2760
1235
2931
1342
CFG_MMC3_DAT3_IN
-
-
vin2b_d2
AC8
mmc3_dat4
2757
880
2979
891
CFG_MMC3_DAT4_IN
-
-
vin2b_d1
AD6
mmc3_dat5
2688
1177
2894
1262
CFG_MMC3_DAT5_IN
-
-
vin2b_d0
AB8
mmc3_dat6
2638
1165
2894
1187
CFG_MMC3_DAT6_IN
-
-
vin2b_de1
AB5
mmc3_dat7
995
182
1202
107
CFG_MMC3_DAT7_IN
-
-
vin2b_clk1
B2
vin2a_d16
1423
0
1739
0
CFG_VIN2A_D16_IN
vin2b_d7
-
-
D6
vin2a_d17
1253
0
1568
0
CFG_VIN2A_D17_IN
vin2b_d6
-
-
C5
vin2a_d18
2080
0
2217
0
CFG_VIN2A_D18_IN
vin2b_d5
-
-
A3
vin2a_d19
1849
0
2029
0
CFG_VIN2A_D19_IN
vin2b_d4
-
-
B3
vin2a_d20
1881
50
2202
0
CFG_VIN2A_D20_IN
vin2b_d3
-
-
B4
vin2a_d21
1917
167
2313
0
CFG_VIN2A_D21_IN
vin2b_d2
-
-
B5
vin2a_d22
1955
79
2334
0
CFG_VIN2A_D22_IN
vin2b_d1
-
-
A4
vin2a_d23
1899
145
2288
0
CFG_VIN2A_D23_IN
vin2b_d0
-
-
G2
vin2a_de0
1568
261
2048
0
CFG_VIN2A_DE0_IN
vin2b_fld1
vin2b_de1
-
H7
vin2a_fld0
0
0
0
0
CFG_VIN2A_FLD0_IN
vin2b_clk1
-
-
G1
vin2a_hsync0
1793
0
2011
0
CFG_VIN2A_HSYNC0_IN
-
vin2b_hsync1
-
G6
vin2a_vsync0
1382
0
1632
0
CFG_VIN2A_VSYNC0_IN
-
vin2b_vsync1
-
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-9 Manual Functions Mapping for VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8)
for a definition of the Manual modes.
Table 7-9 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
208
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-9. Manual Functions Mapping for VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8)
BAL
L
BALL
NAME
R6
T9
VIP_MANUAL7
VIP_MANUAL12
CFG REGISTER
MUXMODE
2
3(1)
3(1)
4(1)
4(1)
5
6
CFG_GPMC_A0_IN
vin1a_d16
-
-
vin2a_d0
-
-
vin1b_d0
CFG_GPMC_A1_IN
vin1a_d17
-
-
vin2a_d1
-
-
vin1b_d1
1433
CFG_GPMC_A10_IN
vin1a_de0
-
-
-
-
-
vin1b_clk1
3290
1693
CFG_GPMC_A11_IN
vin1a_fld0
-
-
vin2a_fld0
vin1a_fld0
-
vin1b_de1
1246
0
CFG_GPMC_A19_IN
-
-
-
vin2a_d12
-
-
vin2b_d0
1960
3322
1850
CFG_GPMC_A2_IN
vin1a_d18
-
-
vin2a_d2
-
-
vin1b_d2
859
0
720
0
CFG_GPMC_A20_IN
-
-
-
vin2a_d13
-
-
vin2b_d1
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
gpmc_a0
3080
1792
3376
1632
gpmc_a1
2958
1890
3249
1749
N9
gpmc_a10
3073
1653
3388
P9
gpmc_a11
3014
1784
K7
gpmc_a19
1385
0
T6
gpmc_a2
3041
M7
gpmc_a20
J5
gpmc_a21
1465
0
1334
0
CFG_GPMC_A21_IN
-
-
-
vin2a_d14
-
-
vin2b_d2
K6
gpmc_a22
1210
0
1064
0
CFG_GPMC_A22_IN
-
-
-
vin2a_d15
-
-
vin2b_d3
J7
gpmc_a23
1111
0
954
0
CFG_GPMC_A23_IN
-
-
-
vin2a_fld0
-
-
vin2b_d4
J4
gpmc_a24
1137
0
1051
0
CFG_GPMC_A24_IN
-
-
-
-
-
-
vin2b_d5
J6
gpmc_a25
1402
0
1283
0
CFG_GPMC_A25_IN
-
-
-
-
-
-
vin2b_d6
H4
gpmc_a26
1298
0
1153
0
CFG_GPMC_A26_IN
-
-
-
-
-
-
vin2b_d7
H5
gpmc_a27
934
0
870
0
CFG_GPMC_A27_IN
-
-
-
-
-
-
vin2b_hsyn
c1
T7
gpmc_a3
3019
2145
3296
2050
CFG_GPMC_A3_IN
vin1a_d19
-
-
vin2a_d3
-
-
vin1b_d3
P6
gpmc_a4
3063
1981
3357
1829
CFG_GPMC_A4_IN
vin1a_d20
-
-
vin2a_d4
-
-
vin1b_d4
R9
gpmc_a5
3021
1954
3304
1840
CFG_GPMC_A5_IN
vin1a_d21
-
-
vin2a_d5
-
-
vin1b_d5
R5
gpmc_a6
3062
1716
3348
1592
CFG_GPMC_A6_IN
vin1a_d22
-
-
vin2a_d6
-
-
vin1b_d6
P5
gpmc_a7
3260
1889
3583
1631
CFG_GPMC_A7_IN
vin1a_d23
-
-
vin2a_d7
-
-
vin1b_d7
N7
gpmc_a8
3033
1702
3328
1547
CFG_GPMC_A8_IN
vin1a_hsyn
c0
-
-
-
-
-
vin1b_hsyn
c1
R4
gpmc_a9
2991
1905
3281
1766
CFG_GPMC_A9_IN
vin1a_vsyn
c0
-
-
-
-
-
vin1b_vsyn
c1
M6
gpmc_ad0
2907
1342
3181
1255
CFG_GPMC_AD0_IN
vin1a_d0
-
-
-
-
-
-
M2
gpmc_ad1
2858
1321
3132
1234
CFG_GPMC_AD1_IN
vin1a_d1
-
-
-
-
-
-
J1
gpmc_ad10
2920
1384
3223
1204
CFG_GPMC_AD10_IN
vin1a_d10
-
-
-
-
-
-
J2
gpmc_ad11
2719
1310
3019
1198
CFG_GPMC_AD11_IN
vin1a_d11
-
-
-
-
-
-
H1
gpmc_ad12
2845
1135
3160
917
CFG_GPMC_AD12_IN
vin1a_d12
-
-
-
-
-
-
J3
gpmc_ad13
2765
1225
3045
1119
CFG_GPMC_AD13_IN
vin1a_d13
-
-
-
-
-
-
H2
gpmc_ad14
2845
1150
3153
952
CFG_GPMC_AD14_IN
vin1a_d14
-
-
-
-
-
-
H3
gpmc_ad15
2766
1453
3044
1355
CFG_GPMC_AD15_IN
vin1a_d15
-
-
-
-
-
-
L5
gpmc_ad2
2951
1296
3226
1209
CFG_GPMC_AD2_IN
vin1a_d2
-
-
-
-
-
-
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
209
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-9. Manual Functions Mapping for VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8) (continued)
BAL
L
BALL
NAME
M1
VIP_MANUAL7
VIP_MANUAL12
CFG REGISTER
MUXMODE
4(1)
4(1)
5
6
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
vin1a_d6
-
-
-
-
-
-
CFG_GPMC_AD7_IN
vin1a_d7
-
-
-
-
-
-
2
3
(1)
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
gpmc_ad3
2825
1154
3121
997
CFG_GPMC_AD3_IN
vin1a_d3
-
L6
gpmc_ad4
2927
1245
3246
1014
CFG_GPMC_AD4_IN
vin1a_d4
L4
gpmc_ad5
2923
1251
3217
1098
CFG_GPMC_AD5_IN
vin1a_d5
L3
gpmc_ad6
2958
1342
3238
1239
CFG_GPMC_AD6_IN
L2
gpmc_ad7
2900
1244
3174
1157
3
(1)
L1
gpmc_ad8
2845
1585
3125
1482
CFG_GPMC_AD8_IN
vin1a_d8
-
-
-
-
-
K2
gpmc_ad9
2779
1343
3086
1223
CFG_GPMC_AD9_IN
vin1a_d9
-
-
-
-
-
-
N6
gpmc_ben0
1555
0
1425
0
CFG_GPMC_BEN0_IN
-
-
-
-
-
-
vin2b_de1
M4
gpmc_ben1
1501
0
1397
0
CFG_GPMC_BEN1_IN
-
-
-
vin2b_clk1
-
-
vin2b_fld1
P7
gpmc_clk
0
0
0
0
CFG_GPMC_CLK_IN
-
-
-
vin2a_hsyn
c0
-
vin2a_de0
vin2b_clk1
H6
gpmc_cs1
1192
0
1102
0
CFG_GPMC_CS1_IN
-
-
-
vin2a_de0
-
-
vin2b_vsyn
c1
P1
gpmc_cs3
1324
374
1466
353
CFG_GPMC_CS3_IN
vin1a_clk0
-
-
-
-
-
-
D11
vout1_clk
1648
885
1762
928
CFG_VOUT1_CLK_IN
-
vin2a_fld0
vin1a_fld0
vin1a_fld0
-
-
-
F11
vout1_d0
2197
565
2734
215
CFG_VOUT1_D0_IN
-
vin2a_d16
vin1a_d16
vin1a_d16
-
-
-
G10
vout1_d1
2221
576
2750
230
CFG_VOUT1_D1_IN
-
vin2a_d17
vin1a_d17
vin1a_d17
-
-
-
D7
vout1_d10
1800
863
1910
916
CFG_VOUT1_D10_IN
-
vin2a_d10
vin1a_d10
vin1a_d10
-
-
-
D8
vout1_d11
1656
931
1780
945
CFG_VOUT1_D11_IN
-
vin2a_d11
vin1a_d11
vin1a_d11
-
-
-
A5
vout1_d12
1719
1086
1866
1041
CFG_VOUT1_D12_IN
-
vin2a_d12
vin1a_d12
vin1a_d12
-
-
-
C6
vout1_d13
1757
928
1851
1022
CFG_VOUT1_D13_IN
-
vin2a_d13
vin1a_d13
vin1a_d13
-
-
-
C8
vout1_d14
2279
345
2788
0
CFG_VOUT1_D14_IN
-
vin2a_d14
vin1a_d14
vin1a_d14
-
-
-
C7
vout1_d15
1810
874
2786
69
CFG_VOUT1_D15_IN
-
vin2a_d15
vin1a_d15
vin1a_d15
-
-
-
B7
vout1_d16
1763
774
1880
807
CFG_VOUT1_D16_IN
-
vin2a_d0
vin1a_d0
vin1a_d0
-
-
-
B8
vout1_d17
1695
788
1805
838
CFG_VOUT1_D17_IN
-
vin2a_d1
vin1a_d1
vin1a_d1
-
-
-
A7
vout1_d18
1777
590
1871
684
CFG_VOUT1_D18_IN
-
vin2a_d2
vin1a_d2
vin1a_d2
-
-
-
A8
vout1_d19
2047
22
2196
0
CFG_VOUT1_D19_IN
-
vin2a_d3
vin1a_d3
vin1a_d3
-
-
-
F10
vout1_d2
1809
941
2759
178
CFG_VOUT1_D2_IN
-
vin2a_d18
vin1a_d18
vin1a_d18
-
-
-
C9
vout1_d20
1676
944
1795
973
CFG_VOUT1_D20_IN
-
vin2a_d4
vin1a_d4
vin1a_d4
-
-
-
A9
vout1_d21
1712
688
1848
670
CFG_VOUT1_D21_IN
-
vin2a_d5
vin1a_d5
vin1a_d5
-
-
-
B9
vout1_d22
1698
557
2443
0
CFG_VOUT1_D22_IN
-
vin2a_d6
vin1a_d6
vin1a_d6
-
-
-
A10
vout1_d23
1627
1035
1726
1116
CFG_VOUT1_D23_IN
-
vin2a_d7
vin1a_d7
vin1a_d7
-
-
-
210
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-9. Manual Functions Mapping for VIN1A (IOSET2/3) and VIN1B (IOSET4) and VIN2B (IOSET8) (continued)
BAL
L
BALL
NAME
G11
VIP_MANUAL7
VIP_MANUAL12
CFG REGISTER
MUXMODE
4(1)
4(1)
5
6
vin1a_d19
vin1a_d19
-
-
-
vin2a_d20
vin1a_d20
vin1a_d20
-
-
-
vin2a_d21
vin1a_d21
vin1a_d21
-
-
-
-
vin2a_d22
vin1a_d22
vin1a_d22
-
-
-
CFG_VOUT1_D7_IN
-
vin2a_d23
vin1a_d23
vin1a_d23
-
-
-
2
3
(1)
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
vout1_d3
2427
429
2853
167
CFG_VOUT1_D3_IN
-
vin2a_d19
E9
vout1_d4
2351
412
2845
85
CFG_VOUT1_D4_IN
-
F9
vout1_d5
1634
983
1729
1076
CFG_VOUT1_D5_IN
-
F8
vout1_d6
1776
880
2736
107
CFG_VOUT1_D6_IN
E7
vout1_d7
2272
351
2757
53
3
(1)
E8
vout1_d8
1724
898
1819
990
CFG_VOUT1_D8_IN
-
vin2a_d8
vin1a_d8
vin1a_d8
-
-
-
D9
vout1_d9
2281
566
2804
195
CFG_VOUT1_D9_IN
-
vin2a_d9
vin1a_d9
vin1a_d9
-
-
-
B10
vout1_de
1734
749
1828
842
CFG_VOUT1_DE_IN
-
vin2a_de0
vin1a_de0
vin1a_de0
-
-
-
vin1a_clk0
B11
vout1_fld
0
0
0
0
CFG_VOUT1_FLD_IN
-
vin2a_clk0
vin1a_clk0
-
-
-
C11
vout1_hsync
1634
606
2399
0
CFG_VOUT1_HSYNC_
IN
-
vin2a_hsyn vin1a_hsyn vin1a_hsyn
c0
c0
c0
-
-
-
E11
vout1_vsync
1887
0
2068
0
CFG_VOUT1_VSYNC_I
N
-
vin2a_vsyn vin1a_vsyn vin1a_vsyn
c0
c0
c0
-
-
-
(1) Some signals listed are virtual functions that present alternate multiplexing options. These virtual functions are controlled via CTRL_CORE_ALT_SELECT_MUX or
CTRL_CORE_VIP_MUX_SELECT registers. For more information on how to use these options, please refer to Device TRM, Chapter Control Module, Section Pad Configuration
Registers.
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-10 Manual Functions Mapping for VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9) for a definition
of the Manual modes.
Table 7-10 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-10. Manual Functions Mapping for VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9)
BALL
R6
BALL NAME
VIP_MANUAL8
VIP_MANUAL13
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
gpmc_a0
1891
427
2176
0
CFG REGISTER
MUXMODE
3
4
5
CFG_GPMC_A0_IN
-
vin2a_d0
-
T9
gpmc_a1
1713
513
2109
0
CFG_GPMC_A1_IN
-
vin2a_d1
-
P9
gpmc_a11
1797
317
2036
0
CFG_GPMC_A11_IN
-
vin2a_fld0
-
P4
gpmc_a12
0
0
0
0
CFG_GPMC_A12_IN
-
vin2a_clk0
-
R3
gpmc_a13
1876
391
2144
0
CFG_GPMC_A13_IN
-
vin2a_hsync0
-
T2
gpmc_a14
1720
756
2384
38
CFG_GPMC_A14_IN
-
vin2a_vsync0
-
U2
gpmc_a15
1502
368
1804
0
CFG_GPMC_A15_IN
-
vin2a_d8
-
U1
gpmc_a16
1651
355
1902
0
CFG_GPMC_A16_IN
-
vin2a_d9
-
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
211
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-10. Manual Functions Mapping for VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9) (continued)
BALL
BALL NAME
VIP_MANUAL8
VIP_MANUAL13
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
3
4
5
P3
gpmc_a17
1642
338
1862
0
CFG_GPMC_A17_IN
-
vin2a_d10
-
R2
gpmc_a18
1612
0
1406
0
CFG_GPMC_A18_IN
-
vin2a_d11
-
K7
gpmc_a19
1463
152
1418
0
CFG_GPMC_A19_IN
-
vin2a_d12
-
T6
gpmc_a2
1789
646
2310
0
CFG_GPMC_A2_IN
-
vin2a_d2
-
M7
gpmc_a20
1124
0
933
0
CFG_GPMC_A20_IN
-
vin2a_d13
-
J5
gpmc_a21
1491
206
1483
0
CFG_GPMC_A21_IN
-
vin2a_d14
-
K6
gpmc_a22
1218
245
1254
0
CFG_GPMC_A22_IN
-
vin2a_d15
-
J7
gpmc_a23
1216
0
1021
0
CFG_GPMC_A23_IN
-
vin2a_fld0
-
T7
gpmc_a3
1789
766
2451
8
CFG_GPMC_A3_IN
-
vin2a_d3
-
P6
gpmc_a4
1842
646
2329
0
CFG_GPMC_A4_IN
-
vin2a_d4
-
R9
gpmc_a5
1778
556
2215
0
CFG_GPMC_A5_IN
-
vin2a_d5
-
R5
gpmc_a6
1783
443
2088
0
CFG_GPMC_A6_IN
-
vin2a_d6
-
P5
gpmc_a7
2207
370
2393
0
CFG_GPMC_A7_IN
-
vin2a_d7
N1
gpmc_advn_ale
1755
116
1745
0
CFG_GPMC_ADVN_ALE_IN
-
vin2a_vsync0
-
P7
gpmc_clk
1896
351
2152
0
CFG_GPMC_CLK_IN
-
vin2a_hsync0
vin2a_de0
H6
gpmc_cs1
1337
74
1288
0
CFG_GPMC_CS1_IN
-
vin2a_de0
-
D11
vout1_clk
1939
332
2486
0
CFG_VOUT1_CLK_IN
vin2a_fld0
-
-
F11
vout1_d0
2140
647
2617
386
CFG_VOUT1_D0_IN
vin2a_d16
-
-
G10
vout1_d1
2104
615
2620
314
CFG_VOUT1_D1_IN
vin2a_d17
-
-
D7
vout1_d10
2139
406
2675
85
CFG_VOUT1_D10_IN
vin2a_d10
-
-
D8
vout1_d11
1944
534
2569
125
CFG_VOUT1_D11_IN
vin2a_d11
-
-
A5
vout1_d12
1966
659
2646
154
CFG_VOUT1_D12_IN
vin2a_d12
-
-
C6
vout1_d13
2048
447
2624
87
CFG_VOUT1_D13_IN
vin2a_d13
-
-
C8
vout1_d14
2222
548
2700
286
CFG_VOUT1_D14_IN
vin2a_d14
-
-
C7
vout1_d15
2072
443
2664
67
CFG_VOUT1_D15_IN
vin2a_d15
-
-
B7
vout1_d16
2044
455
2634
82
CFG_VOUT1_D16_IN
vin2a_d0
-
-
B8
vout1_d17
1971
246
2433
0
CFG_VOUT1_D17_IN
vin2a_d1
-
-
A7
vout1_d18
2104
120
2440
0
CFG_VOUT1_D18_IN
vin2a_d2
-
-
A8
vout1_d19
1888
0
2105
0
CFG_VOUT1_D19_IN
vin2a_d3
-
-
F10
vout1_d2
2170
237
2624
0
CFG_VOUT1_D2_IN
vin2a_d18
-
-
C9
vout1_d20
1942
512
2579
91
CFG_VOUT1_D20_IN
vin2a_d4
-
-
A9
vout1_d21
1997
141
2324
0
CFG_VOUT1_D21_IN
vin2a_d5
-
-
212
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-10. Manual Functions Mapping for VIN1A (IOSET4/5/6) and VIN2A (IOSET7/8/9) (continued)
BALL
BALL NAME
VIP_MANUAL8
VIP_MANUAL13
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
3
4
5
B9
vout1_d22
1949
0
2165
0
CFG_VOUT1_D22_IN
vin2a_d6
-
-
A10
vout1_d23
1871
704
2522
269
CFG_VOUT1_D23_IN
vin2a_d7
-
-
G11
vout1_d3
2319
417
2740
191
CFG_VOUT1_D3_IN
vin2a_d19
-
-
E9
vout1_d4
2300
369
2739
137
CFG_VOUT1_D4_IN
vin2a_d20
-
-
F9
vout1_d5
1923
579
2527
191
CFG_VOUT1_D5_IN
vin2a_d21
-
-
F8
vout1_d6
2148
396
2622
138
CFG_VOUT1_D6_IN
vin2a_d22
-
-
E7
vout1_d7
2212
335
2653
110
CFG_VOUT1_D7_IN
vin2a_d23
-
-
E8
vout1_d8
1962
573
2573
178
CFG_VOUT1_D8_IN
vin2a_d8
-
-
D9
vout1_d9
2312
335
2725
138
CFG_VOUT1_D9_IN
vin2a_d9
-
-
B10
vout1_de
1973
414
2551
52
CFG_VOUT1_DE_IN
vin2a_de0
-
-
B11
vout1_fld
0
0
0
0
CFG_VOUT1_FLD_IN
vin2a_clk0
-
-
C11
vout1_hsync
1813
261
2277
0
CFG_VOUT1_HSYNC_IN
vin2a_hsync0
-
-
E11
vout1_vsync
1665
0
1881
0
CFG_VOUT1_VSYNC_IN
vin2a_vsync0
-
-
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-11 Manual Functions Mapping for VIN1B (IOSET6) for a definition of the Manual modes.
Table 7-11 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-11. Manual Functions Mapping for VIN1B (IOSET6)
BALL
BALL NAME
VIP_MANUAL9
VIP_MANUAL14
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
MUXMODE
5
6
R6
gpmc_a0
1873
702
2202
441
CFG_GPMC_A0_IN
-
vin1b_d0
T9
gpmc_a1
1629
772
2057
413
CFG_GPMC_A1_IN
-
vin1b_d1
N9
gpmc_a10
0
0
0
0
CFG_GPMC_A10_IN
-
vin1b_clk1
P9
gpmc_a11
1851
1011
2126
856
CFG_GPMC_A11_IN
-
vin1b_de1
P4
gpmc_a12
2009
601
2289
327
CFG_GPMC_A12_IN
-
vin1b_fld1
T6
gpmc_a2
1734
898
2131
573
CFG_GPMC_A2_IN
-
vin1b_d2
T7
gpmc_a3
1757
1076
2106
812
CFG_GPMC_A3_IN
-
vin1b_d3
P6
gpmc_a4
1794
893
2164
559
CFG_GPMC_A4_IN
-
vin1b_d4
R9
gpmc_a5
1726
853
2120
523
CFG_GPMC_A5_IN
-
vin1b_d5
R5
gpmc_a6
1792
612
2153
338
CFG_GPMC_A6_IN
-
vin1b_d6
P5
gpmc_a7
2117
610
2389
304
CFG_GPMC_A7_IN
-
vin1b_d7
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
213
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-11. Manual Functions Mapping for VIN1B (IOSET6) (continued)
BALL
BALL NAME
VIP_MANUAL9
VIP_MANUAL14
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
5
6
N7
gpmc_a8
1758
653
2140
308
CFG_GPMC_A8_IN
-
vin1b_hsync1
R4
gpmc_a9
1705
899
2067
646
CFG_GPMC_A9_IN
-
vin1b_vsync1
U4
mdio_d
1945
671
2265
414
CFG_MDIO_D_IN
vin1b_d0
-
V1
mdio_mclk
255
119
337
0
CFG_MDIO_MCLK_IN
vin1b_clk1
-
U5
rgmii0_rxc
2057
909
2341
646
CFG_RGMII0_RXC_IN
vin1b_d5
-
V5
rgmii0_rxctl
2121
1139
2323
988
CFG_RGMII0_RXCTL_IN
vin1b_d6
-
W2
rgmii0_rxd0
2070
655
2336
340
CFG_RGMII0_RXD0_IN
vin1b_fld1
-
V4
rgmii0_rxd3
2092
1357
2306
1216
CFG_RGMII0_RXD3_IN
vin1b_d7
-
W9
rgmii0_txc
2088
1205
2328
1079
CFG_RGMII0_TXC_IN
vin1b_d3
-
V9
rgmii0_txctl
2143
1383
2312
1311
CFG_RGMII0_TXCTL_IN
vin1b_d4
-
V6
rgmii0_txd1
2078
1189
2324
1065
CFG_RGMII0_TXD1_IN
vin1b_vsync1
-
U7
rgmii0_txd2
1928
1125
2306
763
CFG_RGMII0_TXD2_IN
vin1b_hsync1
-
V7
rgmii0_txd3
2255
971
2401
846
CFG_RGMII0_TXD3_IN
vin1b_de1
-
V2
uart3_rxd
1829
747
2220
400
CFG_UART3_RXD_IN
vin1b_d1
-
Y1
uart3_txd
2030
837
2324
568
CFG_UART3_TXD_IN
vin1b_d2
-
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-12 Manual Functions Mapping for VIN1B (IOSET5) and VIN2B (IOSET9) for a definition of the
Manual modes.
Table 7-12 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-12. Manual Functions Mapping for VIN1B (IOSET5) and VIN2B (IOSET9)
BALL
BALL NAME
VIP_MANUAL10
VIP_MANUAL11
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
4
6
K7
gpmc_a19
1600
943
2023
477
CFG_GPMC_A19_IN
vin2a_d12
vin2b_d0
M7
gpmc_a20
1440
621
1875
136
CFG_GPMC_A20_IN
vin2a_d13
vin2b_d1
J5
gpmc_a21
1602
1066
2021
604
CFG_GPMC_A21_IN
vin2a_d14
vin2b_d2
K6
gpmc_a22
1395
983
1822
519
CFG_GPMC_A22_IN
vin2a_d15
vin2b_d3
J7
gpmc_a23
1571
716
2045
200
CFG_GPMC_A23_IN
vin2a_fld0
vin2b_d4
J4
gpmc_a24
1463
832
1893
396
CFG_GPMC_A24_IN
-
vin2b_d5
vin2b_d6
J6
gpmc_a25
1426
1166
1842
732
CFG_GPMC_A25_IN
-
H4
gpmc_a26
1362
1094
1797
584
CFG_GPMC_A26_IN
-
vin2b_d7
H5
gpmc_a27
1283
809
1760
338
CFG_GPMC_A27_IN
-
vin2b_hsync1
214
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-12. Manual Functions Mapping for VIN1B (IOSET5) and VIN2B (IOSET9) (continued)
BALL
BALL NAME
VIP_MANUAL10
VIP_MANUAL11
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
4
6
N6
gpmc_ben0
1978
780
2327
389
CFG_GPMC_BEN0_IN
-
vin2b_de1
M4
gpmc_ben1
0
0
0
0
CFG_GPMC_BEN1_IN
vin2b_clk1
vin2b_fld1
H6
gpmc_cs1
1411
982
1857
536
CFG_GPMC_CS1_IN
vin2a_de0
vin2b_vsync1
Manual IO Timings Modes must be used to guaranteed some IO timings for VIP1. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Manual IO Timings Modes. See Table 7-13 Manual Functions Mapping for VIN1A (IOSET8/9/10) for a definition of the Manual modes.
Table 7-13 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-13. Manual Functions Mapping for VIN1A (IOSET8/9/10)
BALL
BALL NAME
VIP_MANUAL15
VIP_MANUAL16
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
MUXMODE
7
9
AC5
gpio6_10
2131
2198
2170
2180
CFG_GPIO6_10_IN
-
vin1a_clk0
AB4
gpio6_11
3720
2732
4106
2448
CFG_GPIO6_11_IN
-
vin1a_de0
C14
mcasp1_aclkx
2447
0
3042
0
CFG_MCASP1_ACLKX_IN
vin1a_fld0
-
G12
mcasp1_axr0
3061
0
3380
292
CFG_MCASP1_AXR0_IN
vin1a_vsync0
-
F12
mcasp1_axr1
3113
0
3396
304
CFG_MCASP1_AXR1_IN
vin1a_hsync0
-
B13
mcasp1_axr10
2803
0
3362
0
CFG_MCASP1_AXR10_IN
vin1a_d13
-
A12
mcasp1_axr11
3292
0
3357
546
CFG_MCASP1_AXR11_IN
vin1a_d12
-
E14
mcasp1_axr12
2854
0
3145
320
CFG_MCASP1_AXR12_IN
vin1a_d11
-
A13
mcasp1_axr13
2813
0
3229
196
CFG_MCASP1_AXR13_IN
vin1a_d10
-
G14
mcasp1_axr14
2471
0
3053
0
CFG_MCASP1_AXR14_IN
vin1a_d9
-
F14
mcasp1_axr15
2815
0
3225
201
CFG_MCASP1_AXR15_IN
vin1a_d8
-
B12
mcasp1_axr8
2965
0
3427
83
CFG_MCASP1_AXR8_IN
vin1a_d15
-
A11
mcasp1_axr9
3082
0
3253
440
CFG_MCASP1_AXR9_IN
vin1a_d14
-
D14
mcasp1_fsx
2898
0
3368
139
CFG_MCASP1_FSX_IN
vin1a_de0
-
A19
mcasp2_aclkx
2413
0
2972
0
CFG_MCASP2_ACLKX_IN
vin1a_d7
-
C15
mcasp2_axr2
2478
0
3062
0
CFG_MCASP2_AXR2_IN
vin1a_d5
-
A16
mcasp2_axr3
2806
0
3175
242
CFG_MCASP2_AXR3_IN
vin1a_d4
-
A18
mcasp2_fsx
2861
78
2936
599
CFG_MCASP2_FSX_IN
vin1a_d6
-
B18
mcasp3_aclkx
1583
0
1878
0
CFG_MCASP3_ACLKX_IN
vin1a_d3
-
B19
mcasp3_axr0
2873
0
3109
375
CFG_MCASP3_AXR0_IN
vin1a_d1
-
C17
mcasp3_axr1
1625
1400
2072
1023
CFG_MCASP3_AXR1_IN
vin1a_d0
vin1a_fld0
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
215
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-13. Manual Functions Mapping for VIN1A (IOSET8/9/10) (continued)
BALL
BALL NAME
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
F15
mcasp3_fsx
2792
0
3146
257
CFG_MCASP3_FSX_IN
vin1a_d2
-
C18
mcasp4_aclkx
1547
268
1776
0
CFG_MCASP4_ACLKX_IN
-
vin1a_d15
G16
mcasp4_axr0
2362
587
2815
193
CFG_MCASP4_AXR0_IN
-
vin1a_d13
D17
mcasp4_axr1
2326
667
2769
304
CFG_MCASP4_AXR1_IN
-
vin1a_d12
A21
mcasp4_fsx
924
2573
1338
2219
CFG_MCASP4_FSX_IN
-
vin1a_d14
AA3
mcasp5_aclkx
3731
2106
4130
1708
CFG_MCASP5_ACLKX_IN
-
vin1a_d11
AB3
mcasp5_axr0
3800
3013
4159
2776
CFG_MCASP5_AXR0_IN
-
vin1a_d9
AA4
mcasp5_axr1
3828
2951
4179
2733
CFG_MCASP5_AXR1_IN
-
vin1a_d8
AB9
mcasp5_fsx
3675
2447
4074
2142
CFG_MCASP5_FSX_IN
-
vin1a_d10
AD4
mmc3_clk
3907
2744
4260
2450
CFG_MMC3_CLK_IN
-
vin1a_d7
AC4
mmc3_cmd
3892
2768
4242
2470
CFG_MMC3_CMD_IN
-
vin1a_d6
AC7
mmc3_dat0
3786
2765
4156
2522
CFG_MMC3_DAT0_IN
-
vin1a_d5
AC6
mmc3_dat1
3673
2961
4053
2667
CFG_MMC3_DAT1_IN
-
vin1a_d4
AC9
mmc3_dat2
3818
2447
4209
2096
CFG_MMC3_DAT2_IN
-
vin1a_d3
AC3
mmc3_dat3
3902
2903
4259
2672
CFG_MMC3_DAT3_IN
-
vin1a_d2
AC8
mmc3_dat4
3905
2622
4259
2342
CFG_MMC3_DAT4_IN
-
vin1a_d1
AD6
mmc3_dat5
3807
2824
4167
2595
CFG_MMC3_DAT5_IN
-
vin1a_d0
AB8
mmc3_dat6
3724
2818
4123
2491
CFG_MMC3_DAT6_IN
-
vin1a_hsync0
AB5
mmc3_dat7
3775
2481
4159
2161
CFG_MMC3_DAT7_IN
-
vin1a_vsync0
D18
xref_clk0
1971
0
2472
0
CFG_XREF_CLK0_IN
vin1a_d0
-
E17
xref_clk1
0
192
0
603
CFG_XREF_CLK1_IN
vin1a_clk0
-
216
VIP_MANUAL15
VIP_MANUAL16
CFG REGISTER
Timing Requirements and Switching Characteristics
MUXMODE
7
9
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
7.7
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Display Subsystem - Video Output Ports
Three Display Parallel Interfaces (DPI) channels are available in DSS named DPI Video Output 1, DPI
Video Output 2 and DPI Video Output 3.
NOTE
The DPI Video Output i (i = 1 to 3) interface is also referred to as VOUTi.
Every VOUT interface consists of:
• 24-bit data bus (data[23:0])
• Horizontal synchronization signal (HSYNC)
• Vertical synchronization signal (VSYNC)
• Data enable (DE)
• Field ID (FID)
• Pixel clock (CLK)
NOTE
For more information, see the Display Subsystem chapter of the Device TRM.
NOTE
VOUT1, VOUT2 and VOUT3 only qualified for use at 1.8V.
CAUTION
The I/O Timings provided in this section are valid only if signals within a single
IOSET are used. The IOSETs are defined in Table 7-16.
CAUTION
The I/O Timings provided in this section are valid only for some DSS usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
CAUTION
All pads/balls configured as vouti_* signals must be programmed to use slow
slew rate by setting the corresponding CTRL_CORE_PAD_*[SLEWCONTROL]
register field to SLOW (0b1).
Table 7-14, Table 7-15 and Figure 7-6 assume testing over the recommended operating conditions and
electrical characteristic conditions.
Table 7-14. DPI Video Output i (i = 1..3) Default Switching Characteristics
NO.
PARAMETE
R
D1
tc(clk)
DESCRIPTION
Cycle time, output pixel clock vouti_clk
Copyright © 2016, Texas Instruments Incorporated
MODE
MIN
MAX
DPI1/2/3 in 1.8V mode
DPI2 in 3.3V mode
11.76(3)
ns
DPI1/3 in 3.3V mode
13.33(3)
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
UNIT
217
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-14. DPI Video Output i (i = 1..3) Default Switching Characteristics (continued)
NO.
PARAMETE
R
D2
tw(clkL)
Pulse duration, output pixel clock vouti_clk low
P*0.5-1
ns
D3
tw(clkH)
Pulse duration, output pixel clock vouti_clk high
P*0.5-1
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI1
-2.5
2.5
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI1
-2.5
2.5
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI2 (vin2a_fld0 clock
reference)
-2.5
2.5
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI2 (vin2a_fld0 clock
reference)
-2.5
2.5
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI2 (xref_clk2 clock
reference)
-2.5
2.5
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI2 (xref_clk2 clock
reference)
-2.5
2.5
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI3
-2.5
2.5
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI3
-2.5
2.5
ns
DESCRIPTION
MODE
MIN
MAX
(1)
(1)
UNIT
(1) P = output vouti_clk period in ns.
(2) All pads/balls configured as vouti_* signals must be programmed to use slow slew rate by setting the corresponding
CTRL_CORE_PAD_*[SLEWCONTROL] register field to SLOW (0b1).
(3) SERDES transceivers may be sensitive to the jitter profile of vouti_clk. See Application Note SPRAC62 for additional guidance.
Table 7-15. DPI Video Output i (i = 1..3) Alternate Switching Characteristics(2)
NO.
PARAMETE
R
D1
tc(clk)
DESCRIPTION
Cycle time, output pixel clock vouti_clk
MODE
MIN
MAX
UNIT
DPI1/2/3 in 1.8V mode
DPI2 in 3.3V mode
6.06(3)
ns
DPI1/3 in 3.3V mode
13.33(3)
ns
D2
tw(clkL)
Pulse duration, output pixel clock vouti_clk low
P*0.5-1
ns
D3
tw(clkH)
Pulse duration, output pixel clock vouti_clk high
P*0.5-1
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI1
1.51
4.55
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI1
1.51
4.55
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI2 (vin2a_fld0 clock
reference)
1.51
4.55
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI2 (vin2a_fld0 clock
reference)
1.51
4.55
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI2 (xref_clk2 clock
reference)
1.51
4.55
ns
D6
td(clk-dV)
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI2 (xref_clk2 clock
reference)
1.51
4.55
ns
D5
td(clk-ctlV)
Delay time, output pixel clock vouti_clk transition to output
data vouti_d[23:0] valid
DPI3
1.51
4.55
ns
218
(1)
(1)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-15. DPI Video Output i (i = 1..3) Alternate Switching Characteristics(2) (continued)
NO.
PARAMETE
R
D6
td(clk-dV)
DESCRIPTION
MODE
Delay time, output pixel clock vouti_clk transition to output
control signals vouti_vsync, vouti_hsync, vouti_de, and
vouti_fld valid
DPI3
MIN
MAX
UNIT
1.51
4.55
ns
(1) P = output vouti_clk period in ns.
(2) All pads/balls configured as vouti_* signals must be programmed to use slow slew rate by setting the corresponding
CTRL_CORE_PAD_*[SLEWCONTROL] register field to SLOW (0b1).
(3) SERDES transceivers may be sensitive to the jitter profile of vouti_clk. See Application Note SPRAC62 for additional guidance.
D2
D1
D3
D4
Falling-edge Clock Reference
vouti_clk
D6
Rising-edge Clock Reference
vouti_clk
vouti_vsync
D6
vouti_hsync
D5
vouti_d[23:0]
data_1 data_2
data_n
D6
vouti_de
D6
vouti_fld
even
odd
SWPS049-018
(1)(2)(3)
Figure 7-6. DPI Video Output
(1) The configuration of assertion of the data can be programmed on the falling or rising edge of the pixel clock.
(2) The polarity and the pulse width of vouti_hsync and vouti_vsync are programmable, refer to the DSS section of the device TRM.
(3) The vouti_clk frequency can be configured, refer to the DSS section of the device TRM.
In Table 7-16 are presented the specific groupings of signals (IOSET) for use with VOUT2.
Table 7-16. VOUT2 IOSETs
SIGNALS
IOSET1
IOSET2
BALL
MUX
BALL
MUX
vout2_d23
F2
4
AA4
6
vout2_d22
F3
4
AB3
6
vout2_d21
D1
4
AB9
6
vout2_d20
E2
4
AA3
6
vout2_d19
D2
4
D17
6
vout2_d18
F4
4
G16
6
vout2_d17
C1
4
A21
6
vout2_d16
E4
4
C18
6
vout2_d15
F5
4
A17
6
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
219
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-16. VOUT2 IOSETs (continued)
SIGNALS
IOSET1
IOSET2
BALL
MUX
BALL
MUX
vout2_d14
E6
4
B17
6
vout2_d13
D3
4
B16
6
vout2_d12
F6
4
D15
6
vout2_d11
D5
4
A15
6
vout2_d10
C2
4
B15
6
vout2_d9
C3
4
A20
6
vout2_d8
C4
4
E15
6
vout2_d7
B2
4
D12
6
vout2_d6
D6
4
C12
6
vout2_d5
C5
4
F13
6
vout2_d4
A3
4
E12
6
vout2_d3
B3
4
J11
6
vout2_d2
B4
4
G13
6
vout2_d1
B5
4
J14
6
vout2_d0
A4
4
B14
6
vout2_vsync
G6
4
F20
6
vout2_hsync
G1
4
E21
6
vout2_clk
H7
4
B26
6
vout2_fld
E1
4
F21
6
vout2_de
G2
4
C23
6
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section "Manual IO Timing Modes" of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information please see the Control Module Chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for VOUT1. See Table 7-2
Modes Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-17
Manual Functions Mapping for DSS VOUT1 for a definition of the Manual modes.
Table 7-17 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-17. Manual Functions Mapping for DSS VOUT1
BALL
220
BALL NAME
VOUT1_MANUAL1
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
MUXMODE
0
D11
vout1_clk
0
212
CFG_VOUT1_CLK_OUT
vout1_clk
F11
vout1_d0
2502
0
CFG_VOUT1_D0_OUT
vout1_d0
G10
vout1_d1
2402
0
CFG_VOUT1_D1_OUT
vout1_d1
D7
vout1_d10
2147
0
CFG_VOUT1_D10_OUT
vout1_d10
D8
vout1_d11
2249
0
CFG_VOUT1_D11_OUT
vout1_d11
A5
vout1_d12
2410
0
CFG_VOUT1_D12_OUT
vout1_d12
C6
vout1_d13
2129
0
CFG_VOUT1_D13_OUT
vout1_d13
C8
vout1_d14
2279
0
CFG_VOUT1_D14_OUT
vout1_d14
C7
vout1_d15
2266
23
CFG_VOUT1_D15_OUT
vout1_d15
B7
vout1_d16
1798
0
CFG_VOUT1_D16_OUT
vout1_d16
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-17. Manual Functions Mapping for DSS VOUT1 (continued)
BALL
BALL NAME
VOUT1_MANUAL1
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
MUXMODE
0
B8
vout1_d17
2243
0
CFG_VOUT1_D17_OUT
vout1_d17
A7
vout1_d18
2127
0
CFG_VOUT1_D18_OUT
vout1_d18
A8
vout1_d19
2096
0
CFG_VOUT1_D19_OUT
vout1_d19
F10
vout1_d2
2375
0
CFG_VOUT1_D2_OUT
vout1_d2
C9
vout1_d20
2105
0
CFG_VOUT1_D20_OUT
vout1_d20
A9
vout1_d21
2120
0
CFG_VOUT1_D21_OUT
vout1_d21
B9
vout1_d22
2013
65
CFG_VOUT1_D22_OUT
vout1_d22
A10
vout1_d23
1887
0
CFG_VOUT1_D23_OUT
vout1_d23
G11
vout1_d3
2429
0
CFG_VOUT1_D3_OUT
vout1_d3
E9
vout1_d4
2639
0
CFG_VOUT1_D4_OUT
vout1_d4
F9
vout1_d5
2319
0
CFG_VOUT1_D5_OUT
vout1_d5
F8
vout1_d6
2227
0
CFG_VOUT1_D6_OUT
vout1_d6
E7
vout1_d7
2309
0
CFG_VOUT1_D7_OUT
vout1_d7
E8
vout1_d8
1999
0
CFG_VOUT1_D8_OUT
vout1_d8
D9
vout1_d9
2276
0
CFG_VOUT1_D9_OUT
vout1_d9
B10
vout1_de
1933
0
CFG_VOUT1_DE_OUT
vout1_de
B11
vout1_fld
1825
0
CFG_VOUT1_FLD_OUT
vout1_fld
C11
vout1_hsync
1741
13
CFG_VOUT1_HSYNC_OUT
vout1_hsync
E11
vout1_vsync
2338
0
CFG_VOUT1_VSYNC_OUT
vout1_vsync
Manual IO Timings Modes must be used to guaranteed some IO timings for VOUT2. See Table 7-2
Modes Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-18
Manual Functions Mapping for DSS VOUT2 IOSET1 for a definition of the Manual modes.
Table 7-18 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
221
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-18. Manual Functions Mapping for DSS VOUT2 IOSET1
BALL
BALL NAME
VOUT2_IOSET1_MANUAL1
A_DELAY (ps) G_DELAY (ps)
222
VOUT2_IOSET1_MANUAL2
VOUT2_IOSET1_MANUAL3
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
4
E1
vin2a_clk0
2571
0
1059
0
1025
0
CFG_VIN2A_CLK0_OUT
vout2_fld
F2
vin2a_d0
2124
0
589
0
577
0
CFG_VIN2A_D0_OUT
vout2_d23
F3
vin2a_d1
2103
0
568
0
557
0
CFG_VIN2A_D1_OUT
vout2_d22
D3
vin2a_d10
2091
0
557
0
545
0
CFG_VIN2A_D10_OUT
vout2_d13
F6
vin2a_d11
2142
0
608
0
596
0
CFG_VIN2A_D11_OUT
vout2_d12
D5
vin2a_d12
2920
385
1816
255
1783
276
CFG_VIN2A_D12_OUT
vout2_d11
C2
vin2a_d13
2776
322
1872
192
1838
213
CFG_VIN2A_D13_OUT
vout2_d10
C3
vin2a_d14
2904
0
1769
0
1757
0
CFG_VIN2A_D14_OUT
vout2_d9
C4
vin2a_d15
2670
257
1665
127
1632
148
CFG_VIN2A_D15_OUT
vout2_d8
B2
vin2a_d16
2814
155
1908
31
1878
43
CFG_VIN2A_D16_OUT
vout2_d7
D6
vin2a_d17
3002
199
1897
69
1865
89
CFG_VIN2A_D17_OUT
vout2_d6
C5
vin2a_d18
1893
0
358
0
347
0
CFG_VIN2A_D18_OUT
vout2_d5
A3
vin2a_d19
1698
0
163
0
151
0
CFG_VIN2A_D19_OUT
vout2_d4
D1
vin2a_d2
2193
0
658
0
646
0
CFG_VIN2A_D2_OUT
vout2_d21
B3
vin2a_d20
1736
0
202
0
190
0
CFG_VIN2A_D20_OUT
vout2_d3
B4
vin2a_d21
1636
0
101
0
89
0
CFG_VIN2A_D21_OUT
vout2_d2
B5
vin2a_d22
1628
0
93
0
81
0
CFG_VIN2A_D22_OUT
vout2_d1
A4
vin2a_d23
1538
0
0
0
0
0
CFG_VIN2A_D23_OUT
vout2_d0
E2
vin2a_d3
1997
0
462
0
450
0
CFG_VIN2A_D3_OUT
vout2_d20
D2
vin2a_d4
2528
0
993
0
982
0
CFG_VIN2A_D4_OUT
vout2_d19
F4
vin2a_d5
2038
0
503
0
492
0
CFG_VIN2A_D5_OUT
vout2_d18
C1
vin2a_d6
1746
0
211
0
200
0
CFG_VIN2A_D6_OUT
vout2_d17
E4
vin2a_d7
2213
0
678
0
666
0
CFG_VIN2A_D7_OUT
vout2_d16
F5
vin2a_d8
2268
0
733
0
721
0
CFG_VIN2A_D8_OUT
vout2_d15
vout2_d14
E6
vin2a_d9
2170
0
635
0
623
0
CFG_VIN2A_D9_OUT
G2
vin2a_de0
2102
0
568
0
556
0
CFG_VIN2A_DE0_OUT
vout2_de
H7
vin2a_fld0
0
983
1398
1185
1385
1202
CFG_VIN2A_FLD0_OUT
vout2_clk
G1
vin2a_hsync0
2482
0
974
0
936
0
CFG_VIN2A_HSYNC0_
OUT
vout2_hsync
G6
vin2a_vsync0
2296
0
784
0
750
0
CFG_VIN2A_VSYNC0_
OUT
vout2_vsync
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Manual IO Timings Modes must be used to guaranteed some IO timings for VOUT2. See Table 7-2 Modes Summary for a list of IO timings
requiring the use of Manual IO Timings Modes. See Table 7-19 Manual Functions Mapping for DSS VOUT2 IOSET2 for a definition of the Manual
modes.
Table 7-19 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-19. Manual Functions Mapping for DSS VOUT2 IOSET2
BALL
BALL NAME
VOUT2_IOSET2_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
E21
gpio6_14
1983
0
79
0
68
F20
gpio6_15
2159
0
158
0
A_DELAY (ps) G_DELAY (ps)
VOUT2_IOSET2_MANUAL2
VOUT2_IOSET2_MANUAL3
CFG REGISTER
MUXMODE
0
CFG_GPIO6_14_OUT
vout2_hsync
148
0
CFG_GPIO6_15_OUT
vout2_vsync
6
F21
gpio6_16
1864
0
0
0
0
0
CFG_GPIO6_16_OUT
vout2_fld
B14
mcasp1_aclkr
2614
0
1255
0
1270
0
CFG_MCASP1_ACLKR
_OUT
vout2_d0
G13
mcasp1_axr2
2705
0
1350
0
1360
0
CFG_MCASP1_AXR2_
OUT
vout2_d2
J11
mcasp1_axr3
2865
0
1210
0
1219
0
CFG_MCASP1_AXR3_
OUT
vout2_d3
E12
mcasp1_axr4
2759
0
1404
0
1413
0
CFG_MCASP1_AXR4_
OUT
vout2_d4
F13
mcasp1_axr5
2980
0
1325
0
1335
0
CFG_MCASP1_AXR5_
OUT
vout2_d5
C12
mcasp1_axr6
2634
0
1275
0
1289
0
CFG_MCASP1_AXR6_
OUT
vout2_d6
D12
mcasp1_axr7
2658
0
1302
0
1311
0
CFG_MCASP1_AXR7_
OUT
vout2_d7
J14
mcasp1_fsr
2818
0
1163
0
1172
0
CFG_MCASP1_FSR_O
UT
vout2_d1
E15
mcasp2_aclkr
2728
0
1373
0
1382
0
CFG_MCASP2_ACLKR
_OUT
vout2_d8
B15
mcasp2_axr0
2513
0
319
534
308
560
CFG_MCASP2_AXR0_
OUT
vout2_d10
A15
mcasp2_axr1
2712
0
1357
0
1366
0
CFG_MCASP2_AXR1_
OUT
vout2_d11
D15
mcasp2_axr4
2529
0
1169
0
1184
0
CFG_MCASP2_AXR4_
OUT
vout2_d12
B16
mcasp2_axr5
2376
0
543
478
1029
0
CFG_MCASP2_AXR5_
OUT
vout2_d13
B17
mcasp2_axr6
2620
0
1265
0
1274
0
CFG_MCASP2_AXR6_
OUT
vout2_d14
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
223
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-19. Manual Functions Mapping for DSS VOUT2 IOSET2 (continued)
BALL
BALL NAME
VOUT2_IOSET2_MANUAL1
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
A17
mcasp2_axr7
2492
0
354
483
845
0
CFG_MCASP2_AXR7_
OUT
vout2_d15
A20
mcasp2_fsr
2358
0
12
487
513
0
CFG_MCASP2_FSR_O
UT
vout2_d9
C18
mcasp4_aclkx
2524
0
1165
0
1179
0
CFG_MCASP4_ACLKX_
OUT
vout2_d16
G16
mcasp4_axr0
2578
0
797
0
806
0
CFG_MCASP4_AXR0_
OUT
vout2_d18
D17
mcasp4_axr1
2253
0
750
0
759
0
CFG_MCASP4_AXR1_
OUT
vout2_d19
A21
mcasp4_fsx
2478
0
823
0
832
0
CFG_MCASP4_FSX_O
UT
vout2_d17
AA3
mcasp5_aclkx
4672
1737
3256
1798
3226
1837
CFG_MCASP5_ACLKX_
OUT
vout2_d20
AB3
mcasp5_axr0
4642
1286
3226
1347
3196
1386
CFG_MCASP5_AXR0_
OUT
vout2_d22
AA4
mcasp5_axr1
4625
725
3209
786
3179
825
CFG_MCASP5_AXR1_
OUT
vout2_d23
AB9
mcasp5_fsx
4565
1062
3149
1123
3119
1162
CFG_MCASP5_FSX_O
UT
vout2_d21
B26
xref_clk2
0
49
1359
466
1341
512
CFG_XREF_CLK2_OUT
vout2_clk
C23
xref_clk3
1947
0
36
0
45
0
CFG_XREF_CLK3_OUT
vout2_de
A_DELAY (ps) G_DELAY (ps)
224
VOUT2_IOSET2_MANUAL2
VOUT2_IOSET2_MANUAL3
Timing Requirements and Switching Characteristics
6
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Manual IO Timings Modes must be used to guaranteed some IO timings for VOUT3. See Table 7-2
Modes Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-20
Manual Functions Mapping for DSS VOUT3 for a definition of the Manual modes.
Table 7-20 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-20. Manual Functions Mapping for DSS VOUT3
BALL
7.8
BALL NAME
VOUT3_MANUAL1
CFG REGISTER
A_DELAY (ps)
G_DELAY (ps)
MUXMODE
3
R6
gpmc_a0
2395
0
CFG_GPMC_A0_OUT
vout3_d16
T9
gpmc_a1
2412
0
CFG_GPMC_A1_OUT
vout3_d17
N9
gpmc_a10
2473
0
CFG_GPMC_A10_OUT
vout3_de
P9
gpmc_a11
2906
0
CFG_GPMC_A11_OUT
vout3_fld
T6
gpmc_a2
2360
0
CFG_GPMC_A2_OUT
vout3_d18
T7
gpmc_a3
2391
0
CFG_GPMC_A3_OUT
vout3_d19
P6
gpmc_a4
2626
0
CFG_GPMC_A4_OUT
vout3_d20
R9
gpmc_a5
2338
0
CFG_GPMC_A5_OUT
vout3_d21
R5
gpmc_a6
2374
0
CFG_GPMC_A6_OUT
vout3_d22
P5
gpmc_a7
2432
0
CFG_GPMC_A7_OUT
vout3_d23
N7
gpmc_a8
3155
0
CFG_GPMC_A8_OUT
vout3_hsync
R4
gpmc_a9
2309
0
CFG_GPMC_A9_OUT
vout3_vsync
M6
gpmc_ad0
2360
0
CFG_GPMC_AD0_OUT
vout3_d0
M2
gpmc_ad1
2420
0
CFG_GPMC_AD1_OUT
vout3_d1
J1
gpmc_ad10
2235
0
CFG_GPMC_AD10_OU
T
vout3_d10
J2
gpmc_ad11
2253
0
CFG_GPMC_AD11_OU
T
vout3_d11
H1
gpmc_ad12
1949
427
CFG_GPMC_AD12_OU
T
vout3_d12
J3
gpmc_ad13
2318
0
CFG_GPMC_AD13_OU
T
vout3_d13
H2
gpmc_ad14
2123
0
CFG_GPMC_AD14_OU
T
vout3_d14
H3
gpmc_ad15
2195
29
CFG_GPMC_AD15_OU
T
vout3_d15
L5
gpmc_ad2
2617
0
CFG_GPMC_AD2_OUT
vout3_d2
M1
gpmc_ad3
2350
0
CFG_GPMC_AD3_OUT
vout3_d3
L6
gpmc_ad4
2324
0
CFG_GPMC_AD4_OUT
vout3_d4
L4
gpmc_ad5
2371
0
CFG_GPMC_AD5_OUT
vout3_d5
L3
gpmc_ad6
2231
0
CFG_GPMC_AD6_OUT
vout3_d6
L2
gpmc_ad7
2440
0
CFG_GPMC_AD7_OUT
vout3_d7
L1
gpmc_ad8
2479
0
CFG_GPMC_AD8_OUT
vout3_d8
K2
gpmc_ad9
2355
0
CFG_GPMC_AD9_OUT
vout3_d9
P1
gpmc_cs3
0
641
CFG_GPMC_CS3_OUT
vout3_clk
Display Subsystem - High-Definition Multimedia Interface (HDMI)
The High-Definition Multimedia Interface is provided for transmitting digital television audiovisual signals
from DVD players, set-top boxes and other audiovisual sources to television sets, projectors and other
video displays. The HDMI interface is aligned with the HDMI TMDS single stream standard v1.4a (720p
@60Hz to 1080p @24Hz) and the HDMI v1.3 (1080p @60Hz): 3 data channels, plus 1 clock channel is
supported (differential).
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
225
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
For more information, see the High-Definition Multimedia Interface chapter of the device
TRM
7.9
Camera Serial Interface 2 CAL bridge (CSI2)
NOTE
For more information, see the Camera Serial Interface 2 CAL Bridge chapter of the device
TRM
The camera adaptation layer (CAL) deals with the processing of the pixel data coming from an external
image sensor, data from memory. The CAL is a key component for the following multimedia applications:
camera viewfinder, video record, and still image capture. The CAL has two serial camera interfaces
(primary and secondary):
• The primary serial interface (CSI2 Port A) is compliant with MIPI CSI-2 protocol with four data lanes.
• The secondary serial interface (CSI2 Port B) is compliant with MIPI CSI-2 protocol with two data lanes.
7.9.1
CSI-2 MIPI D-PHY-1.5 V and 1.8 V
The CSI-2 port A is compliant with the MIPI D-PHY RX specification v1.00.00 and the MIPI CSI-2
specification v1.00, with 4 data differential lanes plus 1 clock differential lane in synchronous mode,
double data rate:
• 1.5 Gbps (750 MHz) @OPP_NOM for each lane.
The CSI-2 port B is compliant with the MIPI D-PHY RX specification v1.00.00 and the MIPI CSI-2
specification v1.00, with 2 data lanes plus 1 clock lane (differential) in synchronous mode, double data
rate:
• 1.5 Gbps (750 MHz) @OPP_NOM for each lane, in synchronous mode.
7.10 External Memory Interface (EMIF)
The device has a dedicated interface to DDR3 and DDR3L SDRAM. It supports JEDEC standard
compliant DDR3 and DDR3L SDRAM devices with the following features:
• 16-bit or 32-bit data path to external SDRAM memory
• Memory device capacity: 128Mb, 256Mb, 512Mb, 1Gb, 2Gb, 4Gb and 8Gb devices
• One interface with associated DDR3/DDR3L PHYs
NOTE
For more information, see the EMIF Controller section of the Device TRM.
7.11 General-Purpose Memory Controller (GPMC)
The GPMC is the unified memory controller that interfaces external memory devices such as:
• Asynchronous SRAM-like memories and ASIC devices
• Asynchronous page mode and synchronous burst NOR flash
• NAND flash
NOTE
For more information, see the General-Purpose Memory Controller section of the Device
TRM.
226
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.11.1 GPMC/NOR Flash Interface Synchronous Timing
CAUTION
The I/O Timings provided in this section are valid only for some GPMC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-21 and Table 7-22 assume testing over the recommended operating conditions and electrical
characteristic conditions below (see Figure 7-7, Figure 7-8, Figure 7-9, Figure 7-10, Figure 7-11 and
Figure 7-12).
Table 7-21. GPMC/NOR Flash Interface Timing Requirements - Synchronous Mode - 1 Load
NO.
PARAMETER
DESCRIPTION
F12
tsu(dV-clkH)
Setup time, read gpmc_ad[15:0] valid before gpmc_clk high
F13
th(clkH-dV)
F21
tsu(waitV-clkH)
F22
th(clkH-waitV)
MIN
MAX
UNIT
3
ns
Hold time, read gpmc_ad[15:0] valid after gpmc_clk high
1.1
ns
Setup time, gpmc_wait[1:0] valid before gpmc_clk high
2.5
ns
Hold Time, gpmc_wait[1:0] valid after gpmc_clk high
1.3
ns
NOTE
Wait monitoring support is limited to a WaitMonitoringTime value > 0. For a full description of
wait monitoring feature, see the Device TRM.
Table 7-22. GPMC/NOR Flash Interface Switching Characteristics - Synchronous Mode - 1 Load
NO.
PARAMETER
F0
tc(clk)
Cycle time, output clock gpmc_clk period
DESCRIPTION
F2
td(clkH-nCSV)
Delay time, gpmc_clk rising edge to gpmc_cs[7:0] transition
MIN
MAX
11.3
F-1.7
(7)
(6)
F3
td(clkH-nCSIV)
Delay time, gpmc_clk rising edge to gpmc_cs[7:0] invalid
E-1.7
F4
td(ADDV-clk)
Delay time, gpmc_a[27:0] address bus valid to gpmc_clk first edge
B-1.8 (3)
F5
td(clkH-ADDIV)
Delay time, gpmc_clk rising edge to gpmc_a[27:0] gpmc address bus invalid
UNIT
ns
F+4.3
(7)
ns
E+4.2
(6)
ns
B+4.3 (3)
ns
-1.8
ns
(3)
(3)
F6
td(nBEV-clk)
Delay time, gpmc_ben[1:0] valid to gpmc_clk rising edge
B-4.3
B+1.5
ns
F7
td(clkH-nBEIV)
Delay time, gpmc_clk rising edge to gpmc_ben[1:0] invalid
D-1.5(5)
D+4.3(5)
ns
F8
td(clkH-nADV)
Delay time, gpmc_clk rising edge to gpmc_advn_ale transition
G-1.3 (8)
G+4.2 (8)
ns
(5)
(5)
ns
F9
td(clkH-nADVIV)
Delay time, gpmc_clk rising edge to gpmc_advn_ale invalid
D-1.3
F10
td(clkH-nOE)
Delay time, gpmc_clk rising edge to gpmc_oen_ren transition
H-1.0 (9)
H+3.2 (9)
ns
F11
td(clkH-nOEIV)
Delay time, gpmc_clk rising edge to gpmc_oen_ren invalid
E-1.0 (6)
E+3.2 (6)
ns
F14
td(clkH-nWE)
Delay time, gpmc_clk rising edge to gpmc_wen transition
I-0.9 (10)
I+4.2 (10)
ns
J+4.6
(11)
ns
J+4.3
(11)
ns
F15
td(clkH-Data)
Delay time, gpmc_clk rising edge to gpmc_ad[15:0] data bus transition
J-2.1
(11)
F17
td(clkH-nBE)
Delay time, gpmc_clk rising edge to gpmc_ben[1:0] transition
J-1.5
(11)
F18
tw(nCSV)
Pulse duration, gpmc_cs[7:0] low
G+4.2
A
(2)
ns
ns
F19
tw(nBEV)
Pulse duration, gpmc_ben[1:0] low
C
(4)
F20
tw(nADVV)
Pulse duration, gpmc_advn_ale low
K
(12)
F23
td(CLK-GPIO)
Delay time, gpmc_clk transition to gpio6_16 transition
0.5
ns
7.5
ns
Table 7-23. GPMC/NOR Flash Interface Timing Requirements - Synchronous Mode - 5 Loads(1)
NO.
PARAMETER
DESCRIPTION
F12
tsu(dV-clkH)
Setup time, read gpmc_ad[15:0] valid before gpmc_clk high
F13
th(clkH-dV)
Hold time, read gpmc_ad[15:0] valid after gpmc_clk high
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
UNIT
2.9
ns
2
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
227
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-23. GPMC/NOR Flash Interface Timing Requirements - Synchronous Mode - 5
Loads(1) (continued)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
F21
tsu(waitV-clkH)
Setup time, gpmc_wait[1:0] valid before gpmc_clk high
2.5
ns
F22
th(clkH-waitV)
Hold Time, gpmc_wait[1:0] valid after gpmc_clk high
2.1
ns
(1) Total GPMC load on any signal at 3.3V must not exceed 10pF.
Table 7-24. GPMC/NOR Flash Interface Switching Characteristics - Synchronous Mode - 5 Loads
NO.
F0
PARAMETER
tc(clk)
DESCRIPTION
MIN
Cycle time, output clock gpmc_clk period (13)
MAX
15.04
(7)
(1)
UNIT
ns
F+7.0
(7)
ns
F2
td(clkH-nCSV)
Delay time, gpmc_clk rising edge to gpmc_cs[7:0] transition
F+0.6
F3
td(clkH-nCSIV)
Delay time, gpmc_clk rising edge to gpmc_cs[7:0] invalid
E+0.6 (6)
E+7.0 (6)
ns
F4
td(ADDV-clk)
Delay time, gpmc_a[27:0] address bus valid to gpmc_clk first edge
B-0.7 (3)
B+7.0 (3)
ns
F5
td(clkH-ADDIV)
Delay time, gpmc_clk rising edge to gpmc_a[27:0] gpmc address bus invalid
F6
td(nBEV-clk)
Delay time, gpmc_ben[1:0] valid to gpmc_clk rising edge
B-7.0
B+0.4
ns
F7
td(clkH-nBEIV)
Delay time, gpmc_clk rising edge to gpmc_ben[1:0] invalid
D-0.4
D+7.0
ns
-0.7
ns
(8)
G+6.1
(8)
ns
F8
td(clkH-nADV)
Delay time, gpmc_clk rising edge to gpmc_advn_ale transition
G+0.7
F9
td(clkH-nADVIV)
Delay time, gpmc_clk rising edge to gpmc_advn_ale invalid
D+0.7 (5)
D+6.1 (5)
ns
F10
td(clkH-nOE)
Delay time, gpmc_clk rising edge to gpmc_oen_ren transition
H+0.7 (9)
H+5.1 (9)
ns
F11
td(clkH-nOEIV)
Delay time, gpmc_clk rising edge to gpmc_oen_ren invalid
E+0.7 (6)
E+5.1 (6)
ns
ns
F14
td(clkH-nWE)
Delay time, gpmc_clk rising edge to gpmc_wen transition
I+0.7
(10)
I+6.1
(10)
F15
td(clkH-Data)
Delay time, gpmc_clk rising edge to gpmc_ad[15:0] data bus transition
J-0.4
(11)
J+4.9
(11)
ns
F17
td(clkH-nBE)
Delay time, gpmc_clk rising edge to gpmc_ben[1:0] transition
J-0.4
(11)
J+4.9
(11)
ns
F18
tw(nCSV)
Pulse duration, gpmc_cs[7:0] low
A
(2)
F19
tw(nBEV)
Pulse duration, gpmc_ben[1:0] low
C
(4)
ns
F20
tw(nADVV)
Pulse duration, gpmc_advn_ale low
K
(12)
ns
F23
td(CLK-GPIO)
Delay time, gpmc_clk transition to gpio6_16.clkout1 transition
(14)
0.5
7.5
ns
ns
(1) Total GPMC load on any signal at 3.3V must not exceed 10pF.
(2) For single read: A = (CSRdOffTime - CSOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK period
For burst read: A = (CSRdOffTime - CSOnTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK period
For burst write: A = (CSWrOffTime - CSOnTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK period
with n the page burst access number.
(3) B = ClkActivationTime * GPMC_FCLK
(4) For single read: C = RdCycleTime * (TimeParaGranularity + 1) * GPMC_FCLK
For burst read: C = (RdCycleTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For Burst write: C = (WrCycleTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK with n the page burst
access number.
(5) For single read: D = (RdCycleTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst read: D = (RdCycleTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst write: D = (WrCycleTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(6) For single read: E = (CSRdOffTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst read: E = (CSRdOffTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst write: E = (CSWrOffTime - AccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(7) For nCS falling edge (CS activated):
Case GpmcFCLKDivider = 0 :
F = 0.5 * CSExtraDelay * GPMC_FCLK Case GpmcFCLKDivider = 1:
F = 0.5 * CSExtraDelay * GPMC_FCLK if (ClkActivationTime and CSOnTime are odd) or (ClkActivationTime and CSOnTime are even)
F = (1 + 0.5 * CSExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
F = 0.5 * CSExtraDelay * GPMC_FCLK if ((CSOnTime - ClkActivationTime) is a multiple of 3)
F = (1 + 0.5 * CSExtraDelay) * GPMC_FCLK if ((CSOnTime - ClkActivationTime - 1) is a multiple of 3)
F = (2 + 0.5 * CSExtraDelay) * GPMC_FCLK if ((CSOnTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
F = 0.5 * CSExtraDelay * GPMC_FCLK if ((CSOnTime - ClkActivationTime) is a multiple of 4)
F = (1 + 0.5 * CSExtraDelay) * GPMC_FCLK if ((CSOnTime - ClkActivationTime - 1) is a multiple of 4)
228
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
F = (2 + 0.5 * CSExtraDelay) * GPMC_FCLK if ((CSOnTime - ClkActivationTime - 2) is a multiple of 4)
F = (3 + 0.5 * CSExtraDelay) * GPMC_FCLK if ((CSOnTime - ClkActivationTime - 3) is a multiple of 4)
(8) For ADV falling edge (ADV activated):
Case GpmcFCLKDivider = 0 :
G = 0.5 * ADVExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if (ClkActivationTime and ADVOnTime are odd) or (ClkActivationTime and ADVOnTime are
even)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if ((ADVOnTime - ClkActivationTime) is a multiple of 3)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVOnTime - ClkActivationTime - 1) is a multiple of 3)
G = (2 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVOnTime - ClkActivationTime - 2) is a multiple of 3)
For ADV rising edge (ADV desactivated) in Reading mode:
Case GpmcFCLKDivider = 0:
G = 0.5 * ADVExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if (ClkActivationTime and ADVRdOffTime are odd) or (ClkActivationTime and ADVRdOffTime
are even)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime) is a multiple of 3)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime - 1) is a multiple of 3)
G = (2 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime) is a multiple of 4)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime - 1) is a multiple of 4)
G = (2 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime - 2) is a multiple of 4)
G = (3 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVRdOffTime - ClkActivationTime - 3) is a multiple of 4)
For ADV rising edge (ADV desactivated) in Writing mode:
Case GpmcFCLKDivider = 0:
G = 0.5 * ADVExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if (ClkActivationTime and ADVWrOffTime are odd) or (ClkActivationTime and ADVWrOffTime
are even)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime) is a multiple of 3)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime - 1) is a multiple of 3)
G = (2 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
G = 0.5 * ADVExtraDelay * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime) is a multiple of 4)
G = (1 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime - 1) is a multiple of 4)
G = (2 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime - 2) is a multiple of 4)
G = (3 + 0.5 * ADVExtraDelay) * GPMC_FCLK if ((ADVWrOffTime - ClkActivationTime - 3) is a multiple of 4)
(9) For OE falling edge (OE activated):
Case GpmcFCLKDivider = 0:
- H = 0.5 * OEExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if (ClkActivationTime and OEOnTime are odd) or (ClkActivationTime and OEOnTime are even)
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if ((OEOnTime - ClkActivationTime) is a multiple of 3)
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOnTime - ClkActivationTime - 1) is a multiple of 3)
- H = (2 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOnTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if ((OEOnTime - ClkActivationTime) is a multiple of 4)
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOnTime - ClkActivationTime - 1) is a multiple of 4)
- H = (2 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOnTime - ClkActivationTime - 2) is a multiple of 4)
- H = (3 + 0.5 * OEExtraDelay)) * GPMC_FCLK if ((OEOnTime - ClkActivationTime - 3) is a multiple of 4)
For OE rising edge (OE desactivated):
Case GpmcFCLKDivider = 0:
- H = 0.5 * OEExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if (ClkActivationTime and OEOffTime are odd) or (ClkActivationTime and OEOffTime are even)
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if ((OEOffTime - ClkActivationTime) is a multiple of 3)
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOffTime - ClkActivationTime - 1) is a multiple of 3)
- H = (2 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOffTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
- H = 0.5 * OEExtraDelay * GPMC_FCLK if ((OEOffTime - ClkActivationTime) is a multiple of 4)
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
229
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
- H = (1 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOffTime - ClkActivationTime - 1) is a multiple of 4)
- H = (2 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOffTime - ClkActivationTime - 2) is a multiple of 4)
- H = (3 + 0.5 * OEExtraDelay) * GPMC_FCLK if ((OEOffTime - ClkActivationTime - 3) is a multiple of 4)
(10) For WE falling edge (WE activated):
Case GpmcFCLKDivider = 0:
- I = 0.5 * WEExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if (ClkActivationTime and WEOnTime are odd) or (ClkActivationTime and WEOnTime are
even)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if ((WEOnTime - ClkActivationTime) is a multiple of 3)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOnTime - ClkActivationTime - 1) is a multiple of 3)
- I = (2 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOnTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if ((WEOnTime - ClkActivationTime) is a multiple of 4)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOnTime - ClkActivationTime - 1) is a multiple of 4)
- I = (2 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOnTime - ClkActivationTime - 2) is a multiple of 4)
- I = (3 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOnTime - ClkActivationTime - 3) is a multiple of 4)
For WE rising edge (WE desactivated):
Case GpmcFCLKDivider = 0:
- I = 0.5 * WEExtraDelay * GPMC_FCLK
Case GpmcFCLKDivider = 1:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if (ClkActivationTime and WEOffTime are odd) or (ClkActivationTime and WEOffTime are
even)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK otherwise
Case GpmcFCLKDivider = 2:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if ((WEOffTime - ClkActivationTime) is a multiple of 3)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOffTime - ClkActivationTime - 1) is a multiple of 3)
- I = (2 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOffTime - ClkActivationTime - 2) is a multiple of 3)
Case GpmcFCLKDivider = 3:
- I = 0.5 * WEExtraDelay * GPMC_FCLK if ((WEOffTime - ClkActivationTime) is a multiple of 4)
- I = (1 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOffTime - ClkActivationTime - 1) is a multiple of 4)
- I = (2 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOffTime - ClkActivationTime - 2) is a multiple of 4)
- I = (3 + 0.5 * WEExtraDelay) * GPMC_FCLK if ((WEOffTime - ClkActivationTime - 3) is a multiple of 4)
(11) J = GPMC_FCLK period, where GPMC_FCLK is the General Purpose Memory Controller internal functional clock
(12) For read:
K = (ADVRdOffTime - ADVOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For write: K = (ADVWrOffTime - ADVOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(13) The gpmc_clk output clock maximum and minimum frequency is programmable in the I/F module by setting the GPMC_CONFIG1_CSx
configuration register bit fields GpmcFCLKDivider
(14) gpio6_16 programmed to MUXMODE=9 (clkout1), CM_CLKSEL_CLKOUTMUX1 programmed to 7 (CORE_DPLL_OUT_DCLK),
CM_CLKSEL_CORE_DPLL_OUT_CLK_CLKOUTMUX programmed to 1.
(15) CSEXTRADELAY = 0, ADVEXTRADELAY = 0, WEEXTRADELAY = 0, OEEXTRADELAY = 0. Extra half-GPMC_FCLK cycle delay
mode is not timed.
230
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
F1
F0
F1
gpmc_clk
F2
F3
F18
gpmc_csi
F4
Address (MSB)
gpmc_a[10:1]
gpmc_a[27]
F6
F7
F19
gpmc_ben1
F6
F7
F19
gpmc_ben0
F8
F8
F20
F9
gpmc_advn_ale
F10
F11
gpmc_oen_ren
F13
F4
gpmc_ad[15:0]
F5
F12
Address (LSB)
D0
F22
F21
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_01
Figure 7-7. GPMC / Multiplexed 16bits NOR Flash - Synchronous Single Read (GpmcFCLKDivider = 0)(1)(2)
(1) In gpmc_csi, i = 0 to 7.
(2) In gpmc_waitj, j = 0 to 1.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
231
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
F1
F0
F1
gpmc_clk
F2
F3
F18
gpmc_csi
F4
gpmc_a[27:1]
Address
F6
F7
F19
gpmc_ben1
F6
F7
F19
gpmc_ben0
F8
F8
F9
F20
gpmc_advn_ale
F10
F11
gpmc_oen_ren
F13
F12
D0
gpmc_ad[15:0]
F22
F21
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_02
Figure 7-8. GPMC / Nonmultiplexed 16bits NOR Flash - Synchronous Single Read (GpmcFCLKDivider = 0)(1)(2)
(1) In gpmc_csi, i = 0 to 7.
(2) In gpmc_waitj, j = 0 to 1.
232
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
F1
F1
F0
gpmc_clk
F2
F3
F18
gpmc_csi
F4
gpmc_a[10:1]
gpmc_a[27]
Address (MSB)
F7
F6
F19
Valid
gpmc_ben1
F7
F6
F19
Valid
gpmc_ben0
F8
F8
F9
F20
gpmc_advn_ale
F10
F11
gpmc_oen_ren
F12
F4
gpmc_ad[15:0]
F5
F13
D0
Address (LSB)
F22
D1
F12
D2
D3
F21
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_03
Figure 7-9. GPMC / Multiplexed 16bits NOR Flash - Synchronous Burst Read 4x16 bits (GpmcFCLKDivider = 0)(1)(2)
(1) In gpmc_csi, i= 0 to 7.
(2) In gpmc_waitj, j = 0 to 1.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
233
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
F1
F1
F0
gpmc_clk
F2
F3
F18
gpmc_csi
F4
gpmc_a[27:1]
Address
F7
F6
F19
Valid
gpmc_ben1
F7
F6
F19
Valid
gpmc_ben0
F8
F8
F20
F9
gpmc_advn_ale
F10
F11
gpmc_oen_ren
F12
F13
gpmc_ad[15:0]
D0
D1
F12
D2
D3
F21
F22
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_04
Figure 7-10. GPMC / Nonmultiplexed 16bits NOR Flash - Synchronous Burst Read 4x16 bits (GpmcFCLKDivider = 0)(1)(2)
(1) In gpmc_csi, i = 0 to 7.
(2) In gpmc_waitj, j = 0 to 1.
234
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
F1
F1
F0
gpmc_clk
F2
F3
F18
gpmc_csi
F4
gpmc_a[10:1]
gpmc_a[27]
Address (MSB)
F17
F6
F17
F6
F17
F17
gpmc_ben1
F17
F17
gpmc_ben0
F8
F8
F20
F9
gpmc_advn_ale
F14
F14
gpmc_wen
F15
gpmc_ad[15:0]
Address (LSB)
D0
F22
D1
F15
D2
F15
D3
F21
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_05
Figure 7-11. GPMC / Multiplexed 16bits NOR Flash - Synchronous Burst Write 4x16bits (GpmcFCLKDivider = 0)(1)(2)
(1) In “gpmc_csi”, i = 0 to 7.
(2) In “gpmc_waitj”, j = 0 to 1.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
235
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
F1
F1
F0
gpmc_clk
F2
F3
F18
gpmc_csi
F4
Address
gpmc_a[27:1]
F17
F6
F17
F17
gpmc_ben1
F17
F6
F17
F17
gpmc_ben0
F8
F8
F20
F9
gpmc_advn_ale
F14
F14
gpmc_wen
F15
gpmc_ad[15:0]
D0
D1
F15
F15
D2
D3
F21
F22
gpmc_waitj
F23
F23
gpio6_16.clkout1
GPMC_06
Figure 7-12. GPMC / Nonmultiplexed 16bits NOR Flash - Synchronous Burst Write 4x16bits (GpmcFCLKDivider = 0)(1)(2)
(1) In “gpmc_csi”, i = 1 to 7.
(2) In “gpmc_waitj”, j = 0 to 1.
7.11.2 GPMC/NOR Flash Interface Asynchronous Timing
CAUTION
The I/O Timings provided in this section are valid only for some GPMC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-25 and Table 7-26 assume testing over the recommended operating conditions and electrical
characteristic conditions below (see Figure 7-13, Figure 7-14, Figure 7-15, Figure 7-16, Figure 7-17 and
Figure 7-18).
Table 7-25. GPMC/NOR Flash Interface Timing Requirements - Asynchronous Mode
NO.
FA5
236
PARAMETER
tacc(DAT)
DESCRIPTION
Data Maximum Access Time (GPMC_FCLK cycles)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
(1)
cycles
H
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-25. GPMC/NOR Flash Interface Timing Requirements - Asynchronous Mode (continued)
NO.
PARAMETER
DESCRIPTION
FA20
tacc1-pgmode(DAT)
Page Mode Successive Data Maximum Access Time (GPMC_FCLK
cycles)
FA21
tacc2-pgmode(DAT)
Page Mode First Data Maximum Access Time (GPMC_FCLK cycles)
-
tsu(DV-OEH)
Setup time, read gpmc_ad[15:0] valid before gpmc_oen_ren high
-
th(OEH-DV)
Hold time, read gpmc_ad[15:0] valid after gpmc_oen_ren high
MIN
MAX
UNIT
P
(2)
cycles
H
(1)
cycles
1.9
ns
1
ns
(1) H = Access Time * (TimeParaGranularity + 1)
(2) P = PageBurstAccessTime * (TimeParaGranularity + 1)
Table 7-26. GPMC/NOR Flash Interface Switching Characteristics - Asynchronous Mode
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
-
tr(DO)
Rising time, gpmc_ad[15:0] output data
0.447
4.067
ns
-
tf(DO)
Fallling time, gpmc_ad[15:0] output data
0.43
4.463
ns
FA0
tw(nBEV)
Pulse duration, gpmc_ben[1:0] valid time
N
(1)
FA1
tw(nCSV)
Pulse duration, gpmc_cs[7:0] low
A
(2)
FA3
td(nCSV-nADVIV)
Delay time, gpmc_cs[7:0] valid to gpmc_advn_ale invalid
FA4
td(nCSV-nOEIV)
Delay time, gpmc_cs[7:0] valid to gpmc_oen_ren invalid (Single read)
FA9
td(AV-nCSV)
ns
ns
B-2
(3)
B+4
(3)
C-2
(4)
ns
C+4
(4)
Delay time, address bus valid to gpmc_cs[7:0] valid
J-2
ns
(5)
J+4
(5)
ns
J-2
(5)
J+4
(5)
FA10 td(nBEV-nCSV)
Delay time, gpmc_ben[1:0] valid to gpmc_cs[7:0] valid
FA12 td(nCSV-nADVV)
Delay time, gpmc_cs[7:0] valid to gpmc_advn_ale valid
ns
K-2
(6)
K+4
(6)
FA13 td(nCSV-nOEV)
Delay time, gpmc_cs[7:0] valid to gpmc_oen_ren valid
ns
L-2
(7)
L+4
(7)
ns
FA16 tw(AIV)
Pulse duration, address invalid between 2 successive R/W accesses
G
FA18 td(nCSV-nOEIV)
Delay time, gpmc_cs[7:0] valid to gpmc_oen_ren invalid (Burst read)
I-2
(9)
I+4
(9)
FA20 tw(AV)
Pulse duration, address valid : 2nd, 3rd and 4th accesses
FA25 td(nCSV-nWEV)
Delay time, gpmc_cs[7:0] valid to gpmc_wen valid
E-2
(11)
E+4
(11)
ns
FA27 td(nCSV-nWEIV)
Delay time, gpmc_cs[7:0] valid to gpmc_wen invalid
F-2
(12)
F+4
(12)
ns
FA28 td(nWEV-DV)
Delay time, gpmc_ wen valid to data bus valid
FA29 td(DV-nCSV)
Delay time, data bus valid to gpmc_cs[7:0] valid
FA37 td(nOEV-AIV)
Delay time, gpmc_oen_ren valid to gpmc_ad[15:0] multiplexed address bus
phase end
D
ns
(8)
2
J-2
ns
ns
(10)
(5)
J+4
ns
(5)
2
ns
ns
(1) For single read: N = RdCycleTime * (TimeParaGranularity + 1) * GPMC_FCLK
For single write: N = WrCycleTime * (TimeParaGranularity + 1) * GPMC_FCLK
For burst read: N = (RdCycleTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst write: N = (WrCycleTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(2) For single read: A = (CSRdOffTime - CSOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For single write: A = (CSWrOffTime - CSOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst read: A = (CSRdOffTime - CSOnTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
For burst write: A = (CSWrOffTime - CSOnTime + (n - 1) * PageBurstAccessTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(3) For reading: B = ((ADVRdOffTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (ADVExtraDelay - CSExtraDelay)) * GPMC_FCLK
For writing: B = ((ADVWrOffTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (ADVExtraDelay - CSExtraDelay)) * GPMC_FCLK
(4) C = ((OEOffTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (OEExtraDelay - CSExtraDelay)) * GPMC_FCLK
(5) J = (CSOnTime * (TimeParaGranularity + 1) + 0.5 * CSExtraDelay) * GPMC_FCLK
(6) K = ((ADVOnTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (ADVExtraDelay - CSExtraDelay)) * GPMC_FCLK
(7) L = ((OEOnTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (OEExtraDelay - CSExtraDelay)) * GPMC_FCLK
(8) G = Cycle2CycleDelay * GPMC_FCLK * (TimeParaGranularity +1)
(9) I = ((OEOffTime + (n - 1) * PageBurstAccessTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (OEExtraDelay - CSExtraDelay)) *
GPMC_FCLK
(10) D = PageBurstAccessTime * (TimeParaGranularity + 1) * GPMC_FCLK
(11) E = ((WEOnTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (WEExtraDelay - CSExtraDelay)) * GPMC_FCLK
(12) F = ((WEOffTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (WEExtraDelay - CSExtraDelay)) * GPMC_FCLK
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
237
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
GPMC_FCLK
gpmc_clk
FA5
FA1
gpmc_csi
FA9
Valid Address
gpmc_a[27:1]
FA0
FA10
gpmc_ben0
Valid
gpmc_ben1
Valid
FA0
FA10
FA3
FA12
gpmc_advn_ale
FA4
FA13
gpmc_oen_ren
gpmc_ad[15:0]
Data IN 0
Data IN 0
gpmc_waitj
FA15
FA14
DIR
OUT
IN
OUT
GPMC_07
Figure 7-13. GPMC / NOR Flash - Asynchronous Read - Single Word Timing(1)(2)(3)
(1) In gpmc_csi, i = 0 to 7. In gpmc_waitj, j = 0 to 1.
(2) FA5 parameter illustrates amount of time required to internally sample input data. It is expressed in number of GPMC functional clock
cycles. From start of read cycle and after FA5 functional clock cycles, input Data will be internally sampled by active functional clock
edge. FA5 value must be stored inside AccessTime register bits field.
(3) GPMC_FCLK is an internal clock (GPMC functional clock) not provided externally.
(4) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
238
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
GPMC_FCLK
gpmc_clk
FA5
FA5
FA1
FA1
gpmc_csi
FA16
FA9
FA9
gpmc_a[27:1]
Address 0
Address 1
FA0
FA0
FA10
FA10
gpmc_ben0
Valid
FA0
FA0
gpmc_ben1
Valid
Valid
Valid
FA10
FA10
FA3
FA3
FA12
FA12
gpmc_advn_ale
FA4
FA4
FA13
FA13
gpmc_oen_ren
gpmc_ad[15:0]
Data Upper
gpmc_waitj
FA15
FA15
FA14
DIR
FA14
OUT
IN
OUT
IN
GPMC_08
(1)(2)(3)
Figure 7-14. GPMC / NOR Flash - Asynchronous Read - 32-bit Timing
(1) In “gpmc_csi”, i = 0 to 7. In “gpmc_waitj”, j = 0 to 1.
(2) FA5 parameter illustrates amount of time required to internally sample input Data. It is expressed in number of GPMC functional clock
cycles. From start of read cycle and after FA5 functional clock cycles, input Data will be internally sampled by active functional clock
edge. FA5 value should be stored inside AccessTime register bits field
(3) GPMC_FCLK is an internal clock (GPMC functional clock) not provided externally
(4) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
239
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
GPMC_FCLK
gpmc_clk
FA21
FA20
FA20
FA20
FA1
gpmc_csi
FA9
Add0
gpmc_a[27:1]
Add1
Add2
Add3
D0
D1
D2
Add4
FA0
FA10
gpmc_ben0
FA0
FA10
gpmc_ben1
FA12
gpmc_advn_ale
FA18
FA13
gpmc_oen_ren
gpmc_ad[15:0]
D3
D3
gpmc_waitj
FA15
FA14
DIR
OUT
IN
OUT
SPRS91v_GPMC_09
Figure 7-15. GPMC / NOR Flash - Asynchronous Read - Page Mode 4x16-bit Timing(1)(2)(3)(4)
(1) In “gpmc_csi”, i = 0 to 7. In “gpmc_waitj”, j = 0 to 1
(2) FA21 parameter illustrates amount of time required to internally sample first input Page Data. It is expressed in number of GPMC
functional clock cycles. From start of read cycle and after FA21 functional clock cycles, First input Page Data will be internally sampled
by active functional clock edge. FA21 calculation is detailled in a separated application note and should be stored inside AccessTime
register bits field.
(3) FA20 parameter illustrates amount of time required to internally sample successive input Page Data. It is expressed in number of GPMC
functional clock cycles. After each access to input Page Data, next input Page Data will be internally sampled by active functional clock
edge after FA20 functional clock cycles. FA20 is also the duration of address phases for successive input Page Data (excluding first
input Page Data). FA20 value should be stored in PageBurstAccessTime register bits field.
(4) GPMC_FCLK is an internal clock (GPMC functional clock) not provided externally
(5) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
240
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
gpmc_fclk
gpmc_clk
FA1
gpmc_csi
FA9
Valid Address
gpmc_a[27:1]
FA0
FA10
gpmc_ben0
FA0
FA10
gpmc_ben1
FA3
FA12
gpmc_advn_ale
FA27
FA25
gpmc_wen
FA29
Data OUT
gpmc_ad[15:0]
gpmc_waitj
DIR
OUT
GPMC_10
Figure 7-16. GPMC / NOR Flash - Asynchronous Write - Single Word Timing(1)
(1) In “gpmc_csi”, i = 0 to 7. In “gpmc_waitj”, j = 0 to 1.
(2) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
241
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
GPMC_FCLK
gpmc_clk
FA1
FA5
gpmc_csi
FA9
gpmc_a27
gpmc_a[10:1]
Address (MSB)
FA0
FA10
gpmc_ben0
Valid
FA0
FA10
Valid
gpmc_ben1
FA3
FA12
gpmc_advn_ale
FA4
FA13
gpmc_oen_ren
FA29
gpmc_ad[15:0]
FA37
Address (LSB)
Data IN
Data IN
FA15
FA14
DIR
OUT
IN
OUT
gpmc_waitj
GPMC_11
Figure 7-17. GPMC / Multiplexed NOR Flash - Asynchronous Read - Single Word Timing(1)(2)(3)
(1) In “gpmc_csi”, i = 0 to 7. In “gpmc_waitj”, j = 0 to 1
(2) FA5 parameter illustrates amount of time required to internally sample input Data. It is expressed in number of GPMC functional clock
cycles. From start of read cycle and after FA5 functional clock cycles, input Data will be internally sampled by active functional clock
edge. FA5 value should be stored inside AccessTime register bits field.
(3) GPMC_FCLK is an internal clock (GPMC functional clock) not provided externally
(4) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
242
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
gpmc_fclk
gpmc_clk
FA1
gpmc_csi
FA9
gpmc_a27
gpmc_a[10:1]
Address (MSB)
FA0
FA10
gpmc_ben0
FA0
FA10
gpmc_ben1
FA3
FA12
gpmc_advn_ale
FA27
FA25
gpmc_wen
FA29
FA28
Valid Address (LSB)
gpmc_ad[15:0]
Data OUT
gpmc_waitj
OUT
DIR
GPMC_12
Figure 7-18. GPMC / Multiplexed NOR Flash - Asynchronous Write - Single Word Timing(1)
(1) In “gpmc_csi”, i = 0 to 7. In “gpmc_waitj”, j = 0 to 1.
(2) The "DIR" (direction control) output signal is NOT pinned out on any of the device pads. It is an internal signal only representing a signal
direction on the GPMC data bus.
7.11.3 GPMC/NAND Flash Interface Asynchronous Timing
CAUTION
The I/O Timings provided in this section are valid only for some GPMC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-27 and Table 7-28 assume testing over the recommended operating conditions and electrical
characteristic conditions below (see Figure 7-19, Figure 7-20, Figure 7-21 and Figure 7-22).
Table 7-27. GPMC/NAND Flash Interface Timing Requirements
NO.
GNF12
PARAMETER
DESCRIPTION
tacc(DAT)
Data maximum access time (GPMC_FCLK Cycles)
-
tsu(DV-OEH)
Setup time, read gpmc_ad[15:0] valid before
gpmc_oen_ren high
-
th(OEH-DV)
Hold time, read gpmc_ad[15:0] valid after
gpmc_oen_ren high
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
J
(1)
UNIT
cycles
1.9
ns
1
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
243
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) J = AccessTime * (TimeParaGranularity + 1)
Table 7-28. GPMC/NAND Flash Interface Switching Characteristics
NO.
MIN
MAX
UNIT
-
tr(DO)
PARAMETER
Rising time, gpmc_ad[15:0] output data
DESCRIPTION
0.447
4.067
ns
-
0.43
4.463
ns
tf(DO)
Fallling time, gpmc_ad[15:0] output data
GNF0
tw(nWEV)
Pulse duration, gpmc_wen valid time
GNF1
td(nCSV-nWEV)
Delay time, gpmc_cs[7:0] valid to gpmc_wen valid
A
B-2
(2)
(1)
ns
B+4
(2)
ns
ns
GNF2
td(CLEH-nWEV)
Delay time, gpmc_ben[1:0] high to gpmc_wen valid
C-2
(3)
C+4
(3)
GNF3
td(nWEV-DV)
Delay time, gpmc_ad[15:0] valid to gpmc_wen valid
D-2
(4)
D+4
(4)
ns
GNF4
td(nWEIV-DIV)
Delay time, gpmc_wen invalid to gpmc_ad[15:0] invalid
E-2
(5)
E+4
(5)
ns
GNF5
td(nWEIV-CLEIV)
Delay time, gpmc_wen invalid to gpmc_ben[1:0] invalid
F-2
(6)
F+4
(6)
ns
ns
GNF6
td(nWEIV-nCSIV)
Delay time, gpmc_wen invalid to gpmc_cs[7:0] invalid
G-2
(7)
G+4
(7)
GNF7
td(ALEH-nWEV)
Delay time, gpmc_advn_ale high to gpmc_wen valid
C-2
(3)
C+4
(3)
ns
GNF8
td(nWEIV-ALEIV)
Delay time, gpmc_wen invalid to gpmc_advn_ale invalid
F-2
(6)
F+4
(6)
ns
GNF9
tc(nWE)
Cycle time, write cycle time
GNF10 td(nCSV-nOEV)
Delay time, gpmc_cs[7:0] valid to gpmc_oen_ren valid
GNF13 tw(nOEV)
Pulse duration, gpmc_oen_ren valid time
GNF14 tc(nOE)
Cycle time, read cycle time
GNF15 td(nOEIV-nCSIV)
Delay time, gpmc_oen_ren invalid to gpmc_cs[7:0] invalid
H
I-2
M-2
(9)
(12)
(8)
I+4
(9)
ns
ns
K
(10)
ns
L
(11)
ns
M+4
(12)
ns
(1) A = (WEOffTime - WEOnTime) * (TimeParaGranularity + 1) * GPMC_FCLK
(2) B = ((WEOnTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (WEExtraDelay - CSExtraDelay)) * GPMC_FCLK
(3) C = ((WEOnTime - ADVOnTime) * (TimeParaGranularity + 1) + 0.5 * (WEExtraDelay - ADVExtraDelay)) * GPMC_FCLK
(4) D = (WEOnTime * (TimeParaGranularity + 1) + 0.5 * WEExtraDelay ) * GPMC_FCLK
(5) E = (WrCycleTime - WEOffTime * (TimeParaGranularity + 1) - 0.5 * WEExtraDelay ) * GPMC_FCLK
(6) F = (ADVWrOffTime - WEOffTime * (TimeParaGranularity + 1) + 0.5 * (ADVExtraDelay - WEExtraDelay ) * GPMC_FCLK
(7) G = (CSWrOffTime - WEOffTime * (TimeParaGranularity + 1) + 0.5 * (CSExtraDelay - WEExtraDelay ) * GPMC_FCLK
(8) H = WrCycleTime * (1 + TimeParaGranularity) * GPMC_FCLK
(9) I = ((OEOffTime + (n - 1) * PageBurstAccessTime - CSOnTime) * (TimeParaGranularity + 1) + 0.5 * (OEExtraDelay - CSExtraDelay)) *
GPMC_FCLK
(10) K = (OEOffTime - OEOnTime) * (1 + TimeParaGranularity) * GPMC_FCLK
(11) L = RdCycleTime * (1 + TimeParaGranularity) * GPMC_FCLK
(12) M = (CSRdOffTime - OEOffTime * (TimeParaGranularity + 1) + 0.5 * (CSExtraDelay - OEExtraDelay ) * GPMC_FCLK
244
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
GPMC_FCLK
GNF1
GNF6
GNF2
GNF5
gpmc_csi
gpmc_ben0
gpmc_advn_ale
gpmc_oen_ren
GNF0
gpmc_wen
GNF3
GNF4
Command
gpmc_ad[15:0]
GPMC_13
(1)
Figure 7-19. GPMC / NAND Flash - Command Latch Cycle Timing
(1) In gpmc_csi, i = 0 to 7.
GPMC_FCLK
GNF1
GNF6
GNF7
GNF8
gpmc_csi
gpmc_ben0
gpmc_advn_ale
gpmc_oen_ren
GNF9
GNF0
gpmc_wen
GNF3
gpmc_ad[15:0]
GNF4
Address
GPMC_14
Figure 7-20. GPMC / NAND Flash - Address Latch Cycle Timing(1)
(1) In gpmc_csi, i = 0 to 7.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
245
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
GPMC_FCLK
GNF12
GNF10
GNF15
gpmc_csi
gpmc_ben0
gpmc_advn_ale
GNF14
GNF13
gpmc_oen_ren
gpmc_ad[15:0]
DATA
gpmc_waitj
GPMC_15
Figure 7-21. GPMC / NAND Flash - Data Read Cycle Timing(1)(2)(3)
(1) GNF12 parameter illustrates amount of time required to internally sample input Data. It is expressed in number of GPMC functional
clock cycles. From start of read cycle and after GNF12 functional clock cycles, input data will be internally sampled by active functional
clock edge. GNF12 value must be stored inside AccessTime register bits field.
(2) GPMC_FCLK is an internal clock (GPMC functional clock) not provided externally.
(3) In gpmc_csi, i = 0 to 7. In gpmc_waitj, j = 0 to 1.
GPMC_FCLK
GNF1
GNF6
gpmc_csi
gpmc_ben0
gpmc_advn_ale
gpmc_oen_ren
GNF9
GNF0
gpmc_wen
GNF3
GNF4
gpmc_ad[15:0]
DATA
GPMC_16
(1)
Figure 7-22. GPMC / NAND Flash - Data Write Cycle Timing
(1) In gpmc_csi, i = 0 to 7.
NOTE
To configure the desired virtual mode the user must set MODESELECT bit and
DELAYMODE bitfield for each corresponding pad control register.
The pad control registers are presented in Table 4-3 and described in Device TRM, Control
Module Chapter.
246
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Virtual IO Timings Modes must be used to guaranteed some IO timings for GPMC. See Table 7-2 Modes Summary for a list of IO timings requiring
the use of Virtual IO Timings Modes. See Table 7-29 Virtual Functions Mapping for GPMC for a definition of the Virtual modes.
Table 7-29 presents the values for DELAYMODE bitfield.
Table 7-29. Virtual Functions Mapping for GPMC
BALL
BALL NAME
Delay Mode Value
MUXMODE
GPMC_VIRTUAL1
0
1
gpmc_cs6
N1
gpmc_advn_al
e
15
gpmc_advn_al
e
H3
gpmc_ad15
13
gpmc_ad15
L3
gpmc_ad6
13
gpmc_ad6
L5
gpmc_ad2
13
gpmc_ad2
E6
vin2a_d9
9
M3
gpmc_wen
15
gpmc_wen
H2
gpmc_ad14
13
gpmc_ad14
R3
gpmc_a13
15
gpmc_a13
N7
gpmc_a8
14
gpmc_a8
T2
gpmc_a14
15
gpmc_a14
L6
gpmc_ad4
13
gpmc_ad4
H4
gpmc_a26
15
gpmc_a26
M6
gpmc_ad0
13
gpmc_ad0
N2
gpmc_wait0
15
gpmc_wait0
F6
vin2a_d11
9
M2
gpmc_ad1
13
gpmc_ad1
J3
gpmc_ad13
13
gpmc_ad13
T6
gpmc_a2
14
gpmc_a2
gpmc_ad5
L4
gpmc_ad5
13
F5
vin2a_d8
9
T1
gpmc_cs0
15
G1
vin2a_hsync0
9
2
3
5
6
gpmc_wait1
gpmc_a2
gpmc_a23
14(1)
14(1)
gpmc_a25
gpmc_a20
gpmc_a23
gpmc_a26
gpmc_cs0
gpmc_a27
P6
gpmc_a4
14
gpmc_a4
N6
gpmc_ben0
15
gpmc_ben0
R5
gpmc_a6
14
gpmc_a6
U2
gpmc_a15
15
gpmc_a15
J2
gpmc_ad11
13
gpmc_ad11
gpmc_cs4
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
247
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-29. Virtual Functions Mapping for GPMC (continued)
BALL
248
BALL NAME
Delay Mode Value
MUXMODE
GPMC_VIRTUAL1
0
1
2
U1
gpmc_a16
15
gpmc_a16
T9
gpmc_a1
14
gpmc_a1
J4
gpmc_a24
15
gpmc_a24
gpmc_a18
J7
gpmc_a23
15
gpmc_a23
gpmc_a17
L1
gpmc_ad8
13
gpmc_ad8
J1
gpmc_ad10
13
gpmc_ad10
H1
gpmc_ad12
13
gpmc_ad12
M7
gpmc_a20
15
gpmc_a20
D3
vin2a_d10
9
3
5
6
14(1)
14(1)
gpmc_a14
gpmc_a24
P1
gpmc_cs3
14
gpmc_cs3
M5
gpmc_oen_ren
15
gpmc_oen_ren
R4
gpmc_a9
14
gpmc_a9
gpmc_a1
H6
gpmc_cs1
15
gpmc_cs1
M1
gpmc_ad3
13
gpmc_ad3
gpmc_a22
L2
gpmc_ad7
13
gpmc_ad7
P5
gpmc_a7
14
gpmc_a7
T7
gpmc_a3
14
gpmc_a3
M4
gpmc_ben1
15
gpmc_ben1
gpmc_cs5
P7
gpmc_clk
15
gpmc_clk
gpmc_cs7
K6
gpmc_a22
15
gpmc_a22
P2
gpmc_cs2
15
gpmc_cs2
H7
vin2a_fld0
11
N9
gpmc_a10
14
gpmc_a10
P4
gpmc_a12
15
gpmc_a12
P3
gpmc_a17
15
gpmc_a17
R9
gpmc_a5
14
gpmc_a5
J5
gpmc_a21
15
gpmc_a21
gpmc_a15
H5
gpmc_a27
15
gpmc_a27
gpmc_a21
K2
gpmc_ad9
13
gpmc_ad9
K7
gpmc_a19
15
gpmc_a19
gpmc_a13
J6
gpmc_a25
15
gpmc_a25
gpmc_a19
R6
gpmc_a0
14
gpmc_a0
gpmc_a3
gpmc_wait1
gpmc_a16
gpmc_a27
gpmc_a18
gpmc_a0
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-29. Virtual Functions Mapping for GPMC (continued)
BALL
BALL NAME
Delay Mode Value
GPMC_VIRTUAL1
MUXMODE
0
E1
vin2a_clk0
11
R2
gpmc_a18
15
gpmc_a18
P9
gpmc_a11
14
gpmc_a11
1
2
3
5
6
14(1)
14(1)
gpmc_a27
gpmc_a17
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
249
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) Some signals listed are virtual functions that present alternate multiplexing options. These virtual functions are controlled via
CTRL_CORE_ALT_SELECT_MUX or CTRL_CORE_VIP_MUX_SELECT registers. For more information on how to use these options,
please refer to Device TRM, Chapter Control Module, Section Pad Configuration Registers.
7.12 Timers
The device has 16 general-purpose (GP) timers (TIMER1 - TIMER16), two watchdog timers, and a 32-kHz
synchronized timer (COUNTER_32K) that have the following features:
• Dedicated input trigger for capture mode and dedicated output trigger/pulse width modulation (PWM)
signal
• Interrupts generated on overflow, compare, and capture
• Free-running 32-bit upward counter
• Supported modes:
– Compare and capture modes
– Auto-reload mode
– Start-stop mode
• On-the-fly read/write register (while counting)
The device has two system watchdog timer (WD_TIMER1 and WD_TIMER2) that have the following
features:
• Free-running 32-bit upward counter
• On-the-fly read/write register (while counting)
• Reset upon occurrence of a timer overflow condition
The device includes one instance of the 32-bit watchdog timer: WD_TIMER2, also called the MPU
watchdog timer.
The watchdog timer is used to provide a recovery mechanism for the device in the event of a fault
condition, such as a non-exiting code loop.
NOTE
For additional information on the Timer Module, see the Device TRM.
7.13 Inter-Integrated Circuit Interface (I2C)
The device includes 5 inter-integrated circuit (I2C) modules which provide an interface to other devices
compliant with Philips Semiconductors Inter-IC bus (I2C-bus™) specification version 2.1. External
components attached to this 2-wire serial bus can transmit/receive 8-bit data to/from the device through
the I2C module.
NOTE
Note that, on I2C1 and I2C2, due to characteristics of the open drain IO cells, HS mode is
not supported.
NOTE
Inter-integrated circuit i (i=1 to 5) module is also referred to as I2Ci.
NOTE
For more information, see the Multimaster High-Speed I2C Controller section of the Device
TRM.
250
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-30, Table 7-31 and Figure 7-23 assume testing over the recommended operating conditions and
electrical characteristic conditions below.
Table 7-30. Timing Requirements for I2C Input Timings(1)
NO.
1
PARAMETER
STANDARD MODE
DESCRIPTION
MIN
FAST MODE
MAX
MIN
MAX
UNIT
tc(SCL)
Cycle time, SCL
10
2.5
µs
2
tsu(SCLH-SDAL)
Setup time, SCL high before SDA low (for a
repeated START condition)
4.7
0.6
µs
3
th(SDAL-SCLL)
Hold time, SCL low after SDA low (for a START
and a repeated START condition)
4
0.6
µs
4
tw(SCLL)
Pulse duration, SCL low
4.7
1.3
µs
5
tw(SCLH)
Pulse duration, SCL high
4
0.6
µs
(2)
6
tsu(SDAV-SCLH)
Setup time, SDA valid before SCL high
250
7
th(SCLL-SDAV)
Hold time, SDA valid after SCL low
0(3)
8
tw(SDAH)
Pulse duration, SDA high between STOP and
START conditions
4.7
9
tr(SDA)
Rise time, SDA
1000
20 + 0.1Cb
300(3)
ns
10
tr(SCL)
Rise time, SCL
1000
20 + 0.1Cb
300(3)
ns
11
tf(SDA)
Fall time, SDA
300
20 + 0.1Cb
300(3)
ns
12
tf(SCL)
Fall time, SCL
300
20 + 0.1Cb
300(3)
ns
13
tsu(SCLH-SDAH)
Setup time, SCL high before SDA high (for
STOP condition)
14
tw(SP)
Pulse duration, spike (must be suppressed)
15
(5)
Cb
100
3.45(4)
ns
0(3)
0.9(4)
1.3
µs
(5)
(5)
(5)
(5)
4
0.6
µs
0
Capacitive load for each bus line
µs
400
50
ns
400
pF
(1) The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered
down.
(2) A Fast-mode I2C-bus™ device can be used in a Standard-mode I2C-bus system, but the requirement tsu(SDA-SCLH)≥ 250 ns must then be
met. This will automatically be the case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch
the LOW period of the SCL signal, it must output the next data bit to the SDA line tr max + tsu(SDA-SCLH)= 1000 + 250 = 1250 ns
(according to the Standard-mode I2C-Bus Specification) before the SCL line is released.
(3) A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the
undefined region of the falling edge of SCL.
(4) The maximum th(SDA-SCLL) has only to be met if the device does not stretch the low period [tw(SCLL)] of the SCL signal.
(5) Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.
Table 7-31. Timing Requirements for I2C HS-Mode (I2C3/4/5 Only)(1)
NO.
PARAMETER
DESCRIPTION
Cb = 400 pF (2)
Cb = 100 pF MAX
MIN
MAX
MIN
UNIT
MAX
1
tc(SCL)
Cycle time, SCL
0.294
0.588
µs
2
tsu(SCLH-SDAL)
Set-up time, SCL high before
SDA low (for a repeated START
condition)
160
160
ns
3
th(SDAL-SCLL)
Hold time, SCL low after SDA
low (for a repeated START
condition)
160
160
ns
4
tw(SCLL)
LOW period of the SCLH clock
160
320
ns
5
tw(SCLH)
HIGH period of the SCLH clock
60
120
ns
6
tsu(SDAV-SCLH)
Setup time, SDA valid vefore
SCL high
10
10
ns
7
th(SCLL-SDAV)
Hold time, SDA valid after SCL
low
Copyright © 2016, Texas Instruments Incorporated
0
(3)
70
0
(3)
150
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
ns
251
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-31. Timing Requirements for I2C HS-Mode (I2C3/4/5 Only)(1) (continued)
NO.
PARAMETER
DESCRIPTION
Cb = 400 pF (2)
Cb = 100 pF MAX
MIN
13
tsu(SCLH-SDAH)
Setup time, SCL high before
SDA high (for a STOP condition)
14
tw(SP)
Pulse duration, spike (must be
suppressed)
15
Cb (2)
Capacitive load for SDAH and
SCLH lines
16
Cb
Capacitive load for SDAH + SDA
line and SCLH + SCL line
MAX
MIN
160
UNIT
MAX
160
0
10
ns
0
10
ns
100
400
pF
400
400
pF
(1) I2C HS-Mode is only supported on I2C3/4/5. I2C HS-Mode is not supported on I2C1/2.
(2) For bus line loads Cb between 100 and 400 pF the timing parameters must be linearly interpolated.
(3) A device must internally provide a Data hold time to bridge the undefined part between VIH and VIL of the falling edge of the SCLH
signal. An input circuit with a threshold as low as possible for the falling edge of the SCLH signal minimizes this hold time.
9
11
I2Ci_SDA
6
8
14
4
13
5
10
I2Ci_SCL
1
12
3
7
2
3
Stop
Start
Repeated
Start
Stop
SPRS906_TIMING_I2C_01
Figure 7-23. I2C Receive Timing
Table 7-32 and Figure 7-24 assume testing over the recommended operating conditions and electrical
characteristic conditions below.
Table 7-32. Switching Characteristics Over Recommended Operating Conditions for I2C Output Timings(2)
NO.
16
PARAMETER
DESCRIPTION
STANDARD MODE
MIN
MAX
FAST MODE
MIN
MAX
UNIT
tc(SCL)
Cycle time, SCL
10
2.5
µs
17
tsu(SCLH-SDAL)
Setup time, SCL high before SDA low (for a
repeated START condition)
4.7
0.6
µs
18
th(SDAL-SCLL)
Hold time, SCL low after SDA low (for a
START and a repeated START condition)
4
0.6
µs
19
tw(SCLL)
Pulse duration, SCL low
4.7
1.3
µs
20
tw(SCLH)
Pulse duration, SCL high
4
0.6
µs
21
tsu(SDAV-SCLH)
Setup time, SDA valid before SCL high
250
100
ns
22
th(SCLL-SDAV)
Hold time, SDA valid after SCL low (for I2C
bus devices)
23
tw(SDAH)
Pulse duration, SDA high between STOP and
START conditions
24
tr(SDA)
Rise time, SDA
1000
20 + 0.1Cb
300(3)
ns
25
tr(SCL)
Rise time, SCL
1000
20 + 0.1Cb
300(3)
ns
26
tf(SDA)
Fall time, SDA
300
20 + 0.1Cb
300(3)
ns
252
0
3.45
4.7
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
0
0.9
1.3
(1) (3)
(1) (3)
(1) (3)
µs
µs
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-32. Switching Characteristics Over Recommended Operating Conditions for I2C Output
Timings(2) (continued)
NO.
PARAMETER
STANDARD MODE
DESCRIPTION
MIN
27
tf(SCL)
Fall time, SCL
28
tsu(SCLH-SDAH)
Setup time, SCL high before SDA high (for
STOP condition)
29
Cp
Capacitance for each I2C pin
FAST MODE
MAX
MIN
MAX
300
20 + 0.1Cb
300(3)
4
(1) (3)
0.6
UNIT
ns
µs
10
10
pF
(1) Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.
(2) Software must properly configure the I2C module registers to achieve the timings shown in this table. See the Device TRM for details.
(3) These timings apply only to I2C1 and I2C2. I2C3, I2C4, and I2C5 use standard LVCMOS buffers to emulate open-drain buffers and their
rise/fall times should be referenced in the device IBIS model.
NOTE
I2C emulation is achieved by configuring the LVCMOS buffers to output Hi-Z instead of
driving high when transmitting logic-1.
24
26
I2Ci_SDA
21
23
19
28
20
25
I2Ci_SCL
27
16
18
22
17
18
Stop
Start
Repeated
Start
Stop
SPRS906_TIMING_I2C_02
Figure 7-24. I2C Transmit Timing
7.14 HDQ / 1-Wire Interface (HDQ1W)
The module is intended to work with both HDQ and 1-Wire protocols. The protocols use a single wire to
communicate between the master and the slave. The protocols employ an asynchronous return to one
mechanism where, after any command, the line is pulled high.
NOTE
For more information, see the HDQ / 1-Wire section of the Device TRM.
7.14.1 HDQ / 1-Wire - HDQ Mode
Table 7-33 and Table 7-34 assume testing over the recommended operating conditions and electrical
characteristic conditions below (see Figure 7-25, Figure 7-26, Figure 7-27 and Figure 7-28).
Table 7-33. HDQ/1-Wire Timing Requirements-HDQ Mode
NO.
MIN
MAX
UNIT
1
tCYCH
Read bit window timing
190
250
µs
2
tHW1
Read one data valid after HDQ low
32(2)
66(2)
µs
Read zero data hold after HDQ low
(2)
3
PARAMETER
tHW0
DESCRIPTION
Copyright © 2016, Texas Instruments Incorporated
70
(2)
145
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
µs
253
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-33. HDQ/1-Wire Timing Requirements-HDQ Mode (continued)
NO.
PARAMETER
4
DESCRIPTION
Response time from HDQ slave device(1)
tRSPS
MIN
MAX
UNIT
190
320
µs
(1) Defined by software.
(2) If the HDQ slave device drives a logic-low state after tHW0 maximum, it can be interpreted as a break pulse. For more information see
"HDQ / 1-Wire Switching Characteristics - HDQ Mode" and the HDQ/1-Wire chapter of the TRM.
Table 7-34. HDQ / 1-Wire Switching Characteristics - HDQ Mode
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
5
tB
Break timing
190
µs
6
tBR
Break recovery time
40
µs
7
tCYCD
Write bit windows timing
190
µs
8
tDW1
Write one data valid after HDQ low
0.5
50
µs
9
tDW0
Write zero data hold after HDQ low
86
145
µs
tB
tBR
HDQ
SPRS906_TIMING_HDQ1W_01
Figure 7-25. HDQ Break and Break Recovery Timing - HDQ Interface Writing to Slave
tCYCH
tHW0
tHW1
HDQ
SPRS906_TIMING_HDQ1W_02
Figure 7-26. Device HDQ Interface Bit Read Timing (Data)
tCYCD
tDW0
tDW1
HDQ
SPRS906_TIMING_HDQ1W_03
Figure 7-27. Device HDQ Interface Bit Write Timing (Command / Address or Data)
Command_byte_written
Break
1
Data_byte_received
tRSPS
0_(LSB)
6
7_(MSB)
1
0_(LSB)
6
HDQ
SPRS906_TIMING_HDQ1W_04
Figure 7-28. HDQ Communication Timing
7.14.2 HDQ/1-Wire-1-Wire Mode
Table 7-35 and Table 7-36 assume testing over the recommended operating conditions and electrical
characteristic conditions below (see Figure 7-29, Figure 7-30 and Figure 7-31).
254
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-35. HDQ / 1-Wire Timing Requirements - 1-Wire Mode
NO.
MIN
MAX
UNIT
10
tPDH
PARAMETER
Presence pulse delay high
DESCRIPTION
15
60
µs
11
tPDL
Presence pulse delay low
60
240
µs
12
tRDV
Read data valid time
tLOWR
15
µs
13
tREL
Read data release time
0
45
µs
Table 7-36. HDQ / 1-Wire Switching Characteristics - 1-Wire Mode
NO.
MIN
MAX
UNIT
14
tRSTL
Reset time low
480
960
µs
15
tRSTH
Reset time high
480
16
tSLOT
Bit cycle time
60
120
µs
17
tLOW1
Write bit-one time
1
15
µs
18
tLOW0
Write bit-zero time(2)
60
120
µs
19
tREC
Recovery time
1
20
PARAMETER
tLOWR
DESCRIPTION
(1)
Read bit strobe time
µs
µs
1
15
µs
(1) tLOWR (low pulse sent by the master) must be short as possible to maximize the master sampling window.
(2) tLOWR must be less than tSLOT.
tRSTH
tPDH
tRTSL
tPDL
1-WIRE
SPRS906_TIMING_HDQ1W_05
Figure 7-29. 1-Wire-Break (Reset)
tSLOT_and_tREC
tRDV_and_tREL
tLOWR
1-WIRE
SPRS906_TIMING_HDQ1W_06
Figure 7-30. 1-Wire-Read Bit (Data)
tSLOT_and_tREC
tLOW0
tLOW1
1-WIRE
SPRS906_TIMING_HDQ1W_07
Figure 7-31. 1-Wire-Write Bit-One Timing (Command / Address or Data)
7.15 Universal Asynchronous Receiver Transmitter (UART)
The UART performs serial-to-parallel conversions on data received from a peripheral device and parallelto-serial conversion on data received from the CPU. There are 10 UART modules in the device. Only one
UART supports IrDA features. Each UART can be used for configuration and data exchange with a
number of external peripheral devices or interprocessor communication between devices
The UARTi (where i = 1 to 10) include the following features:
• 16C750 compatibility
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
255
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
•
•
•
•
•
•
•
www.ti.com
64-byte FIFO buffer for receiver and 64-byte FIFO for transmitter
Baud generation based on programmable divisors N (where N = 1…16 384) operating from a fixed
functional clock of 48 MHz or 192 MHz
Break character detection and generation
Configurable data format:
– Data bit: 5, 6, 7, or 8 bits
– Parity bit: Even, odd, none
– Stop-bit: 1, 1.5, 2 bit(s)
Flow control: Hardware (RTS/CTS) or software (XON/XOFF)
Only UART1 module has extended modem control signals (CD, RI, DTR, DSR)
Only UART3 supports IrDA
NOTE
For more information, see the UART section of the Device TRM.
Table 7-37, Table 7-38 and Figure 7-32 assume testing over the recommended operating conditions and
electrical characteristic conditions below.
Table 7-37. Timing Requirements for UART
NO.
MIN
MAX
UNIT
4
tw(RX)
PARAMETER
Pulse width, receive data bit, 15/30/100pF high or low
DESCRIPTION
0.96U(1)
1.05U(1)
ns
5
tw(CTS)
Pulse width, receive start bit, 15/30/100pF high or low
0.96U(1)
1.05U(1)
ns
(2)
ns
ns
td(RTS-TX)
Delay time, transmit start bit to transmit data
P
td(CTS-TX)
Delay time, receive start bit to transmit data
P(2)
(1) U = UART baud time = 1/programmed baud rate
(2) P = Clock period of the reference clock (FCLK, usually 48 MHz or 192MHz).
Table 7-38. Switching Characteristics Over Recommended Operating Conditions for UART
NO.
PARAMETER
DESCRIPTION
MIN
MAX
15 pF
12
30 pF
0.23
UNIT
f(baud)
Maximum programmable baud rate
2
tw(TX)
Pulse width, transmit data bit, 15/30/100 pF high or low
U - 2(1)
U + 2(1)
ns
3
tw(RTS)
Pulse width, transmit start bit, 15/30/100 pF high or low
U - 2(1)
U + 2(1)
ns
100 pF
MHz
0.115
(1) U = UART baud time = 1/programmed baud rate
3
2
UARTi_TXD
Start
Bit
Data Bits
5
4
UARTi_RXD
Start
Bit
Data Bits
SPRS906_TIMING_UART_01
Figure 7-32. UART Timing
256
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.16 Multichannel Serial Peripheral Interface (McSPI)
The McSPI is a master/slave synchronous serial bus. There are four separate McSPI modules (SPI1,
SPI2, SPI3, and SPI4) in the device. All these four modules support up to four external devices (four chip
selects) and are able to work as both master and slave.
The McSPI modules include the following main features:
• Serial clock with programmable frequency, polarity, and phase for each channel
• Wide selection of SPI word lengths, ranging from 4 to 32 bits
• Up to four master channels, or single channel in slave mode
• Master multichannel mode:
– Full duplex/half duplex
– Transmit-only/receive-only/transmit-and-receive modes
– Flexible input/output (I/O) port controls per channel
– Programmable clock granularity
– SPI configuration per channel. This means, clock definition, polarity enabling and word width
• Power management through wake-up capabilities
• Programmable timing control between chip select and external clock generation
• Built-in FIFO available for a single channel.
• Each SPI module supports multiple chip select pins spim_cs[i], where i = 1 to 4.
NOTE
For more information, see the Serial Communication Interface section of the device TRM.
NOTE
The McSPIm module (m = 1 to 4) is also referred to as SPIm.
CAUTION
The I/O timings provided in this section are applicable for all combinations of
signals for SPI1 and SPI2. However, the timings are valid only for SPI3 and
SPI4 if signals within a single IOSET are used. The IOSETS are defined in
Table 7-41.
Table 7-39, Figure 7-33 and Figure 7-34 present Timing Requirements for McSPI - Master Mode.
Table 7-39. Timing Requirements for SPI - Master Mode (1)
NO.
PARAMETER
DESCRIPTION
SM1
tc(SPICLK)
Cycle time, spi_sclk (1) (2)
MODE
SM2
tw(SPICLKL)
Typical Pulse duration, spi_sclk low
SM3
tw(SPICLKH)
Typical Pulse duration, spi_sclk high
SM4
tsu(MISO-SPICLK)
SM5
th(SPICLK-MISO)
MIN
MAX
UNIT
(3)
ns
0.5*P-1
ns
0.5*P-1
ns
Setup time, spi_d[x] valid before spi_sclk active edge (1)
3.5
ns
Hold time, spi_d[x] valid after spi_sclk active edge (1)
3.7
ns
Copyright © 2016, Texas Instruments Incorporated
SPI1/2/3/
4
(1)
20.8
(4)
(1)
(4)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
257
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-39. Timing Requirements for SPI - Master Mode (1) (continued)
NO.
PARAMETER
DESCRIPTION
SM6
td(SPICLK-SIMO)
Delay time, spi_sclk active edge to spi_d[x] transition
(1)
SM7
td(CS-SIMO)
Delay time, spi_cs[x] active edge to spi_d[x] transition
SM8
td(CS-SPICLK)
Delay time, spi_cs[x] active to spi_sclk first edge (1)
MODE
MIN
MAX
UNIT
SPI1
-3.57
4.1
ns
SPI2
-3.9
3.6
ns
SPI3
-4.9
4.7
SPI4
-4.3
4.5
MASTER
_PHA0
B-4.2 (6)
ns
MASTER
_PHA1
A-4.2 (7)
ns
MASTER
_PHA0
A-4.2 (7)
ns
MASTER
_PHA1
B-4.2 (6)
ns
5
ns
(5)
(5)
SM9
td(SPICLK-CS)
Delay time, spi_sclk last edge to spi_cs[x] inactive (1)
(5)
(5)
(1) This timing applies to all configurations regardless of SPI_CLK polarity and which clock edges are used to drive output data and capture
input data.
(2) Related to the SPI_CLK maximum frequency.
(3) 20.8ns cycle time = 48MHz
(4) P = SPICLK period.
(5) SPI_CLK phase is programmable with the PHA bit of the SPI_CH(i)CONF register.
(6) B = (TCS + 0.5) * TSPICLKREF * Fratio, where TCS is a bit field of the SPI_CH(i)CONF register and Fratio = Even ≥2.
(7) When P = 20.8 ns, A = (TCS + 1) * TSPICLKREF, where TCS is a bit field of the SPI_CH(i)CONF register. When P > 20.8 ns, A = (TCS
+ 0.5) * Fratio * TSPICLKREF, where TCS is a bit field of the SPI_CH(i)CONF register.
258
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
PHA=0
EPOL=1
spim_cs(OUT)
SM1
SM3
SM8
spim_sclk(OUT)
SM2
SM9
POL=0
SM3
SM1
SM2
POL=1
spim_sclk(OUT)
SM7
SM6
Bit n-1
spim_d(OUT)
SM6
Bit n-2
Bit n-3
Bit n-4
Bit 0
PHA=1
EPOL=1
spim_cs(OUT)
SM2
SM1
SM8
spim_sclk(OUT)
SM3
SM9
POL=0
SM1
SM2
SM3
POL=1
spim_sclk(OUT)
SM6
SM6
Bit n-1
spim_d(OUT)
Bit n-2
SM6
Bit n-3
SM6
Bit 1
Bit0
SPRS906_TIMING_McSPI_01
Figure 7-33. McSPI - Master Mode Transmit
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
259
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
PHA=0
EPOL=1
spim_cs(OUT)
SM1
SM3
SM8
spim_sclk(OUT)
SM2
SM9
POL=0
SM3
SM1
SM2
POL=1
spim_sclk(OUT)
SM5
SM5
SM4
SM4
Bit n-1
spim_d(IN)
Bit n-2
Bit n-3
Bit n-4
Bit 0
PHA=1
EPOL=1
spim_cs(OUT)
SM2
SM1
SM8
spim_sclk(OUT)
SM3
SM9
POL=0
SM1
SM2
SM3
POL=1
spim_sclk(OUT)
SM5
SM4
SM4
Bit n-1
spim_d(IN)
SM5
Bit n-2
Bit n-3
Bit 1
Bit 0
SPRS906_TIMING_McSPI_02
Figure 7-34. McSPI - Master Mode Receive
Table 7-40, Figure 7-35 and Figure 7-36 present Timing Requirements for McSPI - Slave Mode.
Table 7-40. Timing Requirements for SPI - Slave Mode
PARAMETER
DESCRIPTION
SS1 (1)
NO.
tc(SPICLK)
Cycle time, spi_sclk
SS2 (1)
tw(SPICLKL)
Typical Pulse duration, spi_sclk low
SS3
(1)
MODE
MIN
62.5
MAX
(2)
UNIT
ns
(3)
0.45*P
(4)
ns
0.45*P
(4)
ns
tw(SPICLKH)
Typical Pulse duration, spi_sclk high
SS4 (1)
tsu(SIMO-SPICLK)
Setup time, spi_d[x] valid before spi_sclk active edge
5
ns
SS5 (1)
th(SPICLK-SIMO)
Hold time, spi_d[x] valid after spi_sclk active edge
5
ns
(1)
td(SPICLK-SOMI)
Delay time, spi_sclk active edge to mcspi_somi transition
SS6
SS7 (5)
SS8
260
(1)
td(CS-SOMI)
Delay time, spi_cs[x] active edge to mcspi_somi transition
tsu(CS-SPICLK)
Setup time, spi_cs[x] valid before spi_sclk first edge
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
SPI1/2/3
2
26.6
ns
SPI4
2
20.1
ns
20.95
ns
5
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-40. Timing Requirements for SPI - Slave Mode (continued)
NO.
SS9 (1)
PARAMETER
DESCRIPTION
MODE
MIN
th(SPICLK-CS)
Hold time, spi_cs[x] valid after spi_sclk last edge
SPI1/2
5
MAX
UNIT
ns
SPI3
7.5
ns
SPI4
6
ns
(1) This timing applies to all configurations regardless of SPI_CLK polarity and which clock edges are used to drive output data and capture
input data.
(2) When operating the SPI interface in RX-only mode, the minimum Cycle time is 26ns (38.4MHz)
(3) 62.5ns Cycle time = 16 MHz
(4) P = SPICLK period.
(5) PHA = 0; SPI_CLK phase is programmable with the PHA bit of the SPI_CH(i)CONF register.
PHA=0
EPOL=1
spim_cs(IN)
SS2
SS1
SS8
spim_sclk(IN)
SS3
SS9
POL=0
SS2
SS1
SS3
POL=1
spim_sclk(IN)
SS7
SS6
Bit n-1
spim_d(OUT)
SS6
Bit n-2
Bit n-3
Bit n-4
Bit 0
PHA=1
EPOL=1
spim_cs(IN)
SS2
SS1
SS8
spim_sclk(IN)
SS3
SS9
POL=0
SS3
SS1
SS2
POL=1
spim_sclk(IN)
SS6
SS6
Bit n-1
spim_d(OUT)
Bit n-2
SS6
Bit n-3
SS6
Bit 1
Bit 0
SPRS906_TIMING_McSPI_03
Figure 7-35. McSPI - Slave Mode Transmit
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
261
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
PHA=0
EPOL=1
spim_cs(IN)
SS2
SS1
SS8
spim_sclk(IN)
SS3
SS9
POL=0
SS2
SS1
SS3
POL=1
spim_sclk(IN)
SS5
SS4
SS4
SS5
Bit n-1
spim_d(IN)
Bit n-2
Bit n-3
Bit n-4
Bit 0
PHA=1
EPOL=1
spim_cs(IN)
SS2
SS1
SS8
spim_sclk(IN)
SS3
SS9
POL=0
SS3
SS1
SS2
POL=1
spim_sclk(IN)
SS4
SS5
SS4
Bit n-1
spim_d(IN)
SS5
Bit n-2
Bit n-3
Bit 1
Bit0
SPRS906_TIMING_McSPI_04
Figure 7-36. McSPI - Slave Mode Receive
In Table 7-41 are presented the specific groupings of signals (IOSET) for use with SPI3 and SPI4.
Table 7-41. McSPI3/4 IOSETs
SIGNALS
IOSET1
BALL
IOSET2
MUX
BALL
IOSET3
MUX
BALL
IOSET4
IOSET5
MUX
BALL
MUX
BALL
MUX
McSPI3
spi3_cs0
D11
8
V9
7
A12
3
D17
2
AC9
1
spi3_cs1
B11
8
AC3
1
E14
3
B11
8
AC3
1
spi3_cs2
F11
8
F11
8
F11
8
spi3_cs3
A10
8
A10
8
A10
8
spi3_d0
C11
8
W9
7
B13
3
G16
2
AC6
1
spi3_d1
B10
8
Y1
7
A11
3
A21
2
AC7
1
spi3_sclk
E11
8
V2
7
B12
3
C18
2
AC4
1
7
AA4
2
AB5
1
McSPI4
spi4_cs0
262
P9
8
F3
8
U6
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-41. McSPI3/4 IOSETs (continued)
SIGNALS
IOSET1
IOSET2
BALL
MUX
spi4_cs1
P4
spi4_cs2
R3
spi4_cs3
IOSET3
BALL
MUX
8
P4
8
R3
T2
8
spi4_d0
N9
spi4_d1
R4
spi4_sclk
N7
IOSET4
BALL
MUX
8
Y1
8
W9
T2
8
8
F2
8
G6
8
G1
IOSET5
BALL
MUX
BALL
MUX
8
Y1
8
W9
8
Y1
8
8
W9
V9
8
8
V9
8
V9
8
8
V6
8
U7
7
AB3
2
AB8
1
7
AB9
2
AD6
8
V7
1
7
AA3
2
AC8
1
7.17 Quad Serial Peripheral Interface (QSPI)
The Quad SPI (QSPI) module is a type of SPI module that allows single, dual or quad read access to
external SPI devices. This module has a memory mapped register interface, which provides a direct
interface for accessing data from external SPI devices and thus simplifying software requirements. It
works as a master only. There is one QSPI module in the device and it is primary intended for fast
booting from quad-SPI flash memories.
General SPI features:
• Programmable clock divider
• Six pin interface (DCLK, CS_N, DOUT, DIN, QDIN1, QDIN2)
• 4 external chip select signals
• Support for 3-, 4- or 6-pin SPI interface
• Programmable CS_N to DOUT delay from 0 to 3 DCLKs
• Programmable signal polarities
• Programmable active clock edge
• Software controllable interface allowing for any type of SPI transfer
NOTE
For more information, see the Quad Serial Peripheral Interface section of the Device TRM.
CAUTION
The IO Timings provided in this section are only valid when all QSPI Chip
Selects used in a system are configured to use the same Clock Mode (either
Clock Mode 0 or Clock Mode 3).
CAUTION
The I/O Timings provided in this section are valid only for some QSPI usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-42 and Table 7-43 Present Timing and Switching Characteristics for Quad SPI Interface.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
263
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-42. Switching Characteristics for QSPI
NO.
PARAMETER
DESCRIPTION
MODE
MIN
Q1
tc(SCLK)
Cycle time, sclk
Default Timing Mode,
Clock Mode 0
11.71
MAX
UNIT
ns
Default Timing Mode,
Clock Mode 3
20.8
ns
Q2
tw(SCLKL)
Pulse duration, sclk low
Y*P-1
ns
Q3
tw(SCLKH)
Pulse duration, sclk high
Y*P-1
ns
Q4
td(CS-SCLK)
Delay time, sclk falling edge to cs active edge, CS3:0
(1)
(1)
Default Timing Mode
-M*P1.6 (2)
M*P+2.
6 (2) (3)
ns
(3)
Q5
td(SCLK-CS)
Delay time, sclk falling edge to cs inactive edge,
CS3:0
Default Timing Mode
N*P-1.6
(2) (3)
N*P+2.
6 (2) (3)
ns
Q6
td(SCLK-D0)
Delay time, sclk falling edge to d[0] transition
Default Timing Mode
-1.6
2.6
ns
Q7
tena(CS-D0LZ)
Enable time, cs active edge to d[0] driven (lo-z)
-P-3.5
-P+2.5
ns
Q8
tdis(CS-D0Z)
Disable time, cs active edge to d[0] tri-stated (hi-z)
-P-2.5
-P+2.0
ns
Q9
td(SCLK-D0)
Delay time, sclk first falling edge to first d[0] transition
-1.6
2.6
ns
PHA=0 Only, Default
Timing Mode
(1) The Y parameter is defined as follows:
If DCLK_DIV is 0 or ODD then, Y equals 0.5.
If DCLK_DIV is EVEN then, Y equals (DCLK_DIV/2) / (DCLK_DIV+1).
For best performance, it is recommended to use a DCLK_DIV of 0 or ODD to minimize the duty cycle distortion. The HSDIVIDER on
CLKOUTX2_H13 output of DPLL_PER can be used to achieve the desired clock divider ratio. All required details about clock division
factor DCLK_DIV can be found in the device-specific Technical Reference Manual.
(2) P = SCLK period.
(3) M=QSPI_SPI_DC_REG.DDx + 1 when Clock Mode 0.
M=QSPI_SPI_DC_REG.DDx when Clock Mode 3.
N = 2 when Clock Mode 0.
N = 3 when Clock Mode 3.
PHA=1
cs
Q5
Q1
POL=1
Q4
Q3
Q2
sclk
Q15
Q14
Q7
d[0]
d[3:1]
Q6
Q6
Command
Bit n-1
Command
Bit n-2
Q12 Q13
Read Data
Read Data
Bit 1
Bit 0
Q14
Q15
Q12 Q13
Read Data
Read Data
Bit 1
Bit 0
SPRS85v_TIMING_OSPI1_01
Figure 7-37. QSPI Read (Clock Mode 3)
264
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
PHA=0
cs
Q5
Q4
Q1
Q2
POL=0
Q3
sclk
POL=0
rtclk
Q7
d[0]
Q6
Q9
Command Command
Bit n-1
Bit n-2
Q12 Q13
Read Data
Bit 1
Q12 Q13
Read Data
Bit 0
Q12 Q13
Read Data
Bit 1
d[3:1]
Q12 Q13
Read Data
Bit 0
SPRS85v_TIMING_OSPI1_02
Figure 7-38. QSPI Read (Clock Mode 0)
CAUTION
The I/O Timings provided in this section are valid only for some QSPI usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-43. Timing Requirements for QSPI(3)(2)
NO.
PARAMETER
DESCRIPTION
MODE
MIN
Q2
tsu(D-RTCLK)
Setup time, d[3:0] valid before falling rtclk edge
Default Timing Mode,
Clock Mode 0
4.6
ns
tsu(D-SCLK)
Setup time, d[3:0] valid before falling sclk edge
Default Timing Mode,
Clock Mode 3
12.3
ns
th(RTCLK-D)
Hold time, d[3:0] valid after falling rtclk edge
Default Timing Mode,
Clock Mode 0
-0.1
ns
th(SCLK-D)
Hold time, d[3:0] valid after falling sclk edge
Default Timing Mode,
Clock Mode 3
0.1
ns
Q14
tsu(D-SCLK)
Setup time, final d[3:0] bit valid before final falling sclk
edge
Default Timing Mode,
Clock Mode 3
12.3-P
ns
Q15
th(SCLK-D)
Hold time, final d[3:0] bit valid after final falling sclk
edge
Default Timing Mode,
Clock Mode 3
0.1+P
ns
Q13
Copyright © 2016, Texas Instruments Incorporated
MAX
(1)
(1)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
UNIT
265
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) P = SCLK period.
(2) Clock Modes 1 and 2 are not supported.
(3) The Device captures data on the falling clock edge in Clock Mode 0 and 3, as opposed to the traditional rising clock edge. Although
non-standard, the falling-edge-based setup and hold time timings have been designed to be compatible with standard SPI devices that
launch data on the falling edge in Clock Modes 0 and 3.
PHA=1
cs
Q5
POL=1
Q1
Q4
Q3
Q2
sclk
Q7
d[0]
Command
Bit n-1
Write Data
Bit 1
Command
Bit n-2
Q8
Q6
Q6
Q6
Q6
Write Data
Bit 0
d[3:1]
SPRS85v_TIMING_OSPI1_03
Figure 7-39. QSPI Write (Clock Mode 3)
PHA=0
cs
Q5
Q4
POL=0
Q1
Q2
Q3
sclk
Q7
d[0]
Q9
Q6
Command Command
Bit n-1
Bit n-2
Q6
Q8
Q6
Write Data
Bit 1
Write Data
Bit 0
d[3:1]
SPRS85v_TIMING_OSPI1_04
Figure 7-40. QSPI Write (Clock Mode 0)
CAUTION
The I/O Timings provided in this section are valid only for some QSPI usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
266
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section Manual IO Timing Modes of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information see the Control Module chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for QSPI. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-44 Manual
Functions Mapping for QSPI for a definition of the Manual modes.
Table 7-44 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-44. Manual Functions Mapping for QSPI
BALL
BALL NAME
QSPI1_MANUAL1
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
T7
gpmc_a3
0
0
CFG_GPMC_A3_OUT
qspi1_cs2
1
P6
gpmc_a4
0
0
CFG_GPMC_A4_OUT
qspi1_cs3
R3
gpmc_a13
0
0
CFG_GPMC_A13_IN
qspi1_rtclk
T2
gpmc_a14
2247
1186
CFG_GPMC_A14_IN
qspi1_d3
U2
gpmc_a15
2176
1197
CFG_GPMC_A15_IN
qspi1_d2
U1
gpmc_a16
2229
1268
CFG_GPMC_A16_IN
qspi1_d0
U1
gpmc_a16
0
0
CFG_GPMC_A16_OUT
qspi1_d0
P3
gpmc_a17
2251
1217
CFG_GPMC_A17_IN
qspi1_d1
R2
gpmc_a18
0
0
CFG_GPMC_A18_OUT
qspi1_sclk
P2
gpmc_cs2
0
0
CFG_GPMC_CS2_OUT
qspi1_cs0
P1
gpmc_cs3
0
0
CFG_GPMC_CS3_OUT
qspi1_cs1
7.18 Multichannel Audio Serial Port (McASP)
The multichannel audio serial port (McASP) functions as a general-purpose audio serial port optimized for
the needs of multichannel audio applications. The McASP is useful for time-division multiplexed (TDM)
stream, Inter-Integrated Sound (I2S) protocols, and intercomponent digital audio interface transmission
(DIT).
The device have integrated 8 McASP modules (McASP1-McASP8) with:
• McASP1 and McASP2 modules supporting 16 channels with independent TX/RX clock/sync domain
• McASP3 through McASP8 modules supporting 4 channels with independent TX/RX clock/sync domain
• The McASP1 is instantiated in IPU power domain
• McASP2 through McASP8 are part of the L4_PER2 peripheral power domain
NOTE
For more information, see the Serial Communication Interface section of the Device TRM.
CAUTION
The I/O Timings provided in this section are valid only for some McASP usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
267
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-45, Table 7-46, Table 7-47 and Figure 7-41 present Timing Requirements for McASP1 to
McASP8.
Table 7-45. Timing Requirements for McASP1(1)
NO.
1
PARAMETER
DESCRIPTION
tc(AHCLKRX)
Cycle time, AHCLKR/X
2
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
3
tc(ACLKRX)
Cycle time, ACLKR/X
4
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
5
tsu(AFSRX-ACLK)
Setup time, AFSR/X input valid before ACLKR/X
6
7
8
th(ACLK-AFSRX)
tsu(AXR-ACLK)
th(ACLK-AXR)
Hold time, AFSR/X input valid after ACLKR/X
Setup time, AXR input valid before ACLKR/X
Hold time, AXR input valid after ACLKR/X
MODE
MIN
MAX
20
0.35P
UNIT
ns
(2)
ns
20
ns
0.5R - 3
ns
ACLKR/X int
20.5
ns
ACLKR/X ext
in
ACLKR/X ext
out
4
ns
(3)
ACLKR/X int
-1
ns
ACLKR/X ext
in
ACLKR/X ext
out
1.7
ns
ACLKR/X int
21.6
ns
ACLKR/X ext
in
ACLKR/X ext
out
11.5
ns
ACLKR/X int
-1
ns
ACLKR/X ext
in
ACLKR/X ext
out
1.8
ns
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
Table 7-46. Timing Requirements for McASP2(1)
NO.
268
PARAMETER
DESCRIPTION
1
tc(AHCLKRX)
Cycle time, AHCLKR/X
2
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
3
tc(ACLKRX)
Cycle time, ACLKR/X
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MODE
MIN
MAX
UNIT
20
ns
0.35P (2)
ns
Any
Other
Condition
s
20
ns
ACLKX/A
FSX (In
Sync
Mode),
ACLKR/
AFSR (In
Async
Mode),
and AXR
are all
inputs
12.5
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-46. Timing Requirements for McASP2(1) (continued)
NO.
4
PARAMETER
DESCRIPTION
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
MODE
MIN
Any
Other
Condition
s
0.5R - 3
(3)
MAX
ns
ACLKX/A 0.38R (3)
FSX (In
Sync
Mode),
ACLKR/
AFSR (In
Async
Mode),
and AXR
are all
inputs
5
6
7
8
tsu(AFSRX-ACLK)
Setup time, AFSR/X input valid before ACLKR/X
th(ACLK-AFSRX)
Hold time, AFSR/X input valid after ACLKR/X
tsu(AXR-ACLK)
Setup time, AXR input valid before ACLKR/X
th(ACLK-AXR)
Hold time, AXR input valid after ACLKR/X
UNIT
ns
ACLKR/
X int
20.3
ns
ACLKR/
X ext in
ACLKR/
X ext out
3
ns
ACLKR/
X int
-1
ns
ACLKR/
X ext in
ACLKR/
X ext out
3
ns
ACLKR/
X int
21.1
ns
ACLKR/
X ext in
ACLKR/
X ext out
3
ns
ACLKR/
X int
-1
ns
ACLKR/
X ext in
ACLKR/
X ext out
3
ns
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
Table 7-47. Timing Requirements for McASP3/4/5/6/7/8(1)
NO.
PARAMETER
DESCRIPTION
1
tc(AHCLKRX)
Cycle time, AHCLKR/X
2
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
3
tc(ACLKRX)
Cycle time, ACLKR/X
4
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
5
tsu(AFSRX-ACLK)
Setup time, AFSR/X input valid before ACLKR/X
Copyright © 2016, Texas Instruments Incorporated
MODE
MIN
MAX
UNIT
20
ns
0.35P
ns
(2)
20
ns
0.5R - 3
ns
ACLKR/X int
19.7
ns
ACLKR/X ext in
ACLKR/X ext out
5.6
ns
(3)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
269
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-47. Timing Requirements for McASP3/4/5/6/7/8(1) (continued)
NO.
PARAMETER
DESCRIPTION
6
th(ACLK-AFSRX)
Hold time, AFSR/X input valid after ACLKR/X
tsu(AXR-ACLK)
8
th(ACLK-AXR)
Setup time, AXR input valid before ACLKX
Hold time, AXR input valid after ACLKX
MODE
MIN
ACLKR/X int
-1.1
MAX
UNIT
ns
ACLKR/X ext in
ACLKR/X ext out
2.5
ns
ACLKX int
(ASYNC=0)
20.3
ns
ACLKR/X ext in
ACLKR/X ext out
5.1
ns
ACLKX int
(ASYNC=0)
-0.8
ns
ACLKR/X ext in
ACLKR/X ext out
2.5
ns
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1 (NOT SUPPORTED)
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
270
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
2
1
2
AHCLKR/X (Falling Edge Polarity)
AHCLKR/X (Rising Edge Polarity)
4
3
4
ACLKR/X (CLKRP = CLKXP = 0) (A)
ACLKR/X (CLKRP = CLKXP = 1) (B)
6
5
AFSR/X (Bit Width, 0 Bit Delay)
AFSR/X (Bit Width, 1 Bit Delay)
AFSR/X (Bit Width, 2 Bit Delay)
AFSR/X (Slot Width, 0 Bit Delay)
AFSR/X (Slot Width, 1 Bit Delay)
AFSR/X (Slot Width, 2 Bit Delay)
8
7
AXR[n] (Data In/Receive)
A0
A1
A30 A31 B0
B1
B30 B31
C0
C1
C2
C3
C31
SPRS906_TIMING_McASP_01
A.
B.
For CLKRP = CLKXP =
receiver is configured for
For CLKRP = CLKXP =
receiver is configured for
0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP
falling edge (to shift data in).
1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP
rising edge (to shift data in).
Figure 7-41. McASP Input Timing
Table 7-48, Table 7-49, Table 7-50 and Figure 7-42 present Switching Characteristics Over
Recommended Operating Conditions for McASP1 to McASP8.
Table 7-48. Switching Characteristics Over Recommended Operating Conditions for McASP1(1)
NO.
PARAMETER
DESCRIPTION
MODE
9
tc(AHCLKRX)
Cycle time, AHCLKR/X
10
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
11
tc(ACLKRX)
Cycle time, ACLKR/X
12
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
13
td(ACLK-AFSXR)
Delay time, ACLKR/X transmit edge to AFSX/R output valid
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
UNIT
20
ns
0.5P 2.5 (2)
ns
20
ns
0.5P 2.5 (3)
ns
ACLKR/X int
-0.9
6
ns
ACLKR/X ext in
ACLKR/X ext out
2
23.1
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
271
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-48. Switching Characteristics Over Recommended Operating Conditions for
McASP1(1) (continued)
NO.
14
PARAMETER
DESCRIPTION
td(ACLK-AXR)
Delay time, ACLKR/X transmit edge to AXR output valid
MODE
MIN
MAX
UNIT
ACLKR/X int
-1.4
6
ns
ACLKR/X ext in
ACLKR/X ext out
2
24.2
ns
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
Table 7-49. Switching Characteristics Over Recommended Operating Conditions for McASP2
NO.
PARAMETER
DESCRIPTION
9
tc(AHCLKRX)
Cycle time, AHCLKR/X
10
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
11
tc(ACLKRX)
Cycle time, ACLKR/X
12
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
13
td(ACLK-AFSXR)
Delay time, ACLKR/X transmit edge to AFSX/R output valid
14
td(ACLK-AXR)
Delay time, ACLKR/X transmit edge to AXR output valid
MODE
MIN
MAX
(1)
UNIT
20
ns
0.5P 2.5 (2)
ns
20
ns
0.5P 2.5 (3)
ns
ACLKR/X int
-1
6
ns
ACLKR/X ext in
ACLKR/X ext out
2
23.2
ns
ACLKR/X int
-1.3
6
ns
ACLKR/X ext in
ACLKR/X ext out
2
23.7
ns
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
Table 7-50. Switching Characteristics Over Recommended Operating Conditions for
McASP3/4/5/6/7/8(1)
NO.
PARAMETER
DESCRIPTION
9
tc(AHCLKRX)
Cycle time, AHCLKR/X
10
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
11
tc(ACLKRX)
Cycle time, ACLKR/X
12
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
13
td(ACLK-AFSXR)
Delay time, ACLKR/X transmit edge to AFSX/R output valid
14
272
td(ACLK-AXR)
Delay time, ACLKR/X transmit edge to AXR output valid
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MODE
MIN
MAX
UNIT
20
ns
0.5P 2.5 (2)
ns
20
ns
0.5P 2.5 (3)
ns
ACLKR/X int
-0.5
6
ns
ACLKR/X ext in
ACLKR/X ext out
1.9
24.5
ns
ACLKR/X int
-1.4
7.1
ns
ACLKR/X ext in
ACLKR/X ext out
1.1
24.2
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1
ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1
(2) P = AHCLKR/X period in ns.
(3) R = ACLKR/X period in ns.
10
10
9
AHCLKR/X (Falling Edge Polarity)
AHCLKR/X (Rising Edge Polarity)
12
11
12
ACLKR/X (CLKRP = CLKXP = 1) (A)
ACLKR/X (CLKRP = CLKXP = 0) (B)
13
13
13
13
AFSR/X (Bit Width, 0 Bit Delay)
AFSR/X (Bit Width, 1 Bit Delay)
AFSR/X (Bit Width, 2 Bit Delay)
13
13
13
AFSR/X (Slot Width, 0 Bit Delay)
AFSR/X (Slot Width, 1 Bit Delay)
AFSR/X (Slot Width, 2 Bit Delay)
14
15
AXR[n] (Data Out/Transmit)
A0
A1
A30 A31
B0
B1
B30 B31 C0
C1
C2
C3
C31
SPRS906_TIMING_McASP_02
A.
B.
For CLKRP = CLKXP =
receiver is configured for
For CLKRP = CLKXP =
receiver is configured for
1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP
rising edge (to shift data in).
0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP
falling edge (to shift data in).
Figure 7-42. McASP Output Timing
Table 7-51 through Table 7-58 explain all cases with Virtual Mode Details for McASP1/2/3/4/5/6/7/8 (see
Figure 7-43 through Figure 7-50).
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
273
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-51. Virtual Mode Case Details for McASP1
No.
CASE
CASE Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
COIFOI
CLKX / FSX: Output
CLKR / FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP1_VIRTUAL2_ASYNC_RX
2
COIFIO
CLKX / FSR: Output
CLKR / FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP1_VIRTUAL2_ASYNC_RX
CLKR / FSR: Output
CLKX / FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP1_VIRTUAL2_ASYNC_RX
AXR(Inputs)/CLKR/FSR
Default (No Virtual Mode)
CLKR / FSX: Output
CLKX / FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP1_VIRTUAL2_ASYNC_RX
AXR(Inputs)/CLKR/FSR
Default (No Virtual Mode)
3
4
CIOFIO
CIOFOI
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
6
CO-FOCI-FO-
CLKX / FSX: Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
FSX: Output CLKX:
Input
AXR(Outputs)/CLKX/FSX
MCASP1_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP1_VIRTUAL1_SYNC_RX
7
CI-FI-
CLKX / FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP1_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP1_VIRTUAL1_SYNC_RX
8
CO-FI-
CLKX: Output FSX:
Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
Table 7-52. Virtual Mode Case Details for McASP2
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX / FSX:
Output CLKR /
FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP2_VIRTUAL4_ASYNC_RX_80M
CLKX / FSR:
Output CLKR /
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP2_VIRTUAL2_ASYNC_RX
CLKR / FSR:
Output CLKX /
FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP2_VIRTUAL2_ASYNC_RX
AXR(Inputs)/CLKR/FSR
Default (No Virtual Mode)
CLKR / FSX:
Output CLKX /
FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP2_VIRTUAL2_ASYNC_RX
AXR(Inputs)/CLKR/FSR
Default (No Virtual Mode)
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
6
7
8
274
CO-FOCI-FOCI-FICO-FI-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP2_VIRTUAL3_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP2_VIRTUAL3_SYNC_RX
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP2_VIRTUAL3_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP2_VIRTUAL1_SYNC_RX_80M
CLKX: Output
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-53. Virtual Mode Case Details for McASP3
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX /
FSX: Output
CLKR /
FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP3_VIRTUAL2_SYNC_RX
CLKX /
FSR: Output
CLKR /
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP3_VIRTUAL2_SYNC_RX
CLKR /
FSR: Output
CLKX /
FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP3_VIRTUAL2_SYNC_RX
CLKR /
FSX: Output
CLKX /
FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP3_VIRTUAL2_SYNC_RX
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
6
7
8
CO-FOCI-FOCI-FICO-FI-
CLKX /
FSX: Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
CLKX /
FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP3_VIRTUAL2_SYNC_RX
CLKX:
Output FSX:
Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
Table 7-54. Virtual Mode Case Details for McASP4
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX / FSX:
Output CLKR /
FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP4_VIRTUAL1_SYNC_RX
CLKX / FSR:
Output CLKR /
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP4_VIRTUAL1_SYNC_RX
CLKR / FSR:
Output CLKX /
FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP4_VIRTUAL1_SYNC_RX
CLKR / FSX:
Output CLKX /
FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP4_VIRTUAL1_SYNC_RX
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
CO-FO-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
6
CI-FO-
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP4_VIRTUAL1_SYNC_RX
7
CI-FI-
Copyright © 2016, Texas Instruments Incorporated
Default (No Virtual Mode)
See Figure 7-47
See Figure 7-48
See Figure 7-49
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
275
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-54. Virtual Mode Case Details for McASP4 (continued)
No.
8
CASE
CO-FI-
CASE
Description
CLKX: Output
FSX: Input
Virtual Mode Settings
Notes
Signals
Virtual Mode Value
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
See Figure 7-50
Table 7-55. Virtual Mode Case Details for McASP5
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX / FSX:
Output CLKR /
FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP5_VIRTUAL1_SYNC_RX
CLKX / FSR:
Output CLKR /
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP5_VIRTUAL1_SYNC_RX
CLKR / FSR:
Output CLKX /
FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP5_VIRTUAL1_SYNC_RX
CLKR / FSX:
Output CLKX /
FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP5_VIRTUAL1_SYNC_RX
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
CO-FO-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
6
CI-FO-
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP5_VIRTUAL1_SYNC_RX
CLKX: Output
FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
7
8
CI-FICO-FI-
Default (No Virtual Mode)
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
Table 7-56. Virtual Mode Case Details for McASP6
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX / FSX:
Output CLKR
/ FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP6_VIRTUAL1_SYNC_RX
CLKX / FSR:
Output CLKR
/ FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP6_VIRTUAL1_SYNC_RX
CLKR / FSR:
Output CLKX
/ FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP6_VIRTUAL1_SYNC_RX
CLKR / FSX:
Output CLKX
/ FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP6_VIRTUAL1_SYNC_RX
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
276
CO-FO-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
See Figure 7-47
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-56. Virtual Mode Case Details for McASP6 (continued)
No.
6
CASE
CI-FO-
CASE
Description
Virtual Mode Settings
Signals
Virtual Mode Value
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
7
CI-FI-
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP6_VIRTUAL1_SYNC_RX
8
CO-FI-
CLKX:
Output FSX:
Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
Notes
See Figure 7-48
See Figure 7-49
See Figure 7-50
Table 7-57. Virtual Mode Case Details for McASP7
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
4
COIFOI
COIFIO
CIOFIO
CIOFOI
CLKX / FSX:
Output CLKR
/ FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP7_VIRTUAL2_SYNC_RX
CLKX / FSR:
Output CLKR
/ FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP7_VIRTUAL2_SYNC_RX
CLKR / FSR:
Output CLKX
/ FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP7_VIRTUAL2_SYNC_RX
CLKR / FSX:
Output CLKX
/ FSR: Input
AXR(Outputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP7_VIRTUAL2_SYNC_RX
See Figure 7-43
See Figure 7-44
See Figure 7-45
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
CO-FO-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
6
CI-FO-
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
7
CI-FI-
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP7_VIRTUAL2_SYNC_RX
CLKX:
Output FSX:
Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
8
CO-FI-
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
Table 7-58. Virtual Mode Case Details for McASP8
No.
CASE
CASE
Description
Virtual Mode Settings
Signals
Notes
Virtual Mode Value
IP Mode : ASYNC
1
2
3
COIFOI
COIFIO
CIOFIO
CLKX / FSX:
Output CLKR
/ FSR: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP8_VIRTUAL1_SYNC_RX
CLKX / FSR:
Output CLKR
/ FSX: Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKR/FSR
MCASP8_VIRTUAL1_SYNC_RX
CLKR / FSR:
Output CLKX
/ FSX: Input
AXR(Outputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP8_VIRTUAL1_SYNC_RX
Copyright © 2016, Texas Instruments Incorporated
See Figure 7-43
See Figure 7-44
See Figure 7-45
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
277
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-58. Virtual Mode Case Details for McASP8 (continued)
No.
4
CASE
CIOFOI
CASE
Description
CLKR / FSX:
Output CLKX
/ FSR: Input
Virtual Mode Settings
Notes
Signals
Virtual Mode Value
AXR(Outputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKR/FSR
MCASP8_VIRTUAL1_SYNC_RX
See Figure 7-46
IP Mode : SYNC (CLKR / FSR internally generated from CLKX / FSX)
5
CO-FO-
CLKX / FSX:
Output
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
6
CI-FO-
FSX: Output
CLKX: Input
AXR(Outputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
7
CI-FI-
CLKX / FSX:
Input
AXR(Outputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
AXR(Inputs)/CLKX/FSX
MCASP8_VIRTUAL1_SYNC_RX
CLKX:
Output FSX:
Input
AXR(Outputs)/CLKX/FSX
Default (No Virtual Mode)
AXR(Inputs)/CLKX/FSX
Default (No Virtual Mode)
8
CO-FI-
McASP
See Figure 7-47
See Figure 7-48
See Figure 7-49
See Figure 7-50
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-43. McASP1-8 COIFOI - ASYNC Mode
278
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-44. McASP1-8 COIFIO - ASYNC Mode
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-45. McASP1-8 CIOFIO - ASYNC Mode
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
279
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-46. McASP1-8 CIOFOI - ASYNC Mode
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-47. McASP1-8 CO-FO- - SYNC Mode
280
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-48. McASP1-8 CI-FO- - SYNC Mode
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-49. McASP1-8 CI-FI- - SYNC Mode
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
281
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
McASP
SoC IOs
CLKX
FSX
TXDATA
CLKR
FSR
RXDATA
Figure 7-50. McASP1-8 CO-FI- - SYNC Mode
NOTE
To configure the desired virtual mode the user must set MODESELECT bit and
DELAYMODE bitfield for each corresponding pad control register.
The pad control registers are presented in Table 4-3 and described in Device TRM, Control
Module Chapter.
CAUTION
The I/O Timings provided in this section are valid only for some McASP usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
282
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Virtual IO Timings Modes must be used to guaranteed some IO timings for McASP1. See Table 7-2, Modes Summary for a list of IO timings
requiring the use of Virtual IO Timings Modes. See Table 7-59, Virtual Functions Mapping for McASP1 for a definition of the Virtual modes.
Table 7-59 presents the values for DELAYMODE bitfield.
Table 7-59. Virtual Functions Mapping for McASP1
BALL
BALL NAME
Delay Mode Value
MUXMODE
MCASP1_VIRTUAL1_SYNC_RX
MCASP1_VIRTUAL2_ASYNC_RX
0
C14
mcasp1_aclkx
15
14
mcasp1_aclkx
E21
gpio6_14
14
13
A13
mcasp1_axr13
15
14
mcasp1_axr13
E12
mcasp1_axr4
14
13
mcasp1_axr4
B26
xref_clk2
14
13
A11
mcasp1_axr9
15
14
D12
mcasp1_axr7
14
13
mcasp1_axr7
E14
mcasp1_axr12
15
14
mcasp1_axr12
F21
gpio6_16
14
13
mcasp1_axr10
mcasp1_axr9
1
2
3
mcasp1_axr8
mcasp1_axr6
mcasp1_axr9
F20
gpio6_15
14
13
C23
xref_clk3
14
13
C12
mcasp1_axr6
14
13
mcasp1_axr6
B13
mcasp1_axr10
15
14
mcasp1_axr10
J14
mcasp1_fsr
N/A
14
mcasp1_fsr
B12
mcasp1_axr8
15
14
mcasp1_axr8
A12
mcasp1_axr11
15
14
mcasp1_axr11
G13
mcasp1_axr2
14
13
mcasp1_axr2
D14
mcasp1_fsx
15
14
mcasp1_fsx
G14
mcasp1_axr14
15
14
mcasp1_axr14
F14
mcasp1_axr15
15
14
mcasp1_axr15
F12
mcasp1_axr1
15
14
mcasp1_axr1
B14
mcasp1_aclkr
N/A
14
mcasp1_aclkr
mcasp1_axr5
mcasp1_axr7
F13
mcasp1_axr5
14
13
E17
xref_clk1
15
14
G12
mcasp1_axr0
15
14
mcasp1_axr0
J11
mcasp1_axr3
14
13
mcasp1_axr3
D18
xref_clk0
15
14
mcasp1_axr5
mcasp1_axr4
mcasp1_ahclkx
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
283
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Virtual IO Timings Modes must be used to guaranteed some IO timings for McASP2. See Table 7-2, Modes Summary for a list of IO timings
requiring the use of Virtual IO Timings Modes. See Table 7-60, Virtual Functions Mapping for McASP2 for a definition of the Virtual modes.
Table 7-60 presents the values for DELAYMODE bitfield.
Table 7-60. Virtual Functions Mapping for McASP2
BALL
BALL NAME
Delay Mode Value
MUXMODE
MCASP2_VIRTUAL1
_SYNC_RX_80M
MCASP2_VIRTUAL2
_ASYNC_RX
MCASP2_VIRTUAL3
_SYNC_RX
MCASP2_VIRTUAL4
_ASYNC_RX_80M
0
B19
mcasp3_axr0
15
14
10
9
B17
mcasp2_axr6
14
13
12
11
mcasp2_axr6
B16
mcasp2_axr5
14
13
12
11
mcasp2_axr5
A18
mcasp2_fsx
15
14
10
9
mcasp2_fsx
B26
xref_clk2
12
11
10
9
1
2
mcasp2_axr1
4
mcasp2_axr1
0
A16
mcasp2_axr3
15
14
10
9
mcasp2_axr3
E15
mcasp2_aclkr
N/A
14
N/A
13
mcasp2_aclkr
B18
mcasp3_aclkx
15
14
10
9
A19
mcasp2_aclkx
15
14
10
9
mcasp2_aclkx
mcasp2_axr7
mcasp2_axr1
2
A17
mcasp2_axr7
14
13
12
11
C23
xref_clk3
12
11
10
9
C17
mcasp3_axr1
15
14
10
8
mcasp2_axr1
5
F15
mcasp3_fsx
15
14
10
9
mcasp2_axr1
3
C15
mcasp2_axr2
15
14
10
9
mcasp2_axr2
D15
mcasp2_axr4
14
13
12
11
mcasp2_axr4
A20
mcasp2_fsr
N/A
14
N/A
13
mcasp2_fsr
E17
xref_clk1
10
9
8
6
A15
mcasp2_axr1
14
13
12
11
mcasp2_axr1
B15
mcasp2_axr0
14
13
12
11
mcasp2_axr0
D18
xref_clk0
10
9
8
6
284
Timing Requirements and Switching Characteristics
3
mcasp2_axr1
1
mcasp2_axr9
mcasp2_ahclkx
mcasp2_axr8
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Virtual IO Timings Modes must be used to guaranteed some IO timings for McASP3/4/5/6/7/8. See Table 7-2, Modes Summary for a list of IO
timings requiring the use of Virtual IO Timings Modes. See Table 7-61, Virtual Functions Mapping for McASP3/4/5/6/7/8 for a definition of the
Virtual modes.
Table 7-61 presents the values for DELAYMODE bitfield.
Table 7-61. Virtual Functions Mapping for McASP3/4/5/6/7/8
BALL
BALL NAME
Delay Mode Value
MUXMODE
0
1
2
MCASP3_VIRTUAL2_SYNC_RX
A16
mcasp2_axr3
8
B18
mcasp3_aclkx
8
mcasp3_aclkx
mcasp3_axr3
B19
mcasp3_axr0
8
mcasp3_axr0
C17
mcasp3_axr1
6
mcasp3_axr1
F15
mcasp3_fsx
8
mcasp3_fsx
C15
mcasp2_axr2
8
A21
mcasp4_fsx
14
mcasp4_fsx
mcasp4_fsr
C18
mcasp4_aclkx
14
mcasp4_aclkx
mcasp4_aclkr
G16
mcasp4_axr0
14
mcasp4_axr0
D17
mcasp4_axr1
14
mcasp4_axr1
F13
mcasp1_axr5
12
mcasp4_axr3
E12
mcasp1_axr4
12
mcasp4_axr2
AA3
mcasp5_aclkx
14
mcasp5_aclkx
mcasp5_aclkr
AB9
mcasp5_fsx
14
mcasp5_fsx
mcasp5_fsr
AA4
mcasp5_axr1
14
mcasp5_axr1
C12
mcasp1_axr6
12
AB3
mcasp5_axr0
14
D12
mcasp1_axr7
12
G13
mcasp1_axr2
12
mcasp6_axr2
J11
mcasp1_axr3
12
mcasp6_axr3
B13
mcasp1_axr10
10
mcasp6_aclkx
A11
mcasp1_axr9
10
mcasp6_axr1
B12
mcasp1_axr8
10
mcasp6_axr0
A12
mcasp1_axr11
10
mcasp6_fsx
mcasp3_aclkr
mcasp3_fsr
mcasp3_axr2
MCASP4_VIRTUAL1_SYNC_RX
MCASP5_VIRTUAL1_SYNC_RX
mcasp5_axr2
mcasp5_axr0
mcasp5_axr3
MCASP6_VIRTUAL1_SYNC_RX
mcasp6_aclkr
mcasp6_fsr
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
285
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-61. Virtual Functions Mapping for McASP3/4/5/6/7/8 (continued)
BALL
BALL NAME
Delay Mode Value
MUXMODE
0
1
2
MCASP7_VIRTUAL2_SYNC_RX
E14
mcasp1_axr12
10
mcasp7_axr0
F14
mcasp1_axr15
10
mcasp7_fsx
mcasp7_fsr
G14
mcasp1_axr14
10
mcasp7_aclkx
mcasp7_aclkr
A13
mcasp1_axr13
10
mcasp7_axr1
B14
mcasp1_aclkr
13
mcasp7_axr2
J14
mcasp1_fsr
13
mcasp7_axr3
MCASP8_VIRTUAL1_SYNC_RX
286
D15
mcasp2_axr4
10
A17
mcasp2_axr7
10
mcasp8_fsx
mcasp8_fsr
B17
mcasp2_axr6
10
mcasp8_aclkx
mcasp8_aclkr
A20
mcasp2_fsr
12
mcasp8_axr3
B16
mcasp2_axr5
10
mcasp8_axr1
E15
mcasp2_aclkr
12
mcasp8_axr2
Timing Requirements and Switching Characteristics
mcasp8_axr0
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.19 Universal Serial Bus (USB)
SuperSpeed USB DRD Subsystem has four instances in the device providing the following functions:
• USB1: SuperSpeed (SS) USB 3.0 Dual-Role-Device (DRD) subsystem with integrated SS (USB3.0)
PHY and HS/FS (USB2.0) PHY.
• USB2: High-Speed (HS) USB 2.0 Dual-Role-Device (DRD) subsystem with integrated HS/FS PHY.
NOTE
For more information, see the SuperSpeed USB DRD section of the Device TRM.
7.19.1 USB1 DRD PHY
The USB1 DRD interface supports the following applications:
• USB2.0 High-Speed PHY port (1.8 V and 3.3 V): this asynchronous high-speed interface is compliant
with the USB2.0 PHY standard with an internal transceiver (USB2.0 standard v2.0), for a maximum
data rate of 480 Mbps.
• USB3.0 Super-Speed PHY port (1.8 V): this asynchronous differential super-speed interface is
compliant with the USB3.0 RX/TX PHY standard (USB3.0 standard v1.0) for a maximum data bit rate
of 5Gbps.
7.19.2 USB2 PHY
The USB2 interface supports the following applications:
• USB2.0 High-Speed PHY port (1.8 V and 3.3 V): this asynchronous high-speed interface is compliant
with the USB2.0 PHY standard with an internal transceiver (USB2.0 standard v2.0), for a maximum
data rate of 480 Mbps.
7.20 Serial Advanced Technology Attachment (SATA)
The SATA RX/TX PHY interface is compliant with the SATA standard v2.6 for a maximum data rate:
• Gen2i, Gen2m, Gen2x: 3Gbps.
• Gen1i, Gen1m, Gen1x: 1.5Gbps.
NOTE
For more information, see the SATA Controller section of the Device TRM.
7.21 Peripheral Component Interconnect Express (PCIe)
The device supports connections to PCIe-compliant devices via the integrated PCIe master/slave bus
interface. The PCIe module is comprised of a dual-mode PCIe core and a SerDes PHY. Each PCIe
subsystem controller has support for PCIe Gen-II mode (5.0 Gbps /lane) and Gen-I mode (2.5 Gbps/lane)
(Single Lane and Flexible dual lane configuration).
The device PCIe supports the following features:
• 16-bit operation @250 MHz on PIPE interface (per 16-bit lane)
• Supports 2 ports x 1 lane or 1 port x 2 lanes configuration
• Single virtual channel (VC0), single traffic class (TC0)
• Single function in end-point mode
• Automatic width and speed negotiation
• Max payload: 128 byte outbound, 256 byte inbound
• Automatic credit management
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
287
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
•
•
•
•
•
•
www.ti.com
ECRC generation and checking
Configurable BAR filtering
Legacy interrupt reception (RC) and generation (EP)
MSI generation and reception
PCI Express Active State Power Management (ASPM) state L0s and L1 (with exceptions)
All PCI Device Power Management D-states with the exception of D3cold / L2 state
The PCIe controller on this device conforms to the PCI Express Base 3.0 Specification, revision 1.0 and
the PCI Local Bus Specification, revision 3.0
NOTE
For more information, see the PCIe Controller section of the Device TRM.
7.22 Controller Area Network Interface (DCAN)
The device provides two DCAN interfaces for supporting distributed realtime control with a high level of
security. The DCAN interfaces implement the following features:
• Supports CAN protocol version 2.0 part A, B
• Bit rates up to 1 MBit/s
• 64 message objects
• Individual identifier mask for each message object
• Programmable FIFO mode for message objects
• Programmable loop-back modes for self-test operation
• Suspend mode for debug support
• Software module reset
• Automatic bus on after Bus-Off state by a programmable 32-bit timer
• Direct access to Message RAM during test mode
• CAN Rx/Tx pins are configurable as general-purpose IO pins
• Two interrupt lines (plus additional parity-error interrupts line)
• RAM initialization
• DMA support
NOTE
For more information, see the DCAN section of the Device TRM.
NOTE
The Controller Area Network Interface x (x = 1 to 2) is also referred to as DCANx.
Table 7-62, Table 7-63 and Figure 7-51 present timing and switching characteristics for DCANx Interface.
Table 7-62. Timing Requirements for DCANx Receive(1)
NO.
1
288
PARAMETER
DESCRIPTION
f(baud)
Maximum programmable baud rate
tw(DCANRX)
Pulse duration, receive data bit (DCANx_RX)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
H - 15
NOM
MAX
UNIT
1
Mbps
H + 15
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) H = period of baud rate, 1/programmed baud rate.
Table 7-63. Switching Characteristics Over Recommended Operating Conditions for DCANx Transmit
NO.
2
PARAMETER
DESCRIPTION
f(baud)
Maximum programmable baud rate
tw(DCANTX)
Pulse duration, transmit data bit (DCANx_TX)
(1)
MIN
MAX
UNIT
1
Mbps
H - 15
H + 15
ns
(1) H = period of baud rate, 1/programmed baud rate.
1
DCANx_RX
2
DCANx_TX
SPRS906_TIMING_DCAN_01
Figure 7-51. DCANx Timings
7.23 Ethernet Interface (GMAC_SW)
The three-port gigabit ethernet switch subsystem (GMAC_SW) provides ethernet packet communication
and can be configured as an ethernet switch. It provides the Gigabit Media Independent Interface (G/MII)
in MII mode, Reduced Gigabit Media Independent Interface (RGMII), Reduced Media Independent
Interface (RMII), and the Management Data Input/Output (MDIO) for physical layer device (PHY)
management.
NOTE
For more information, see the Ethernet Subsystem section of the Device TRM.
NOTE
The Gigabit, Reduced and Media Independent Interface n (n = 0 to 1) are also referred to as
MIIn, RMIIn and RGMIIn.
CAUTION
The I/O timings provided in this section are valid only if signals within a single
IOSET are used. The IOSETs are defined in Table 7-68, Table 7-71, Table 776 and Table 7-83.
CAUTION
The I/O Timings provided in this section are valid only for some GMAC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-64 and Figure 7-52 present timing requirements for MIIn in receive operation.
7.23.1 GMAC MII Timings
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
289
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-64. Timing Requirements for miin_rxclk - MII Operation
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
1
tc(RX_CLK)
Cycle time, miin_rxclk
10 Mbps
400
2
tw(RX_CLKH)
Pulse duration, miin_rxclk high
3
tw(RX_CLKL)
Pulse duration, miin_rxclk low
4
tt(RX_CLK)
Transition time, miin_rxclk
MAX
UNIT
ns
100 Mbps
40
10 Mbps
140
260
ns
ns
100 Mbps
14
26
ns
10 Mbps
140
260
ns
100 Mbps
14
26
ns
10 Mbps
3
ns
100 Mbps
3
ns
4
1
3
2
miin_rxclk
4
SPRS906_TIMING_GMAC_MIIRXCLK_01
Figure 7-52. Clock Timing (GMAC Receive) - MIIn operation
Table 7-65 and Figure 7-53 present timing requirements for MIIn in transmit operation.
Table 7-65. Timing Requirements for miin_txclk - MII Operation
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
1
tc(TX_CLK)
Cycle time, miin_txclk
10 Mbps
400
100 Mbps
40
10 Mbps
140
2
tw(TX_CLKH)
Pulse duration, miin_txclk high
3
tw(TX_CLKL)
Pulse duration, miin_txclk low
4
tt(TX_CLK)
Transition time, miin_txclk
MAX
UNIT
ns
ns
260
ns
100 Mbps
14
26
ns
10 Mbps
140
260
ns
100 Mbps
14
26
ns
10 Mbps
3
ns
100 Mbps
3
ns
4
1
2
3
miin_txclk
4
SPRS906_TIMING_GMAC_MIITXCLK_02
Figure 7-53. Clock Timing (GMAC Transmit) - MIIn operation
Table 7-66 and Figure 7-54 present timing requirements for GMAC MIIn Receive 10/100Mbit/s.
Table 7-66. Timing Requirements for GMAC MIIn Receive 10/100 Mbit/s
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
tsu(RXD-RX_CLK)
1
tsu(RX_DV-RX_CLK)
Setup time, receive selected signals valid before miin_rxclk
8
ns
tsu(RX_ER-RX_CLK)
290
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-66. Timing Requirements for GMAC MIIn Receive 10/100 Mbit/s (continued)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
th(RX_CLK-RXD)
2
th(RX_CLK-RX_DV)
Hold time, receive selected signals valid after miin_rxclk
8
ns
th(RX_CLK-RX_ER)
1
2
miin_rxclk (Input)
miin_rxd3−miin_rxd0,
miin_rxdv, miin_rxer (Inputs)
SPRS906_TIMING_GMAC_MIIRCV_03
Figure 7-54. GMAC Receive Interface Timing MIIn operation
Table 7-67 and Figure 7-55 present timing requirements for GMAC MIIn Transmit 10/100Mbit/s.
Table 7-67. Switching Characteristics Over Recommended Operating Conditions for GMAC MIIn Transmit
10/100 Mbits/s
NO.
1
PARAMETER
td(TX_CLK-TXD)
td(TX_CLK-TX_EN)
DESCRIPTION
Delay time, miin_txclk to transmit selected signals valid
MIN
MAX
UNIT
0
25
ns
1
miin_txclk (input)
miin_txd3 − miin_txd0,
miin_txen (outputs)
SPRS906_TIMING_GMAC_MIITX_04
Figure 7-55. GMAC Transmit Interface Timing MIIn operation
In Table 7-68 are presented the specific groupings of signals (IOSET) for use with GMAC MII signals.
Table 7-68. GMAC MII IOSETs
SIGNALS
IOSET5
IOSET6
BALL
MUX
mii1_txd3
C5
8
mii1_txd2
D6
8
mii1_txd1
B2
8
mii1_txd0
C4
8
mii1_rxd3
F5
8
mii1_rxd2
E4
8
mii1_rxd1
C1
8
mii1_rxd0
E6
8
mii1_col
B4
8
mii1_rxer
B3
8
mii1_txer
A3
8
mii1_txen
A4
8
mii1_crs
B5
8
Copyright © 2016, Texas Instruments Incorporated
BALL
MUX
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
291
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-68. GMAC MII IOSETs (continued)
SIGNALS
IOSET5
IOSET6
BALL
MUX
BALL
MUX
mii1_rxclk
D5
8
mii1_txclk
C3
8
mii1_rxdv
C2
8
mii0_txd3
V5
3
mii0_txd2
V4
3
mii0_txd1
Y2
3
mii0_txd0
W2
3
mii0_rxd3
W9
3
mii0_rxd2
V9
3
mii0_rxd1
V6
3
mii0_rxd0
U6
3
mii0_txclk
U5
3
mii0_txer
U4
3
mii0_rxer
U7
3
mii0_rxdv
V2
3
mii0_crs
V7
3
mii0_col
V1
3
mii0_rxclk
Y1
3
mii0_txen
V3
3
7.23.2 GMAC MDIO Interface Timings
CAUTION
The I/O Timings provided in this section are valid only for some GMAC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-69, Table 7-69 and Figure 7-56 present timing requirements for MDIO.
Table 7-69. Timing Requirements for MDIO Input
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
MDIO1
tc(MDC)
Cycle time, MDC
400
ns
MDIO2
tw(MDCH)
Pulse Duration, MDC High
160
ns
MDIO3
tw(MDCL)
Pulse Duration, MDC Low
160
ns
MDIO4
tsu(MDIO-MDC)
Setup time, MDIO valid before MDC High
90
ns
MDIO5
th(MDIO_MDC)
Hold time, MDIO valid from MDC High
0
ns
Table 7-70. Switching Characteristics Over Recommended Operating Conditions for MDIO Output
NO.
PARAMETER
DESCRIPTION
MDIO6
tt(MDC)
Transition time, MDC
MDIO7
td(MDC-MDIO)
Delay time, MDC High to MDIO valid
292
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
10
MAX
UNIT
5
ns
390
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
1
MDIO2
MDIO3
MDCLK
MDIO6
MDIO6
MDIO4
MDIO5
MDIO
(input)
MDIO7
MDIO
(output)
SPRS906_TIMING_GMAC_MDIO_05
Figure 7-56. GMAC MDIO diagrams
In Table 7-71 are presented the specific groupings of signals (IOSET) for use with GMAC MDIO signals.
Table 7-71. GMAC MDIO IOSETs
SIGNALS
IOSET7
IOSET8
IOSET9
BALL
MUX
BALL
MUX
mdio_d
F6
3
U4
mdio_mclk
D3
3
V1
IOSET10
BALL
MUX
BALL
MUX
0
AB4
1
B20
5
0
AC5
1
B21
5
7.23.3 GMAC RMII Timings
The main reference clock REF_CLK (RMII_50MHZ_CLK) of RMII interface is internally supplied from
PRCM. The source of this clock could be either externally sourced from the RMII_MHZ_50_CLK pin of the
device or internally generated from DPLL_GMAC output clock GMAC_RMII_HS_CLK. Please see the
PRCM chapter of the device TRM for full details about RMII reference clock.
CAUTION
The I/O Timings provided in this section are valid only for some GMAC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-72, Table 7-73 and Figure 7-57 present timing requirements for GMAC RMIIn Receive.
Table 7-72. Timing Requirements for GMAC REF_CLK - RMII Operation
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
RMII1 tc(REF_CLK)
Cycle time, REF_CLK
20
RMII2 tw(REF_CLKH)
Pulse duration, REF_CLK high
7
13
ns
RMII3 tw(REF_CLKL)
Pulse duration, REF_CLK low
7
13
ns
RMII4 ttt(REF_CLK)
Transistion time, REF_CLK
3
ns
Copyright © 2016, Texas Instruments Incorporated
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
293
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-73. Timing Requirements for GMAC RMIIn Receive
NO.
PARAMETER
DESCRIPTION
RMII5
tsu(RXD-REF_CLK)
Setup time, receive selected signals valid before REF_CLK
MIN
4
MAX
UNIT
ns
Hold time, receive selected signals valid after REF_CLK
2
ns
tsu(CRS_DV-REF_CLK)
tsu(RX_ER-REF_CLK)
RMII6
th(REF_CLK-RXD)
th(REF_CLK-CRS_DV)
th(REF_CLK-RX_ER)
RMII1
RMII3
RMII4
RMII2
RMII5
RMII6
REF_CLK (PRCM)
rmiin_rxd1−rmiin_rxd0,
rmiin_crs, rmin_rxer (inputs)
SPRS906_TIMING_GMAC_RGMIITX_09
Figure 7-57. GMAC Receive Interface Timing RMIIn operation
Table 7-74, Table 7-74 and Figure 7-58 present switching characteristics for GMAC RMIIn Transmit
10/100Mbit/s.
Table 7-74. Switching Characteristics Over Recommended Operating Conditions for GMAC REF_CLK RMII Operation
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
RMII7
tc(REF_CLK)
Cycle time, REF_CLK
20
ns
RMII8
tw(REF_CLKH)
Pulse duration, REF_CLK high
7
13
ns
RMII9
tw(REF_CLKL)
Pulse duration, REF_CLK low
7
13
ns
RMII10
tt(REF_CLK)
Transistion time, REF_CLK
3
ns
Table 7-75. Switching Characteristics Over Recommended Operating Conditions for GMAC RMIIn
Transmit 10/100 Mbits/s
NO.
PARAMETER
DESCRIPTION
td(REF_CLK-TXD)
RMII11
tdd(REF_CLK-TXEN)
td(REF_CLK-TXD)
RMIIn
MIN
MAX
UNIT
RMII0
2
13.5
ns
RMII1
2
13.8
ns
Delay time, REF_CLK high to selected transmit signals
valid
tdd(REF_CLK-TXEN)
RMII7
RMII8
RMII11
RMII9
RMII10
REF_CLK (PRCM)
rmiin_txd1−rmiin_txd0,
rmiin_txen (Outputs)
SPRS906_TIMING_GMAC_RMIITX_07
Figure 7-58. GMAC Transmit Interface Timing RMIIn Operation
294
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
In Table 7-76 are presented the specific groupings of signals (IOSET) for use with GMAC RMII signals.
Table 7-76. GMAC RMII IOSETs
SIGNALS
IOSET1
IOSET2
BALL
MUX
BALL
MUX
RMII_MHZ_50_CLK
U3
0
U3
0
rmii1_txd1
V5
2
rmii0_txd1
Y2
1
rmii0_txd0
W2
1
rmii0_rxd1
V6
1
rmii0_rxd0
U6
1
rmii0_txen
V3
1
rmii0_rxer
U7
1
rmii0_crs
V7
1
rmii1_txd0
V4
2
rmii1_rxd1
W9
2
rmii1_rxd0
V9
2
rmii1_rxer
Y1
2
rmii1_txen
U5
2
rmii1_crs
V2
2
Manual IO Timings Modes must be used to guaranteed some IO timings for GMAC. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-77 Manual
Functions Mapping for GMAC RMII0 for a definition of the Manual modes.
Table 7-77 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-77. Manual Functions Mapping for GMAC RMII0
BALL
BALL NAME
GMAC_RMII0_MANUAL1
A_DELAY
(ps)
CFG REGISTER
MUXMODE
G_DELAY
(ps)
0
1
U3
RMII_MHZ_50_CLK
0
0
CFG_RMII_MHZ_50_CLK_IN
U6
rgmii0_txd0
2444
804
CFG_RGMII0_TXD0_IN
RMII_MHZ_50_CLK
rmii0_rxd0
V6
rgmii0_txd1
2453
981
CFG_RGMII0_TXD1_IN
rmii0_rxd1
U7
rgmii0_txd2
2356
847
CFG_RGMII0_TXD2_IN
rmii0_rxer
V7
rgmii0_txd3
2415
993
CFG_RGMII0_TXD3_IN
rmii0_crs
Manual IO Timings Modes must be used to guaranteed some IO timings for GMAC. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-78 Manual
Functions Mapping for GMAC RMII1 for a definition of the Manual modes.
Table 7-78 list the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the
CFG_x registers.
Table 7-78. Manual Functions Mapping for GMAC RMII1
BALL
BALL NAME
GMAC_RMII1_MANUAL1
A_DELAY
(ps)
CFG REGISTER
G_DELAY
(ps)
MUXMODE
0
2
U3
RMII_MHZ_50_CLK
0
0
CFG_RMII_MHZ_50_CLK_IN
V9
rgmii0_txctl
2450
909
CFG_RGMII0_TXCTL_IN
rmii1_rxd0
W9
rgmii0_txc
2327
926
CFG_RGMII0_TXC_IN
rmii1_rxd1
Copyright © 2016, Texas Instruments Incorporated
RMII_MHZ_50_CLK
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
295
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-78. Manual Functions Mapping for GMAC RMII1 (continued)
BALL
BALL NAME
GMAC_RMII1_MANUAL1
A_DELAY
(ps)
CFG REGISTER
MUXMODE
G_DELAY
(ps)
0
2
Y1
uart3_txd
2553
443
CFG_UART3_TXD_IN
rmii1_rxer
V2
uart3_rxd
1943
1110
CFG_UART3_RXD_IN
rmii1_crs
7.23.4 GMAC RGMII Timings
CAUTION
The I/O Timings provided in this section are valid only for some GMAC usage
modes when the corresponding Virtual I/O Timings or Manual I/O Timings are
configured as described in the tables found in this section.
Table 7-79, Table 7-80 and Figure 7-59 present timing requirements for receive RGMIIn operation.
Table 7-79. Timing Requirements for rgmiin_rxc - RGMIIn Operation
NO.
1
2
3
4
PARAMETER
DESCRIPTION
SPEED
MIN
MAX
UNIT
tc(RXC)
Cycle time, rgmiin_rxc
10 Mbps
360
440
ns
100 Mbps
36
44
ns
1000 Mbps
7.2
8.8
ns
tw(RXCH)
tw(RXCL)
tt(RXC)
Pulse duration, rgmiin_rxc high
10 Mbps
160
240
ns
100 Mbps
16
24
ns
1000 Mbps
3.6
4.4
ns
Pulse duration, rgmiin_rxc low
10 Mbps
160
240
ns
100 Mbps
16
24
ns
1000 Mbps
3.6
4.4
ns
Transition time, rgmiin_rxc
10 Mbps
0.75
ns
100 Mbps
0.75
ns
1000 Mbps
0.75
ns
Table 7-80. Timing Requirements for GMAC RGMIIn Input Receive for 10/100/1000 Mbps (1)
NO.
296
PARAMETER
DESCRIPTION
MODE
5
tsu(RXD-RXCH)
Setup time, receive selected signals valid before
rgmiin_rxc high/low
RGMII0/1
1
ns
6
th(RXCH-RXD)
Hold time, receive selected signals valid after
rgmiin_rxc high/low
RGMII0/1
1
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) For RGMII, receive selected signals include: rgmiin_rxd[3:0] and rgmiin_rxctl.
1
4
2
4
3
rgmiin_rxc
(A)
5
1st Half-byte
6
2nd Half-byte
rgmiin_rxd[3:0](B)
RGRXD[3:0]
RGRXD[7:4]
RXDV
RXERR
rgmiin_rxctl(B)
SPRS906_TIMING_GMAC_RGMIIRX_08
A.
B.
rgmiin_rxc must be externally delayed relative to the data and control pins.
Data and control information is received using both edges of the clocks. rgmiin_rxd[3:0] carries data bits 3-0 on the
rising edge of rgmiin_rxc and data bits 7-4 on the falling edge ofrgmiin_rxc. Similarly, rgmiin_rxctl carries RXDV on
rising edge of rgmiin_rxc and RXERR on falling edge of rgmiin_rxc.
Figure 7-59. GMAC Receive Interface Timing, RGMIIn operation
Table 7-81, Table 7-82 and Figure 7-60 present switching characteristics for transmit - RGMIIn for
10/100/1000Mbit/s.
Table 7-81. Switching Characteristics Over Recommended Operating Conditions for rgmiin_txctl - RGMIIn
Operation for 10/100/1000 Mbit/s
NO.
1
2
PARAMETER
DESCRIPTION
tc(TXC)
Cycle time, rgmiin_txc
tw(TXCH)
3
tw(TXCL)
4
tt(TXC)
Pulse duration, rgmiin_txc high
Pulse duration, rgmiin_txc low
Transition time, rgmiin_txc
SPEED
MIN
MAX
UNIT
10 Mbps
360
440
ns
100 Mbps
36
44
ns
1000 Mbps
7.2
8.8
ns
10 Mbps
160
240
ns
100 Mbps
16
24
ns
1000 Mbps
3.6
4.4
ns
10 Mbps
160
240
ns
100 Mbps
16
24
ns
1000 Mbps
3.6
4.4
ns
10 Mbps
0.75
ns
100 Mbps
0.75
ns
1000 Mbps
0.75
ns
Table 7-82. Switching Characteristics for GMAC RGMIIn Output Transmit for 10/100/1000 Mbps
NO.
5
PARAMETER
DESCRIPTION
tosu(TXD-TXC)
Output Setup time, transmit selected signals valid to
rgmiin_txc high/low
Copyright © 2016, Texas Instruments Incorporated
MAX
(1)
MODE
MIN
RGMII0, Internal Delay
Enabled, 1000 Mbps
1.05
ns
RGMII0, Internal Delay
Enabled, 10/100 Mbps
1.2
ns
RGMII1, Internal Delay
Enabled, 1000 Mbps
1.05
ns
RGMII1, Internal Delay
Enabled, 10/100 Mbps
1.2
ns
(2)
(3)
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
UNIT
297
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-82. Switching Characteristics for GMAC RGMIIn Output Transmit for 10/100/1000 Mbps
(1)
(continued)
NO.
6
PARAMETER
DESCRIPTION
toh(TXC-TXD)
Output Hold time, transmit selected signals valid after
rgmiin_txc high/low
MODE
MIN
RGMII0, Internal Delay
Enabled, 1000 Mbps
1.05
MAX
UNIT
ns
RGMII0, Internal Delay
Enabled, 10/100 Mbps
1.2
ns
RGMII1, Internal Delay
Enabled, 1000 Mbps
1.05
ns
RGMII1, Internal Delay
Enabled, 10/100 Mbps
1.2
ns
(2)
(3)
(1) For RGMII, transmit selected signals include: rgmiin_txd[3:0] and rgmiin_txctl.
(2) RGMII0 requires that the 4 data pins rgmii0_txd[3:0] and rgmii0_txctl have their board propagation delays matched within 50pS of
rgmii0_txc.
(3) RGMII1 requires that the 4 data pins rgmii1_txd[3:0] and rgmii1_txctl have their board propagation delays matched within 50pS of
rgmii1_txc.
1
4
2
3
rgmiin_txc
[internal delay enabled]
4
(A)
5
(B)
rgmiin_txd[3:0]
1st Half-byte
2nd Half-byte
6
rgmiin_txctl(B)
TXEN
TXERR
SPRS906 TIMING GMAC RGMIITX 09
A.
B.
TXC is delayed internally before being driven to the rgmiin_txc pin. This internal delay is always enabled.
Data and control information is transmitted using both edges of the clocks. rgmiin_txd[3:0] carries data bits 3-0 on the
rising edge of rgmiin_txc and data bits 7-4 on the falling edge of rgmiin_txc. Similarly, rgmiin_txctl carries TXEN on
rising edge of rgmiin_txc and TXERR of falling edge of rgmiin_txc.
Figure 7-60. GMAC Transmit Interface Timing RGMIIn operation
In Table 7-83 are presented the specific groupings of signals (IOSET) for use with GMAC RGMII signals.
Table 7-83. GMAC RGMII IOSETs
SIGNALS
IOSET3
IOSET4
BALL
MUX
rgmii1_txd3
C3
3
rgmii1_txd2
C4
3
rgmii1_txd1
B2
3
rgmii1_txd0
D6
3
rgmii1_rxd3
B3
3
rgmii1_rxd2
B4
3
rgmii1_rxd1
B5
3
rgmii1_rxd0
A4
3
rgmii1_rxctl
A3
3
rgmii1_txc
D5
3
rgmii1_txctl
C2
3
rgmii1_rxc
C5
3
rgmii0_txd3
298
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
BALL
MUX
V7
0
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-83. GMAC RGMII IOSETs (continued)
SIGNALS
IOSET3
BALL
IOSET4
MUX
BALL
MUX
rgmii0_txd2
U7
0
rgmii0_txd1
V6
0
rgmii0_txd0
U6
0
rgmii0_rxd3
V4
0
rgmii0_rxd2
V3
0
rgmii0_rxd1
Y2
0
rgmii0_rxd0
W2
0
rgmii0_txc
W9
0
rgmii0_rxctl
V5
0
rgmii0_rxc
U5
0
rgmii0_txctl
V9
0
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section "Manual IO Timing Modes" of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information please see the Control Module Chapter in the Device TRM.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
299
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Manual IO Timings Modes must be used to guaranteed some IO timings for GMAC. See Table 7-2 Modes Summary for a list of IO timings
requiring the use of Manual IO Timings Modes. See Table 7-84 Manual Functions Mapping for GMAC RGMII0 for a definition of the Manual
modes.
Table 7-84 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-84. Manual Functions Mapping for GMAC RGMII0
BALL
BALL NAME
A_DELAY (ps)
G_DELAY (ps)
U5
rgmii0_rxc
413
V5
rgmii0_rxctl
W2
Y2
300
GMAC_RGMII0_MANUAL1
CFG REGISTER
MUXMODE
0
CFG_RGMII0_RXC_IN
rgmii0_rxc
27
2296
CFG_RGMII0_RXCTL_IN
rgmii0_rxctl
rgmii0_rxd0
3
1721
CFG_RGMII0_RXD0_IN
rgmii0_rxd0
rgmii0_rxd1
134
1786
CFG_RGMII0_RXD1_IN
rgmii0_rxd1
V3
rgmii0_rxd2
40
1966
CFG_RGMII0_RXD2_IN
rgmii0_rxd2
V4
rgmii0_rxd3
0
2057
CFG_RGMII0_RXD3_IN
rgmii0_rxd3
W9
rgmii0_txc
0
60
CFG_RGMII0_TXC_OUT
rgmii0_txc
V9
rgmii0_txctl
0
60
CFG_RGMII0_TXCTL_OUT
rgmii0_txctl
U6
rgmii0_txd0
0
60
CFG_RGMII0_TXD0_OUT
rgmii0_txd0
0
V6
rgmii0_txd1
0
0
CFG_RGMII0_TXD1_OUT
rgmii0_txd1
U7
rgmii0_txd2
0
60
CFG_RGMII0_TXD2_OUT
rgmii0_txd2
V7
rgmii0_txd3
0
120
CFG_RGMII0_TXD3_OUT
rgmii0_txd3
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Manual IO Timings Modes must be used to guaranteed some IO timings for GMAC. See Table 7-2 Modes Summary for a list of IO timings
requiring the use of Manual IO Timings Modes. See Table 7-85 Manual Functions Mapping for GMAC RGMII1 for a definition of the Manual
modes.
Table 7-85 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in the CFG_x registers.
Table 7-85. Manual Functions Mapping for GMAC RGMII1
BALL
BALL NAME
GMAC_RGMII1_MANUAL1
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
C5
vin2a_d18
530
0
CFG_VIN2A_D18_IN
rgmii1_rxc
A3
vin2a_d19
71
1099
CFG_VIN2A_D19_IN
rgmii1_rxctl
B3
B4
vin2a_d20
142
1337
CFG_VIN2A_D20_IN
rgmii1_rxd3
vin2a_d21
114
1517
CFG_VIN2A_D21_IN
rgmii1_rxd2
B5
vin2a_d22
171
1331
CFG_VIN2A_D22_IN
rgmii1_rxd1
A4
vin2a_d23
0
1328
CFG_VIN2A_D23_IN
rgmii1_rxd0
D5
vin2a_d12
0
0
CFG_VIN2A_D12_OUT
rgmii1_txc
C2
vin2a_d13
170
0
CFG_VIN2A_D13_OUT
rgmii1_txctl
C3
vin2a_d14
150
0
CFG_VIN2A_D14_OUT
rgmii1_txd3
C4
vin2a_d15
0
0
CFG_VIN2A_D15_OUT
rgmii1_txd2
B2
vin2a_d16
60
0
CFG_VIN2A_D16_OUT
rgmii1_txd1
D6
vin2a_d17
60
0
CFG_VIN2A_D17_OUT
rgmii1_txd0
3
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
301
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
7.24 eMMC/SD/SDIO
The Device includes the following external memory interfaces 4 MultiMedia Card/Secure Digital/Secure
Digital Input Output Interface (MMC/SD/SDIO)
NOTE
The eMMC/SD/SDIOi (i = 1 to 4) controller is also referred to as MMCi.
7.24.1 MMC1-SD Card Interface
MMC1 interface is compliant with the SD Standard v3.01 and it supports the following SD Card
applications:
• Default speed, 4-bit data, SDR, half-cycle
• High speed, 4-bit data, SDR, half-cycle
• SDR12, 4-bit data, half-cycle
• SDR25, 4-bit data, half-cycle
• UHS-I SDR50, 4-bit data, half-cycle
• UHS-I SDR104, 4-bit data, half-cycle
• UHS-I DDR50, 4-bit data
NOTE
For more information, see the eMMC/SD/SDIO chapter of the Device TRM.
7.24.1.1 Default speed, 4-bit data, SDR, half-cycle
Table 7-86 and Table 7-87 present Timing requirements and Switching characteristics for MMC1 - Default
Speed in receiver and transmitter mode (see Figure 7-61 and Figure 7-62).
Table 7-86. Timing Requirements for MMC1 - SD Card Default Speed Mode
PARAMETER
DESCRIPTION
MIN
DSSD5
NO.
tsu(cmdV-clkH)
Setup time, mmc1_cmd valid before mmc1_clk rising clock edge
5.11
MAX
UNIT
ns
DSSD6
th(clkH-cmdV)
Hold time, mmc1_cmd valid after mmc1_clk rising clock edge
20.46
ns
DSSD7
tsu(dV-clkH)
Setup time, mmc1_dat[3:0] valid before mmc1_clk rising clock edge
5.11
ns
DSSD8
th(clkH-dV)
Hold time, mmc1_dat[3:0] valid after mmc1_clk rising clock edge
20.46
ns
Table 7-87. Switching Characteristics for MMC1 - SD Card Default Speed Mode
PARAMETER
DESCRIPTION
DSSD0
NO.
fop(clk)
Operating frequency, mmc1_clk
DSSD1
tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
DSSD2
tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
DSSD3
td(clkL-cmdV)
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
-14.93
14.93
ns
DSSD4
td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-14.93
14.93
ns
302
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
24
MHz
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) P = output mmc1_clk period in ns
DSSD2
DSSD1
DSSD0
mmc1_clk
DSSD6
DSSD5
mmc1_cmd
DSSD8
DSSD7
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_01
Figure 7-61. MMC/SD/SDIO in - Default Speed - Receiver Mode
DSSD2
DSSD1
DSSD0
mmc1_clk
DSSD3
mmc1_cmd
DSSD4
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_02
Figure 7-62. MMC/SD/SDIO in - Default Speed - Transmitter Mode
7.24.1.2 High speed, 4-bit data, SDR, half-cycle
Table 7-88 and Table 7-89 present Timing requirements and Switching characteristics for MMC1 - High
Speed in receiver and transmitter mode (see Figure 7-63 and Figure 7-64).
Table 7-88. Timing Requirements for MMC1 - SD Card High Speed
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
HSSD3
tsu(cmdV-clkH)
Setup time, mmc1_cmd valid before mmc1_clk rising clock edge
5.3
ns
HSSD4
th(clkH-cmdV)
Hold time, mmc1_cmd valid after mmc1_clk rising clock edge
2.6
ns
HSSD7
tsu(dV-clkH)
Setup time, mmc1_dat[3:0] valid before mmc1_clk rising clock edge
5.3
ns
HSSD8
th(clkH-dV)
Hold time, mmc1_dat[3:0] valid after mmc1_clk rising clock edge
2.6
ns
Table 7-89. Switching Characteristics for MMC1 - SD Card High Speed
NO.
HSSD1
PARAMETER
DESCRIPTION
MIN
fop(clk)
Operating frequency, mmc1_clk
MAX
UNIT
48
MHz
HSSD2H tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
HSSD2L tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
HSSD5
td(clkL-cmdV)
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
-7.6
3.6
ns
HSSD6
td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-7.6
3.6
ns
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
303
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) P = output mmc1_clk period in ns
HSSD1
HSSD2H
HSSD2L
mmc1_clk
HSSD4
HSSD3
mmc1_cmd
HSSD7
HSSD8
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_03
Figure 7-63. MMC/SD/SDIO in - High Speed - Receiver Mode
HSSD1
HSSD2H
HSSD2L
mmc1_clk
HSSD5
HSSD5
mmc1_cmd
HSSD6
HSSD6
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_04
Figure 7-64. MMC/SD/SDIO in - High Speed - Transmitter Mode
7.24.1.3 SDR12, 4-bit data, half-cycle
Table 7-90 and Table 7-91 present Timing requirements and Switching characteristics for MMC1 - SDR12
in receiver and transmitter mode (see Figure 7-65 and Figure 7-66).
Table 7-90. Timing Requirements for MMC1 - SD Card SDR12 Mode
NO.
PARAMETER
DESCRIPTION
SDR12 tsu(cmdV-clkH)
5
Setup time, mmc1_cmd valid before mmc1_clk rising
clock edge
SDR12 th(clkH-cmdV)
6
Hold time, mmc1_cmd valid after mmc1_clk rising
clock edge
SDR12 tsu(dV-clkH)
7
Setup time, mmc1_dat[3:0] valid before mmc1_clk
rising clock edge
SDR12 th(clkH-dV)
8
Hold time, mmc1_dat[3:0] valid after mmc1_clk rising
clock edge
MODE
MIN
MAX
UNIT
25.99
ns
Pad Loopback Clock
1.6
ns
Internal Loopback Clock
1.6
ns
25.99
ns
Pad Loopback Clock
1.6
ns
Internal Loopback Clock
1.6
ns
Table 7-91. Switching Characteristics for MMC1 - SD Card SDR12 Mode
NO.
PARAMETER
DESCRIPTION
SDR120
fop(clk)
Operating frequency, mmc1_clk
SDR121
tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
SDR122
tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185(1)
ns
SDR123
td(clkL-cmdV)
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
-19.13
16.93
ns
SDR124
td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-19.13
16.93
ns
304
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
24
MHz
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) P = output mmc1_clk period in ns
SDR122
SDR121
SDR120
mmc1_clk
SDR126
SDR125
mmc1_cmd
SDR128
SDR127
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_05
Figure 7-65. MMC/SD/SDIO in - High Speed SDR12 - Receiver Mode
SDR122
SDR121
SDR120
mmc1_clk
SDR123
mmc1_cmd
SDR124
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_06
Figure 7-66. MMC/SD/SDIO in - High Speed SDR12 - Transmitter Mode
7.24.1.4 SDR25, 4-bit data, half-cycle
Table 7-92 and Table 7-93 present Timing requirements and Switching characteristics for MMC1 - SDR25
in receiver and transmitter mode (see Figure 7-67 and Figure 7-68).
Table 7-92. Timing Requirements for MMC1 - SD Card SDR25 Mode
NO.
PARAMETER
DESCRIPTION
MODE
MIN
MAX
UNIT
SDR25 tsu(cmdV-clkH)
3
Setup time, mmc1_cmd valid before mmc1_clk rising
clock edge
5.3
ns
SDR25 th(clkH-cmdV)
4
Hold time, mmc1_cmd valid after mmc1_clk rising
clock edge
1.6
ns
SDR25 tsu(dV-clkH)
7
Setup time, mmc1_dat[3:0] valid before mmc1_clk
rising clock edge
5.3
ns
SDR25 th(clkH-dV)
8
Hold time, mmc1_dat[3:0] valid after mmc1_clk rising
clock edge
Pad Loopback Clock
1.6
ns
Internal Loopback Clock
1.6
ns
Table 7-93. Switching Characteristics for MMC1 - SD Card SDR25 Mode
PARAMETER
DESCRIPTION
SDR251
NO.
fop(clk)
Operating frequency, mmc1_clk
SDR252
H
tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
SDR252L tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
SDR255
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
td(clkL-cmdV)
Copyright © 2016, Texas Instruments Incorporated
MIN
-8.8
MAX
UNIT
48
MHz
6.6
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
ns
305
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-93. Switching Characteristics for MMC1 - SD Card SDR25 Mode (continued)
NO.
SDR256
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-8.8
6.6
ns
(1) P = output mmc1_clk period in ns
SDR251
SDR252L
SDR252H
mmc1_clk
SDR253
SDR254
mmc1_cmd
SDR257
SDR258
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_07
Figure 7-67. MMC/SD/SDIO in - High Speed SDR25 - Receiver Mode
SDR251
SDR252H
SDR252L
mmc1_clk
HSSDR255
SDR255
mmc1_cmd
SDR256
SDR256
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_08
Figure 7-68. MMC/SD/SDIO in - High Speed SDR25 - Transmitter Mode
7.24.1.5 UHS-I SDR50, 4-bit data, half-cycle
Table 7-94 and Table 7-95 present Timing requirements and Switching characteristics for MMC1 - SDR50
in receiver and transmitter mode (see Figure 7-69 and Figure 7-70).
Table 7-94. Timing Requirements for MMC1 - SD Card SDR50 Mode
NO.
PARAMETER
DESCRIPTION
MODE
MIN
MAX
UNIT
SDR50 tsu(cmdV-clkH)
3
Setup time, mmc1_cmd valid before mmc1_clk rising
clock edge
1.48
ns
SDR50 th(clkH-cmdV)
4
Hold time, mmc1_cmd valid after mmc1_clk rising
clock edge
1.7
ns
SDR50 tsu(dV-clkH)
7
Setup time, mmc1_dat[3:0] valid before mmc1_clk
rising clock edge
1.48
ns
SDR50 th(clkH-dV)
8
Hold time, mmc1_dat[3:0] valid after mmc1_clk rising
clock edge
Pad Loopback Clock
1.7
ns
Internal Loopback Clock
1.6
ns
Table 7-95. Switching Characteristics for MMC1 - SD Card SDR50 Mode
PARAMETER
DESCRIPTION
SDR501
NO.
fop(clk)
Operating frequency, mmc1_clk
SDR502
H
tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
SDR502L tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
SDR505
td(clkL-cmdV)
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
-8.8
6.6
ns
SDR506
td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-3.66
1.46
ns
306
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
96
UNIT
MHz
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) P = output mmc1_clk period in ns
SDR501
SDR502L
SDR502H
mmc1_clk
SDR503
SDR504
mmc1_cmd
SDR507
SDR508
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_09
Figure 7-69. MMC/SD/SDIO in - High Speed SDR50 - Receiver Mode
SDR501
SDR502H
SDR502L
mmc1_clk
SDR505
SDR505
mmc1_cmd
SDR506
SDR506
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_10
Figure 7-70. MMC/SD/SDIO in - High Speed SDR50 - Transmitter Mode
7.24.1.6 UHS-I SDR104, 4-bit data, half-cycle
Table 7-96 presents Timing requirements and Switching characteristics for MMC1 - SDR104 in receiver
and transmitter mode (see Figure 7-71 and Figure 7-72).
Table 7-96. Switching Characteristics for MMC1 - SD Card SDR104 Mode
NO.
PARAMETER
DESCRIPTION
MIN
MAX
192
UNIT
SDR1041 fop(clk)
Operating frequency, mmc1_clk
SDR1042 tw(clkH)
H
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
MHz
ns
SDR1042 tw(clkL)
L
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
SDR1045 td(clkL-cmdV)
Delay time, mmc1_clk falling clock edge to mmc1_cmd transition
-1.09
0.49
ns
SDR1046 td(clkL-dV)
Delay time, mmc1_clk falling clock edge to mmc1_dat[3:0] transition
-1.09
0.49
ns
(1) P = output mmc1_clk period in ns
SDR1041
SDR1042L
SDR1042H
mmc1_clk
SDR1043
SDR1044
mmc1_cmd
SDR1047
SDR1048
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_11
Figure 7-71. MMC/SD/SDIO in - High Speed SDR104 - Receiver Mode
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
307
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
SDR1041
SDR1042H
SDR1042L
mmc1_clk
SDR1045
SDR1045
mmc1_cmd
SDR1046
SDR1046
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_12
Figure 7-72. MMC/SD/SDIO in - High Speed SDR104 - Transmitter Mode
7.24.1.7 UHS-I DDR50, 4-bit data
Table 7-97 and Table 7-98 present Timing requirements and Switching characteristics for MMC1 - DDR50
in receiver and transmitter mode (see Figure 7-73 and Figure 7-74).
Table 7-97. Timing Requirements for MMC1 - SD Card DDR50 Mode
NO.
PARAMETER
DESCRIPTION
MODE
DDR505
tsu(cmdV-clk)
Setup time, mmc1_cmd valid before mmc1_clk
transition
DDR506
th(clk-cmdV)
Hold time, mmc1_cmd valid after mmc1_clk transition
DDR507
tsu(dV-clk)
Setup time, mmc1_dat[3:0] valid before mmc1_clk
transition
Pad Loopback
Internal Loopback
1.79
ns
DDR508
th(clk-dV)
Hold time, mmc1_dat[3:0] valid after mmc1_clk
transition
Pad Loopback
2
ns
1.6
ns
Internal Loopback
MIN
MAX
UNIT
1.79
ns
2
ns
1.79
ns
Table 7-98. Switching Characteristics for MMC1 - SD Card DDR50 Mode
NO.
PARAMETER
DESCRIPTION
MIN
MAX
DDR500
fop(clk)
Operating frequency, mmc1_clk
DDR501
tw(clkH)
Pulse duration, mmc1_clk high
0.5*P0.185 (1)
ns
DDR502
tw(clkL)
Pulse duration, mmc1_clk low
0.5*P0.185 (1)
ns
DDR503
td(clk-cmdV)
Delay time, mmc1_clk transition to mmc1_cmd transition
1.225
6.6
ns
DDR504
td(clk-dV)
Delay time, mmc1_clk transition to mmc1_dat[3:0] transition
1.225
6.6
ns
48
UNIT
MHz
(1) P = output mmc1_clk period in ns
DDR500
DDR502
DDR501
mmc1_clk
DDR505
DDR506
mmc1_cmd
DDR507
DDR508
DDR507
DDR508
mmc1_dat[3:0]
SPRS906_TIMING_MMC1_13
Figure 7-73. SDMMC - High Speed SD - DDR - Data/Command Receive
308
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
DDR500
DDR501
DDR502
mmc1_clk
DDR503(max)
DDR503(min)
mmc1_cmd
DDR504(max)
DDR504(max)
DDR504(min)
DDR504(min)
mmc1_dat[3:0]
MMC1_14
Figure 7-74. SDMMC - High Speed SD - DDR - Data/Command Transmit
NOTE
To configure the desired virtual mode the user must set MODESELECT bit and
DELAYMODE bitfield for each corresponding pad control register.
The pad control registers are presented in Table 4-3 and described in Device TRM, Control
Module Chapter.
Virtual IO Timings Modes must be used to guaranteed some IO timings for MMC1. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Virtual IO Timings Modes. See Table 7-99 Virtual
Functions Mapping for MMC1 for a definition of the Virtual modes.
Table 7-99 presents the values for DELAYMODE bitfield.
Table 7-99. Virtual Functions Mapping for MMC1
BALL
BALL NAME
Delay Mode Value
MUXMODE
MMC1_
VIRTUAL1
MMC1_
VIRTUAL4
MMC1_
VIRTUAL5
MMC1_
VIRTUAL6
0
W6
mmc1_clk
15
12
11
10
mmc1_clk
Y6
mmc1_cmd
15
12
11
10
mmc1_cmd
AA6
mmc1_dat0
15
12
11
10
mmc1_dat0
Y4
mmc1_dat1
15
12
11
10
mmc1_dat1
AA5
mmc1_dat2
15
12
11
10
mmc1_dat2
Y3
mmc1_dat3
15
12
11
10
mmc1_dat3
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section Manual IO Timing Modes of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information see the Control Module chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for MMC1. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-100 Manual
Functions Mapping for MMC1 for a definition of the Manual modes.
Table 7-100 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
309
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-100. Manual Functions Mapping for MMC1
BALL
W6
BALL NAME
MMC1_MANUAL1
MMC1_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
A_DELAY (ps)
G_DELAY (ps)
mmc1_clk
588
0
-
-
CFG REGISTER
MUXMODE
CFG_MMC1_CLK_IN
mmc1_clk
0
Y6
mmc1_cmd
1000
0
-
-
CFG_MMC1_CMD_IN
mmc1_cmd
AA6
mmc1_dat0
1375
0
-
-
CFG_MMC1_DAT0_IN
mmc1_dat0
Y4
mmc1_dat1
1000
0
-
-
CFG_MMC1_DAT1_IN
mmc1_dat1
AA5
mmc1_dat2
1000
0
-
-
CFG_MMC1_DAT2_IN
mmc1_dat2
Y3
mmc1_dat3
1000
0
-
-
CFG_MMC1_DAT3_IN
mmc1_dat3
W6
mmc1_clk
1230
0
520
320
CFG_MMC1_CLK_OUT
mmc1_clk
Y6
mmc1_cmd
0
0
0
0
CFG_MMC1_CMD_OUT
mmc1_cmd
AA6
mmc1_dat0
56
0
40
0
CFG_MMC1_DAT0_OUT
mmc1_dat0
Y4
mmc1_dat1
76
0
83
0
CFG_MMC1_DAT1_OUT
mmc1_dat1
AA5
mmc1_dat2
91
0
98
0
CFG_MMC1_DAT2_OUT
mmc1_dat2
Y3
mmc1_dat3
99
0
106
0
CFG_MMC1_DAT3_OUT
mmc1_dat3
Y6
mmc1_cmd
0
0
51
0
CFG_MMC1_CMD_OEN
mmc1_cmd
AA6
mmc1_dat0
0
0
0
0
CFG_MMC1_DAT0_OEN
mmc1_dat0
Y4
mmc1_dat1
0
0
363
0
CFG_MMC1_DAT1_OEN
mmc1_dat1
AA5
mmc1_dat2
0
0
199
0
CFG_MMC1_DAT2_OEN
mmc1_dat2
Y3
mmc1_dat3
0
0
273
0
CFG_MMC1_DAT3_OEN
mmc1_dat3
7.24.2 MMC2 - eMMC
MMC2 interface is compliant with the JC64 eMMC Standard v4.5 and it supports the following eMMC applications:
• Standard JC64 SDR, 8-bit data, half cycle
• High-speed JC64 SDR, 8-bit data, half cycle
• High-speed HS200 JEDS84, 8-bit data, half cycle
• High-speed JC64 DDR, 8-bit data
NOTE
For more information, see the eMMC/SD/SDIO chapter of the Device TRM.
310
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.24.2.1 Standard JC64 SDR, 8-bit data, half cycle
Table 7-101 and Table 7-102 present Timing requirements and Switching characteristics for MMC2 - Standart SDR in receiver and transmitter
mode (see Figure 7-75 and Figure 7-76).
Table 7-101. Timing Requirements for MMC2 - JC64 Standard SDR Mode
PARAMETER
DESCRIPTION
SSDR5
NO.
tsu(cmdV-clkH)
Setup time, mmc2_cmd valid before mmc2_clk rising clock edge
MIN
SSDR6
th(clkH-cmdV)
Hold time, mmc2_cmd valid after mmc2_clk rising clock edge
SSDR7
tsu(dV-clkH)
Setup time, mmc2_dat[7:0] valid before mmc2_clk rising clock edge
SSDR8
th(clkH-dV)
Hold time, mmc2_dat[7:0] valid after mmc2_clk rising clock edge
MAX
UNIT
13.19
ns
8.4
ns
13.19
ns
8.4
ns
Timing Requirements and Switching Characteristics
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
311
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-102. Switching Characteristics for MMC2 - JC64 Standard SDR Mode
NO.
SSDR1
PARAMETER
DESCRIPTION
fop(clk)
Operating frequency, mmc2_clk
MIN
MAX
UNIT
24
MHz
SSDR2H tw(clkH)
Pulse duration, mmc2_clk high
0.5*P0.172 (1)
ns
SSDR2L tw(clkL)
Pulse duration, mmc2_clk low
0.5*P0.172 (1)
ns
SSDR3
td(clkL-cmdV)
Delay time, mmc2_clk falling clock edge to mmc2_cmd transition
-16.96
16.96
ns
SSDR4
td(clkL-dV)
Delay time, mmc2_clk falling clock edge to mmc2_dat[7:0] transition
-16.96
16.96
ns
(1) P = output mmc2_clk period in ns
SSDR2
SSDR2
SSDR1
mmc2_clk
SSDR6
SSDR5
mmc2_cmd
SSDR8
SSDR7
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_01
Figure 7-75. MMC/SD/SDIO in - Standard JC64 - Receiver Mode
SSDR2
SSDR2
SSDR1
mmc2_clk
SSDR3
mmc2_cmd
SSDR4
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_02
Figure 7-76. MMC/SD/SDIO in - Standard JC64 - Transmitter Mode
7.24.2.2 High-speed JC64 SDR, 8-bit data, half cycle
Table 7-103 and Table 7-104 present Timing requirements and Switching characteristics for MMC2 - High
speed SDR in receiver and transmitter mode (see Figure 7-77 and Figure 7-78).
Table 7-103. Timing Requirements for MMC2 - JC64 High Speed SDR Mode
NO.
PARAMETER
DESCRIPTION
MIN
JC643
tsu(cmdV-clkH)
Setup time, mmc2_cmd valid before mmc2_clk rising clock edge
5.6
ns
JC644
th(clkH-cmdV)
Hold time, mmc2_cmd valid after mmc2_clk rising clock edge
2.6
ns
JC647
tsu(dV-clkH)
Setup time, mmc2_dat[7:0] valid before mmc2_clk rising clock edge
5.6
ns
JC648
th(clkH-dV)
Hold time, mmc2_dat[7:0] valid after mmc2_clk rising clock edge
2.6
ns
312
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MAX
UNIT
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-104. Switching Characteristics for MMC2 - JC64 High Speed SDR Mode
PARAMETER
DESCRIPTION
JC641
NO.
fop(clk)
Operating frequency, mmc2_clk
MIN
MAX
UNIT
48
JC642H
tw(clkH)
Pulse duration, mmc2_clk high
0.5*P0.172 (1)
MHz
ns
JC642L
tw(clkL)
Pulse duration, mmc2_clk low
0.5*P0.172 (1)
ns
JC645
td(clkL-cmdV)
Delay time, mmc2_clk falling clock edge to mmc2_cmd transition
-6.64
6.64
ns
JC646
td(clkL-dV)
Delay time, mmc2_clk falling clock edge to mmc2_dat[7:0] transition
-6.64
6.64
ns
(1) P = output mmc2_clk period in ns
JC641
JC642L
JC642H
mmc2_clk
JC643
JC644
mmc2_cmd
JC647
JC648
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_03
Figure 7-77. MMC/SD/SDIO in - High Speed JC64 - Receiver Mode
JC641
JC642L
JC642H
mmc2_clk
JC645
JC645
mmc2_cmd
JC646
JC646
mmc2_dat[7:0]
MMC2_04
Figure 7-78. MMC/SD/SDIO in - High Speed JC64 - Transmitter Mode
7.24.2.3 High-speed HS200 JEDS84, 8-bit data, half cycle
Table 7-105 presents Switching characteristics for MMC2 - HS200 in transmitter mode (see Figure 7-79).
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
313
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-105. Switching Characteristics for MMC2 - JEDS84 HS200 Mode
NO.
HS2001
PARAMETER
DESCRIPTION
fop(clk)
Operating frequency, mmc2_clk
MIN
MAX
192
UNIT
MHz
HS2002H tw(clkH)
Pulse duration, mmc2_clk high
0.5*P0.172 (1)
ns
HS2002L tw(clkL)
Pulse duration, mmc2_clk low
0.5*P0.172 (1)
ns
HS2005
td(clkL-cmdV)
Delay time, mmc2_clk falling clock edge to mmc2_cmd transition
-1.136
0.536
ns
HS2006
td(clkL-dV)
Delay time, mmc2_clk falling clock edge to mmc2_dat[7:0] transition
-1.136
0.536
ns
(1) P = output mmc2_clk period in ns
HS2001
HS2002L
HS2002H
mmc2_clk
HS2005
HS2005
mmc2_cmd
HS2006
HS2006
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_05
Figure 7-79. eMMC in - HS200 SDR - Transmitter Mode
7.24.2.4 High-speed JC64 DDR, 8-bit data
Table 7-106 and Table 7-107 present Timing requirements and Switching characteristics for MMC2 - High
speed DDR in receiver and transmitter mode (see Figure 7-80 and Figure 7-81).
Table 7-106. Timing Requirements for MMC2 - JC64 High Speed DDR Mode
NO.
PARAMETER
DESCRIPTION
MODE
MIN
MAX
UNIT
DDR3 tsu(cmdV-clk)
Setup time, mmc2_cmd valid before mmc2_clk
transition
1.8
ns
DDR4 th(clk-cmdV)
Hold time, mmc2_cmd valid after mmc2_clk
transition
1.6
ns
DDR7 tsu(dV-clk)
Setup time, mmc2_dat[7:0] valid before mmc2_clk
transition
1.8
ns
DDR8 th(clk-dV)
Hold time, mmc2_dat[7:0] valid after mmc2_clk
transition
Pad Loopback (1.8V and 3.3V),
Boot
1.6
ns
Internal Loopback (1.8V with
MMC2_VIRTUAL2)
1.86
ns
Internal Loopback (3.3V with
MMC2_VIRTUAL2)
1.95
ns
Internal Loopback (1.8V with
MMC2_MANUAL2)
Internal Loopback (3.3V with
MMC2_MANUAL2)
ns
1.6
ns
Table 7-107. Switching Characteristics for MMC2 - JC64 High Speed DDR Mode
PARAMETER
DESCRIPTION
DDR1
NO.
fop(clk)
Operating frequency, mmc2_clk
DDR2H
tw(clkH)
Pulse duration, mmc2_clk high
DDR2L
tw(clkL)
Pulse duration, mmc2_clk low
DDR5
td(clk-cmdV)
Delay time, mmc2_clk transition to mmc2_cmd transition
314
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
48
MHz
0.5*P0.172
(1)
ns
0.5*P0.172
(1)
ns
2.9
7.14
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-107. Switching Characteristics for MMC2 - JC64 High Speed DDR Mode (continued)
NO.
DDR6
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
td(clk-dV)
Delay time, mmc2_clk transition to mmc2_dat[7:0] transition
2.9
7.14
ns
(1) P = output mmc2_clk period in ns
DDR1
DDR2H
DDR2L
mmc2_clk
DDR3
DDR4
mmc2_cmd
DDR8
DDR8
DDR7
DDR8
DDR7
DDR7
DDR7
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_07
Figure 7-80. MMC/SD/SDIO in - High Speed DDR JC64 - Receiver Mode
DDR1
DDR2
DDR2
mmc2_clk
DDR5
DDR5
DDR5
DDR5
mmc2_cmd
DDR6
DDR6
DDReMMC6
DDR6
DDReMMC6
DDR6
mmc2_dat[7:0]
SPRS906_TIMING_MMC2_08
Figure 7-81. MMC/SD/SDIO in - High Speed DDR JC64 - Transmitter Mode
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section Manual IO Timing Modes of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information see the Control Module chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for MMC2. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-108 Manual
Functions Mapping for MMC2 for a definition of the Manual modes.
Table 7-108 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-108. Manual Functions Mapping for MMC2
BAL BALL NAME
L
MMC2_MANUAL1
A_DELAY G_DELAY
(ps)
(ps)
MMC2_MANUAL2
MMC2_MANUAL3
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
MMC2_MANUAL3
1
K7
gpmc_a19
0
0
0
14
-
-
CFG_GPMC_A19_IN
mmc2_dat4
M7
gpmc_a20
119
0
127
0
-
-
CFG_GPMC_A20_IN
mmc2_dat5
J5
gpmc_a21
0
0
22
0
-
-
CFG_GPMC_A21_IN
mmc2_dat6
K6
gpmc_a22
18
0
72
0
-
-
CFG_GPMC_A22_IN
mmc2_dat7
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
315
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-108. Manual Functions Mapping for MMC2 (continued)
BAL BALL NAME
L
MMC2_MANUAL1
A_DELAY G_DELAY
(ps)
(ps)
MMC2_MANUAL2
MMC2_MANUAL3
A_DELAY
(ps)
G_DELAY
(ps)
A_DELAY
(ps)
G_DELAY
(ps)
MMC2_MANUAL3
1
J7
gpmc_a23
894
0
410
4000
-
-
CFG_GPMC_A23_IN
mmc2_clk
J4
gpmc_a24
30
0
82
0
-
-
CFG_GPMC_A24_IN
mmc2_dat0
J6
gpmc_a25
0
0
0
0
-
-
CFG_GPMC_A25_IN
mmc2_dat1
H4
gpmc_a26
23
0
77
0
-
-
CFG_GPMC_A26_IN
mmc2_dat2
H5
gpmc_a27
0
0
0
0
-
-
CFG_GPMC_A27_IN
mmc2_dat3
H6
gpmc_cs1
0
0
0
0
-
-
CFG_GPMC_CS1_IN
mmc2_cmd
K7
gpmc_a19
152
0
152
0
285
0
CFG_GPMC_A19_OUT mmc2_dat4
M7
gpmc_a20
206
0
206
0
189
0
CFG_GPMC_A20_OUT mmc2_dat5
J5
gpmc_a21
78
0
78
0
0
120
CFG_GPMC_A21_OUT mmc2_dat6
K6
gpmc_a22
2
0
2
0
0
70
CFG_GPMC_A22_OUT mmc2_dat7
J7
gpmc_a23
266
0
266
0
730
360
CFG_GPMC_A23_OUT
J4
gpmc_a24
0
0
0
0
0
0
CFG_GPMC_A24_OUT mmc2_dat0
mmc2_clk
J6
gpmc_a25
0
0
0
0
0
0
CFG_GPMC_A25_OUT mmc2_dat1
H4
gpmc_a26
43
0
43
0
70
0
CFG_GPMC_A26_OUT mmc2_dat2
H5
gpmc_a27
0
0
0
0
0
0
CFG_GPMC_A27_OUT mmc2_dat3
H6
gpmc_cs1
0
0
0
0
0
120
CFG_GPMC_CS1_OUT mmc2_cmd
K7
gpmc_a19
0
0
0
0
0
0
CFG_GPMC_A19_OEN mmc2_dat4
M7
gpmc_a20
0
0
0
0
231
0
CFG_GPMC_A20_OEN mmc2_dat5
J5
gpmc_a21
0
0
0
0
39
0
CFG_GPMC_A21_OEN mmc2_dat6
K6
gpmc_a22
0
0
0
0
91
0
CFG_GPMC_A22_OEN mmc2_dat7
J4
gpmc_a24
0
0
0
0
176
0
CFG_GPMC_A24_OEN mmc2_dat0
J6
gpmc_a25
0
0
0
0
0
0
CFG_GPMC_A25_OEN mmc2_dat1
H4
gpmc_a26
0
0
0
0
101
0
CFG_GPMC_A26_OEN mmc2_dat2
H5
gpmc_a27
0
0
0
0
0
0
CFG_GPMC_A27_OEN mmc2_dat3
H6
gpmc_cs1
0
0
0
0
360
0
CFG_GPMC_CS1_OE
N
mmc2_cmd
7.24.3 MMC3 and MMC4-SDIO/SD
MMC3 and MMC4 interfaces are compliant with the SDIO3.0 standard v1.0, SD Part E1 and for generic
SDIO devices, it supports the following applications:
• MMC3 8-bit data and MMC4 4-bit data, SD Default speed, SDR
• MMC3 8-bit data and MMC4 4-bit data, SD High speed, SDR
• MMC3 8-bit data and MMC4 4-bit data, UHS-1 SDR12 (SD Standard v3.01), 4-bit data, SDR, half
cycle
• MMC3 8-bit data and MMC4 4-bit data, UHS-I SDR25 (SD Standard v3.01), 4-bit data, SDR, half cycle
• MMC3 8-bit data, UHS-I SDR50
NOTE
The eMMC/SD/SDIOj (j = 3 to 4) controller is also referred to as MMCj.
NOTE
For more information, see the MMC/SDIO chapter of the Device TRM.
316
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.24.3.1 MMC3 and MMC4, SD Default Speed
Figure 7-82, Figure 7-83, and Table 7-109 through Table 7-112 present Timing requirements and
Switching characteristics for MMC3 and MMC4 - SD Default speed in receiver and transmitter mode.
Table 7-109. Timing Requirements for MMC3 - Default Speed Mode (1)
NO.
PARAMETER
DESCRIPTION
MIN
DS5
tsu(cmdV-clkH)
Setup time, mmc3_cmd valid before mmc3_clk rising clock edge
5.11
MAX
UNIT
ns
DS6
th(clkH-cmdV)
Hold time, mmc3_cmd valid after mmc3_clk rising clock edge
20.46
ns
DS7
tsu(dV-clkH)
Setup time, mmc3_dat[i:0] valid before mmc3_clk rising clock edge
5.11
ns
DS8
th(clkH-dV)
Hold time, mmc3_dat[i:0] valid after mmc3_clk rising clock edge
20.46
ns
(1) i in [i:0] = 7
Table 7-110. Switching Characteristics for MMC3 - SD/SDIO Default Speed Mode (2)
NO.
PARAMETER
DESCRIPTION
DS0
fop(clk)
Operating frequency, mmc3_clk
MIN
MAX
UNIT
24
MHz
DS1
tw(clkH)
Pulse duration, mmc3_clk high
0.5*P0.270 (1)
ns
DS2
tw(clkL)
Pulse duration, mmc3_clk low
0.5*P0.270 (1)
ns
DS3
td(clkL-cmdV)
Delay time, mmc3_clk falling clock edge to mmc3_cmd transition
-14.93
14.93
ns
DS4
td(clkL-dV)
Delay time, mmc3_clk falling clock edge to mmc3_dat[i:0] transition
-14.93
14.93
ns
(1) P = output mmc3_clk period in ns
(2) i in [i:0] = 7
Table 7-111. Timing Requirements for MMC4 - Default Speed Mode (1)
NO.
PARAMETER
DESCRIPTION
DS5
tsu(cmdV-clkH)
Setup time, mmc4_cmd valid before mmc4_clk rising clock edge
5.11
MIN
MAX
UNIT
ns
DS6
th(clkH-cmdV)
Hold time, mmc4_cmd valid after mmc4_clk rising clock edge
20.46
ns
DS7
tsu(dV-clkH)
Setup time, mmc4_dat[i:0] valid before mmc4_clk rising clock edge
5.11
ns
DS8
th(clkH-dV)
Hold time, mmc4_dat[i:0] valid after mmc4_clk rising clock edge
20.46
ns
(1) i in [i:0] = 3
Table 7-112. Switching Characteristics for MMC4 - Default Speed Mode (2)
NO.
PARAMETER
DESCRIPTION
DS0
fop(clk)
Operating frequency, mmc4_clk
DS1
tw(clkH)
Pulse duration, mmc4_clk high
0.5*P0.270 (1)
ns
DS2
tw(clkL)
Pulse duration, mmc4_clk low
0.5*P0.270 (1)
ns
DS3
td(clkL-cmdV)
Delay time, mmc4_clk falling clock edge to mmc4_cmd transition
-14.93
14.93
ns
DS4
td(clkL-dV)
Delay time, mmc4_clk falling clock edge to mmc4_dat[i:0] transition
-14.93
14.93
ns
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
UNIT
24
MHz
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
317
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) P = output mmc4_clk period in ns
(2) i in [i:0] = 3
DS2
DS1
DS0
mmcj_clk
DS6
DS5
mmcj_cmd
DS8
DS7
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_07
Figure 7-82. MMC/SD/SDIOj in - Default Speed - Receiver Mode
DS2
DS1
DS0
mmcj_clk
DS3
mmcj_cmd
DS4
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_08
Figure 7-83. MMC/SD/SDIOj in - Default Speed - Transmitter Mode
7.24.3.2 MMC3 and MMC4, SD High Speed
Figure 7-84, Figure 7-85, and Table 7-113 through Table 7-116 present Timing requirements and
Switching characteristics for MMC3 and MMC4 - SD and SDIO High speed in receiver and transmitter
mode.
Table 7-113. Timing Requirements for MMC3 - SD/SDIO High Speed Mode (1)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
HS3
tsu(cmdV-clkH)
Setup time, mmc3_cmd valid before mmc3_clk rising clock edge
5.3
ns
HS4
th(clkH-cmdV)
Hold time, mmc3_cmd valid after mmc3_clk rising clock edge
2.6
ns
HS7
tsu(dV-clkH)
Setup time, mmc3_dat[i:0] valid before mmc3_clk rising clock edge
5.3
ns
HS8
th(clkH-dV)
Hold time, mmc3_dat[i:0] valid after mmc3_clk rising clock edge
2.6
ns
(1) i in [i:0] = 7
Table 7-114. Switching Characteristics for MMC3 - SD/SDIO High Speed Mode (2)
NO.
PARAMETER
DESCRIPTION
HS1
fop(clk)
Operating frequency, mmc3_clk
HS2H
tw(clkH)
Pulse duration, mmc3_clk high
0.5*P0.270 (1)
ns
HS2L
tw(clkL)
Pulse duration, mmc3_clk low
0.5*P0.270 (1)
ns
HS5
td(clkL-cmdV)
Delay time, mmc3_clk falling clock edge to mmc3_cmd transition
318
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
-7.6
MAX
UNIT
48
MHz
3.6
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-114. Switching Characteristics for MMC3 - SD/SDIO High Speed Mode (2) (continued)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
HS6
td(clkL-dV)
Delay time, mmc3_clk falling clock edge to mmc3_dat[i:0] transition
-7.6
3.6
ns
MAX
UNIT
(1) P = output mmc3_clk period in ns
(2) i in [i:0] = 7
Table 7-115. Timing Requirements for MMC4 - High Speed Mode (1)
NO.
PARAMETER
DESCRIPTION
MIN
HS3
tsu(cmdV-clkH)
Setup time, mmc4_cmd valid before mmc4_clk rising clock edge
5.3
ns
HS4
th(clkH-cmdV)
Hold time, mmc4_cmd valid after mmc4_clk rising clock edge
1.6
ns
HS7
tsu(dV-clkH)
Setup time, mmc4_dat[i:0] valid before mmc4_clk rising clock edge
5.3
ns
HS8
th(clkH-dV)
Hold time, mmc4_dat[i:0] valid after mmc4_clk rising clock edge
1.6
ns
(1) i in [i:0] = 3
Table 7-116. Switching Characteristics for MMC4 - High Speed Mode (2)
NO.
PARAMETER
DESCRIPTION
HS1
fop(clk)
Operating frequency, mmc4_clk
MIN
MAX
UNIT
48
HS2H
tw(clkH)
Pulse duration, mmc4_clk high
0.5*P0.270 (1)
MHz
ns
HS2L
tw(clkL)
Pulse duration, mmc4_clk low
0.5*P0.270 (1)
ns
HS5
td(clkL-cmdV)
Delay time, mmc4_clk falling clock edge to mmc4_cmd transition
-8.8
6.6
ns
HS6
td(clkL-dV)
Delay time, mmc4_clk falling clock edge to mmc4_dat[i:0] transition
-8.8
6.6
ns
(1) P = output mmc4_clk period in ns
(2) i in [i:0] = 3
HS1
HS2L
HS2H
mmcj_clk
HS3
HS4
mmcj_cmd
HS7
HS8
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_09
Figure 7-84. MMC/SD/SDIOj in - High Speed Signaling - Receiver Mode
HS1
HS2H
HS2L
mmcj_clk
HS5
HS5
mmcj_cmd
HS6
HS6
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_10
Figure 7-85. MMC/SD/SDIOj in - High Speed Signaling - Transmitter Mode
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
319
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
7.24.3.3 MMC3 and MMC4, SD and SDIO SDR12 Mode
Figure 7-86, Figure 7-87, and Table 7-117, through Table 7-120 present Timing requirements and
Switching characteristics for MMC3 and MMC4 - SD and SDIO SDR12 in receiver and transmitter mode.
Table 7-117. Timing Requirements for MMC3 - SDR12 Mode (1)
PARAMETER
DESCRIPTION
SDR125
NO.
tsu(cmdV-clkH)
Setup time, mmc3_cmd valid before mmc3_clk rising clock edge
MIN
SDR126
th(clkH-cmdV)
Hold time, mmc3_cmd valid after mmc3_clk rising clock edge
SDR127
tsu(dV-clkH)
Setup time, mmc3_dat[i:0] valid before mmc3_clk rising clock edge
SDR128
th(clkH-dV)
Hold time, mmc3_dat[i:0] valid after mmc3_clk rising clock edge
MAX
UNIT
25.99
ns
1.6
ns
25.99
ns
1.6
ns
(1) i in [i:0] = 7
Table 7-118. Switching Characteristics for MMC3 - SDR12 Mode (2)
PARAMETER
DESCRIPTION
SDR120
NO.
fop(clk)
Operating frequency, mmc3_clk
MIN
MAX
UNIT
24
MHz
SDR121
tw(clkH)
Pulse duration, mmc3_clk high
0.5*P0.270 (1)
ns
SDR122
tw(clkL)
Pulse duration, mmc3_clk low
0.5*P0.270 (1)
ns
SDR123
td(clkL-cmdV)
Delay time, mmc3_clk falling clock edge to mmc3_cmd transition
-19.13
16.93
ns
SDR124
td(clkL-dV)
Delay time, mmc3_clk falling clock edge to mmc3_dat[i:0] transition
-19.13
16.93
ns
MAX
UNIT
(1) P = output mmc3_clk period in ns
(2) i in [i:0] = 7
Table 7-119. Timing Requirements for MMC4 - SDR12 Mode (1)
PARAMETER
DESCRIPTION
SDR125
NO.
tsu(cmdV-clkH)
Setup time, mmc4_cmd valid before mmc4_clk rising clock edge
MIN
SDR126
th(clkH-cmdV)
Hold time, mmc4_cmd valid after mmc4_clk rising clock edge
SDR127
tsu(dV-clkH)
Setup time, mmc4_dat[i:0] valid before mmc4_clk rising clock edge
SDR128
th(clkH-dV)
Hold time, mmc4_dat[i:0] valid after mmc4_clk rising clock edge
25.99
ns
1.6
ns
25.99
ns
1.6
ns
(1) j in [i:0] = 3
Table 7-120. Switching Characteristics for MMC4 - SDR12 Mode (2)
NO.
PARAMETER
DESCRIPTION
SDR120
fop(clk)
Operating frequency, mmc4_clk
SDR121
tw(clkH)
Pulse duration, mmc4_clk high
0.5*P0.270 (1)
ns
SDR122
tw(clkL)
Pulse duration, mmc4_clk low
0.5*P0.270 (1)
ns
SDR125
td(clkL-cmdV)
Delay time, mmc4_clk falling clock edge to mmc4_cmd transition
-19.13
16.93
ns
SDR126
td(clkL-dV)
Delay time, mmc4_clk falling clock edge to mmc4_dat[i:0] transition
-19.13
16.93
ns
320
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
24
MHz
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) P = output mmc4_clk period in ns
(2) j in [i:0] = 3
SDR122
SDR121
SDR120
mmcj_clk
SDR126
SDR125
mmcj_cmd
SDR128
SDR127
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_11
Figure 7-86. MMC/SD/SDIOj in - SDR12 - Receiver Mode
SDR122
SDR121
SDR120
mmcj_clk
SDR123
mmcj_cmd
SDR124
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_12
Figure 7-87. MMC/SD/SDIOj in - SDR12 - Transmitter Mode
7.24.3.4 MMC3 and MMC4, SD SDR25 Mode
Figure 7-88, Figure 7-89, and Table 7-121, through Table 7-124 present Timing requirements and
Switching characteristics for MMC3 and MMC4 - SD and SDIO SDR25 in receiver and transmitter mode.
Table 7-121. Timing Requirements for MMC3 - SDR25 Mode (1)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
SDR253
tsu(cmdV-clkH)
Setup time, mmc3_cmd valid before mmc3_clk rising clock edge
5.3
ns
SDR254
th(clkH-cmdV)
Hold time, mmc3_cmd valid after mmc3_clk rising clock edge
1.6
ns
SDR257
tsu(dV-clkH)
Setup time, mmc3_dat[i:0] valid before mmc3_clk rising clock edge
5.3
ns
SDR258
th(clkH-dV)
Hold time, mmc3_dat[i:0] valid after mmc3_clk rising clock edge
1.6
ns
(1) i in [i:0] = 7
Table 7-122. Switching Characteristics for MMC3 - SDR25 Mode (2)
PARAMETER
DESCRIPTION
SDR251
NO.
fop(clk)
Operating frequency, mmc3_clk
SDR252
H
tw(clkH)
Pulse duration, mmc3_clk high
0.5*P0.270 (1)
ns
SDR252L tw(clkL)
Pulse duration, mmc3_clk low
0.5*P0.270 (1)
ns
SDR255
Delay time, mmc3_clk falling clock edge to mmc3_cmd transition
td(clkL-cmdV)
Copyright © 2016, Texas Instruments Incorporated
MIN
-8.8
MAX
UNIT
48
MHz
6.6
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
ns
321
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-122. Switching Characteristics for MMC3 - SDR25 Mode (2) (continued)
NO.
SDR256
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
td(clkL-dV)
Delay time, mmc3_clk falling clock edge to mmc3_dat[i:0] transition
-8.8
6.6
ns
MAX
UNIT
(1) P = output mmc3_clk period in ns
(2) i in [i:0] = 7
Table 7-123. Timing Requirements for MMC4 - SDR25 Mode (1)
PARAMETER
DESCRIPTION
MIN
SDR255
NO.
tsu(cmdV-clkH)
Setup time, mmc4_cmd valid before mmc4_clk rising clock edge
5.3
ns
SDR256
th(clkH-cmdV)
Hold time, mmc4_cmd valid after mmc4_clk rising clock edge
1.6
ns
SDR257
tsu(dV-clkH)
Setup time, mmc4_dat[i:0] valid before mmc4_clk rising clock edge
5.3
ns
SDR258
th(clkH-dV)
Hold time, mmc4_dat[i:0] valid after mmc4_clk rising clock edge
1.6
ns
(1) i in [i:0] = 3
Table 7-124. Switching Characteristics for MMC4 - SDR25 Mode (2)
PARAMETER
DESCRIPTION
SDR251
NO.
fop(clk)
Operating frequency, mmc4_clk
MIN
MAX
UNIT
48
SDR252
H
tw(clkH)
Pulse duration, mmc4_clk high
0.5*P0.270 (1)
MHz
ns
SDR252L tw(clkL)
Pulse duration, mmc4_clk low
0.5*P0.270 (1)
ns
SDR255
td(clkL-cmdV)
Delay time, mmc4_clk falling clock edge to mmc4_cmd transition
-8.8
6.6
ns
SDR256
td(clkL-dV)
Delay time, mmc4_clk falling clock edge to mmc4_dat[i:0] transition
-8.8
6.6
ns
(1) P = output mmc4_clk period in ns
(2) i in [i:0] = 3
SDR251
SDR252L
SDR252H
mmcj_clk
SDR253
SDR254
mmcj_cmd
SDR257
SDR258
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_13
Figure 7-88. MMC/SD/SDIOj in - SDR25 - Receiver Mode
SDR251
SDR252H
SDR252L
mmcj_clk
SDR255
SDR255
mmcj_cmd
SDR256
SDR256
mmcj_dat[i:0]
SPRS906_TIMING_MMC3_14
Figure 7-89. MMC/SD/SDIOj in - SDR25 - Transmitter Mode
322
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.24.3.5 MMC3 SDIO High-Speed UHS-I SDR50 Mode, Half Cycle
Figure 7-90, Figure 7-91, Table 7-125, and Table 7-126 present Timing requirements and Switching
characteristics for MMC3 - SDIO High speed SDR50 in receiver and transmitter mode.
Table 7-125. Timing Requirements for MMC3 - SDR50 Mode (1)
PARAMETER
DESCRIPTION
SDR503
NO.
tsu(cmdV-clkH)
Setup time, mmc3_cmd valid before mmc3_clk rising clock edge
1.48
MIN
MAX
UNIT
ns
SDR504
th(clkH-cmdV)
Hold time, mmc3_cmd valid after mmc3_clk rising clock edge
1.6
ns
SDR507
tsu(dV-clkH)
Setup time, mmc3_dat[i:0] valid before mmc3_clk rising clock edge
1.48
ns
SDR508
th(clkH-dV)
Hold time, mmc3_dat[i:0] valid after mmc3_clk rising clock edge
1.6
ns
(1) i in [i:0] = 7
Table 7-126. Switching Characteristics for MMC3 - SDR50 Mode (2)
PARAMETER
DESCRIPTION
SDR501
NO.
fop(clk)
Operating frequency, mmc3_clk
MIN
MAX
UNIT
64
MHz
SDR502
H
tw(clkH)
Pulse duration, mmc3_clk high
0.5*P0.270 (1)
ns
SDR502L tw(clkL)
Pulse duration, mmc3_clk low
0.5*P0.270 (1)
ns
SDR505
td(clkL-cmdV)
Delay time, mmc3_clk falling clock edge to mmc3_cmd transition
-3.66
1.46
ns
SDR506
td(clkL-dV)
Delay time, mmc3_clk falling clock edge to mmc3_dat[i:0] transition
-3.66
1.46
ns
(1) P = output mmc3_clk period in ns
(2) i in [i:0] = 7
SDR501
SDR502L
SDR502H
mmcj_clk
SDR503
SDR504
mmcj_cmd
SDR507
SDR508
mmcj_dat[7:0]
SPRS906_TIMING_MMC3_05
Figure 7-90. MMC/SD/SDIOj in - High Speed SDR50 - Receiver Mode
SDR501
SDR502H
SDR502L
mmcj_clk
SDR505
SDR505
mmcj_cmd
SDR506
SDR506
mmcj_dat[7:0]
SPRS906_TIMING_MMC3_06
Figure 7-91. MMC/SD/SDIOj in - High Speed SDR50 - Transmitter Mode
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
323
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section Manual IO Timing Modes of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information see the Control Module chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for MMC3. See Table 7-2 Modes
Summary for a list of IO timings requiring the use of Manual IO Timings Modes. See Table 7-127 Manual
Functions Mapping for MMC3 for a definition of the Manual modes.
Table 7-127 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-127. Manual Functions Mapping for MMC3
BALL
BALL NAME
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
AD4
mmc3_clk
1085
21
CFG_MMC3_CLK_IN
mmc3_clk
AD4
mmc3_clk
AC4
mmc3_cmd
1269
0
CFG_MMC3_CLK_OUT
mmc3_clk
0
0
CFG_MMC3_CMD_IN
mmc3_cmd
AC4
AC4
mmc3_cmd
128
0
CFG_MMC3_CMD_OEN
mmc3_cmd
mmc3_cmd
98
0
CFG_MMC3_CMD_OUT
mmc3_cmd
AC7
mmc3_dat0
0
0
CFG_MMC3_DAT0_IN
mmc3_dat0
AC7
mmc3_dat0
362
0
CFG_MMC3_DAT0_OEN
mmc3_dat0
AC7
mmc3_dat0
0
0
CFG_MMC3_DAT0_OUT
mmc3_dat0
AC6
mmc3_dat1
7
0
CFG_MMC3_DAT1_IN
mmc3_dat1
AC6
mmc3_dat1
333
0
CFG_MMC3_DAT1_OEN
mmc3_dat1
AC6
mmc3_dat1
0
0
CFG_MMC3_DAT1_OUT
mmc3_dat1
AC9
mmc3_dat2
0
0
CFG_MMC3_DAT2_IN
mmc3_dat2
AC9
mmc3_dat2
402
0
CFG_MMC3_DAT2_OEN
mmc3_dat2
AC9
mmc3_dat2
0
0
CFG_MMC3_DAT2_OUT
mmc3_dat2
AC3
mmc3_dat3
203
0
CFG_MMC3_DAT3_IN
mmc3_dat3
AC3
mmc3_dat3
549
0
CFG_MMC3_DAT3_OEN
mmc3_dat3
AC3
mmc3_dat3
1
0
CFG_MMC3_DAT3_OUT
mmc3_dat3
AC8
mmc3_dat4
121
0
CFG_MMC3_DAT4_IN
mmc3_dat4
AC8
mmc3_dat4
440
0
CFG_MMC3_DAT4_OEN
mmc3_dat4
AC8
mmc3_dat4
206
0
CFG_MMC3_DAT4_OUT
mmc3_dat4
AD6
mmc3_dat5
336
0
CFG_MMC3_DAT5_IN
mmc3_dat5
AD6
mmc3_dat5
283
0
CFG_MMC3_DAT5_OEN
mmc3_dat5
AD6
mmc3_dat5
174
0
CFG_MMC3_DAT5_OUT
mmc3_dat5
AB8
mmc3_dat6
320
0
CFG_MMC3_DAT6_IN
mmc3_dat6
AB8
mmc3_dat6
443
0
CFG_MMC3_DAT6_OEN
mmc3_dat6
AB8
mmc3_dat6
0
0
CFG_MMC3_DAT6_OUT
mmc3_dat6
AB5
mmc3_dat7
2
0
CFG_MMC3_DAT7_IN
mmc3_dat7
AB5
mmc3_dat7
344
0
CFG_MMC3_DAT7_OEN
mmc3_dat7
AB5
mmc3_dat7
0
0
CFG_MMC3_DAT7_OUT
mmc3_dat7
324
MMC3_MANUAL1
0
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
NOTE
To configure the desired virtual mode the user must set MODESELECT bit and
DELAYMODE bitfield for each corresponding pad control register.
The pad control registers are presented in Table 4-3 and described in Device TRM, Control
Module Chapter.
7.25 General-Purpose Interface (GPIO)
The general-purpose interface combines eight general-purpose input/output (GPIO) banks. Each GPIO
module provides up to 32 dedicated general-purpose pins with input and output capabilities; thus, the
general-purpose interface supports up to 215 pins.
These pins can be configured for the following applications:
• Data input (capture)/output (drive)
• Keyboard interface with a debounce cell
• Interrupt generation in active mode upon the detection of external events. Detected events are
processed by two parallel independent interrupt-generation submodules to support biprocessor
operations
• Wake-up request generation in idle mode upon the detection of external events
NOTE
For more information, see the General-Purpose Interface chapter of the Device TRM.
NOTE
The general-purpose input/output i (i = 1 to 8) bank is also referred to as GPIOi.
7.26 PRU-ICSS Interfaces
The device Programmable Real-Time Unit Subsystem and Industrial Communication Subsystem (PRUICSS) consists of dual 32-bit Load / Store RISC CPU cores - Programmable Real-Time Units (PRU0 and
PRU1), shared, data, and instruction memories, internal peripheral modules, and an interrupt controller
(PRU-ICSS_INTC). The programmable nature of the PRUs, along with their access to pins, events and all
SoC resources, provides flexibility in implementing fast real-time responses, specialized data handling
operations, customer peripheral interfaces, and in off-loading tasks from the other processor cores of the
system-on-chip (SoC).
The each PRU-ICSS includes the following main features:
• 21x Enhanced GPIs (EGPIs) and 21x Enhanced GPOs (EGPOs) with asynchronous capture and serial
support per each PRU CPU core
• One Ethernet MII_RT module (PRU-ICSS_MII_RT) with two MII ports and configurable connections to
PRUs
• 1 MDIO Port (PRU-ICSS_MII_MDIO)
• One Industrial Ethernet Peripheral (IEP) to manage/generate Industrial Ethernet functions
• 1 x 16550-compatible UART with a dedicated 192 MHz clock to support 12Mbps Profibus
• 1 Industrial Ethernet timer with 7/9 capture and 8 compare events
• 1 Enhanced Capture Module (ECAP)
• 1 Interrupt Controller (PRU-ICSS_INTC)
• A flexible power management support
• Integrated switched central resource with programmable priority
• Parity control supported by all memories
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
325
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
CAUTION
The I/O timings provided in this section are valid only if signals within a single
IOSET are used. The IOSETs are defined in the Table 7-150 and Table 7-151.
NOTE
For more information about PRU-ICSS subsystems interfaces, please see the device TRM.
NOTE
To configure the desired virtual mode the user must set MODESELECT bit and
DELAYMODE bitfield for each corresponding pad control register.
The pad control registers are presented in Table 4-3 and described in Device TRM, Control
Module Chapter.
7.26.1 Programmable Real-Time Unit (PRU-ICSS PRU)
7.26.1.1 PRU-ICSS PRU Direct Input/Output Mode Electrical Data and Timing
Table 7-128. PRU-ICSS PRU Timing Requirements - Direct Input Mode
NO.
PARAMETER
DESCRIPTION
1
tw(GPI)
Pulse width, GPI
2
tsk(GPI)
Skew between GPI[20:0] signals
MIN
2*P
MAX
(1)
UNIT
ns
4.5
ns
(1) ICSS_CLK clock period
1
GPI[m:0]
2
SPRS91x_TIMING_PRU_01
Figure 7-92. PRU-ICSS PRU Direct Input Timing
(1) m in GPI[m:0] = 20
Table 7-129. PRU-ICSS PRU Switching Requirements - Direct Output Mode
NO.
PARAMETER
DESCRIPTION
1
tw(GPO)
Pulse width, GPO
2
tsk(GPO)
Skew between GPO[20:0] signals
MIN
2*P
MAX
(1)
UNIT
ns
4.5
ns
(1) ICSS_CLK clock period
1
GPO[n:0]
2
SPRS91x_TIMING_PRU_02
Figure 7-93. PRU-ICSS PRU Direct Output Timing
(1) n in GPO[n:0] = 20
7.26.1.2 PRU-ICSS PRU Parallel Capture Mode Electrical Data and Timing
326
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-130. PRU-ICSS PRU Timing Requirements - Parallel Capture Mode
NO.
PARAMETER
DESCRIPTION
1
tw(CLOCKIN)
Cyle time, CLOCKIN
MIN
MAX
2
tw(CLOCKIN_L)
Pulse duration, CLOCKIN low
9
11
ns
3
tw(CLOCKIN_H)
Pulse duration, CLOCKIN high
9
11
ns
4
tsu(DATAIN-CLOCKIN)
Setup time, DATAIN valid before CLOCKIN
5
th(CLOCKIN-DATAIN)
Hold time, DATAIN valid after CLOCKIN
20
UNIT
ns
4.5
ns
0
ns
1
3
2
CLOCKIN
DATAIN
5
4
SPRS91x_TIMING_PRU_03
Figure 7-94. PRU-ICSS PRU Parallel Capture Timing - Rising Edge Mode
1
3
2
CLOCKIN
DATAIN
5
4
SPRS91x_TIMING_PRU_04
Figure 7-95. PRU-ICSS PRU Parallel Capture Timing - Falling Edge Mode
7.26.1.3
PRU-ICSS PRU Shift Mode Electrical Data and Timing
Table 7-131. PRU-ICSS PRU Timing Requirements - Shift In Mode
NO.
1
2
PARAMETER
DESCRIPTION
tc(DATAIN)
Cycle time, DATAIN
tw(DATAIN)
MIN
Pulse width, DATAIN
MAX
UNIT
10.00
ns
(1)
ns
0.45*P
(1) P = 10.00ns
1
2
DATAIN
SPRS91x_TIMING_PRU_05
Figure 7-96. PRU-ICSS PRU Shift In Timing
Table 7-132. PRU-ICSS PRU Switching Requirements - Shift Out Mode
NO.
1
PARAMETER
DESCRIPTION
tc(CLOCKOUT)
Cycle time, CLOCKOUT
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
10.00
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
UNIT
ns
327
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-132. PRU-ICSS PRU Switching Requirements - Shift Out Mode (continued)
NO.
PARAMETER
DESCRIPTION
2
tw(CLOCKOUT)
Pulse width, CLOCKOUT
MIN
3
td(CLOCKOUT-DATAOUT)
Delay time, CLOCKOUT to DATAOUT Valid
0.45*P
MAX
(1)
UNIT
ns
-3.00
3.60
ns
MAX
UNIT
(1) P = 10.00ns
1
2
CLOCKOUT
DATAOUT
3
SPRS91x_TIMING_PRU_06
Figure 7-97. PRU-ICSS PRU Shift Out Timing
7.26.1.4
PRU-ICSS PRU Sigma Delta and EnDAT Modes
Table 7-133. PRU-ICSS PRU Timing Requirements - Sigma Delta Mode
NO.
PARAMETER
DESCRIPTION
1
tw(SDx_CLK)
Pulse width, SDx_CLK
MIN
2
tsu(SDx_D-SDx_CLK) Setup time, SDx_D valid before SDx_CLK active edge
3
th(SDx_CLK-SDx_D)
Hold time, SDx_D valid before SDx_CLK active edge
20
ns
10
ns
5
ns
1
SDx_CLK
SDx_D
2
3
SPRS91x_TIMING_PRU_07
Figure 7-98. PRU-ICSS PRU SD_CLK Falling Active Edge
1
SDx_CLK
SDx_D
2
3
SPRS91x_TIMING_PRU_08
Figure 7-99. PRU-ICSS PRU SD_CLK Rising Active Edge
Table 7-134. PRU-ICSS PRU Timing Requirements - EnDAT Mode
NO.
1
328
PARAMETER
DESCRIPTION
tw(ENDATx_IN)
Pulse width, ENDATx_IN
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
40
MAX
UNIT
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-135. PRU-ICSS PRU Switching Requirements - EnDAT Mode
NO.
PARAMETER
DESCRIPTION
2
tw(ENDATx_CLK)
Pulse width, ENDATx_CLK
MIN
3
td(ENDATx_OUTENDATx_CLK)
Delay time, ENDATx_CLK fall to ENDATx_OUT
4
td(ENDATx_OUT_EN- Delay time, ENDATx_CLK Fall to ENDATx_OUT_EN
ENDATx_CLK)
MAX
20
UNIT
ns
-10
10
ns
-10
10
ns
ENDATx_IN
1
2
ENDATx_CLK
ENDATx_OUT
3
ENDATx_OUT_EN
4
SPRS91x_TIMING_PRU_09
Figure 7-100. PRU-ICSS PRU EnDAT Timing
7.26.2
PRU-ICSS EtherCAT (PRU-ICSS ECAT)
7.26.2.1 PRU-ICSS ECAT Electrical Data and Timing
Table 7-136. PRU-ICSS ECAT Timing Requirements - Input Validated With LATCH_IN
NO.
PARAMETER
DESCRIPTION
1
tw(EDIO_LATCH_IN)
Pulse width, EDIO_LATCH_IN
2
tsu(EDIO_DATA_INEDIO_LATCH_IN)
th(EDIO_LATCH_IN-
3
MIN
MAX
UNIT
100.00
ns
Setup time, EDIO_DATA_IN valid before EDIO_LATCH_IN active
edge
20.00
ns
Hold time, EDIO_DATA_IN valid after EDIO_LATCH_IN active edge
20.00
ns
EDIO_DATA_IN)
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
329
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
EDIO_LATCH_IN
1
2
3
EDIO_DATA_IN[7:0]
SPRS91x_TIMING_PRU_ECAT_01
Figure 7-101. PRU-ICSS ECAT Input Validated with LATCH_IN Timing
Table 7-137. PRU-ICSS ECAT Timing Requirements - Input Validated With SYNCx
NO.
PARAMETER
DESCRIPTION
1
tw(EDC_SYNCx_OUT)
Pulse width, EDC_SYNCx_OUT
2
tsu(EDIO_DATA_INEDC_SYNCx_OUT)
th(EDC_SYNCx_OUT-
3
MIN
MAX
UNIT
100.00
ns
Setup time, EDIO_DATA_IN valid before EDC_SYNCx_OUT active
edge
20.00
ns
Hold time, EDIO_DATA_IN valid after EDC_SYNCx_OUT active edge
20.00
ns
EDIO_DATA_IN)
EDC_SYNCx_OUT
1
2
3
EDIO_DATA_IN[7:0]
SPRS91x_TIMING_PRU_ECAT_02
Figure 7-102. PRU-ICSS ECAT Input Validated With SYNCx Timing
Table 7-138. PRU-ICSS ECAT Timing Requirements - Input Validated With Start of Frame (SOF)
NO.
PARAMETER
DESCRIPTION
MIN
MAX
(1)
(1)
4*P
5*P
UNIT
1
tw(EDIO_SOF)
Pulse duration, EDIO_SOF
2
tsu(EDIO_DATA_IN-
Setup time, EDIO_DATA_IN valid before EDIO_SOF active edge
20.00
ns
ns
Hold time, EDIO_DATA_IN valid after EDIO_SOF active edge
20.00
ns
EDIO_SOF)
3
th(EDIO_SOFEDIO_DATA_IN)
330
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) ICSS_IEP_CLK clock period
EDIO_SOF
1
2
3
EDIO_DATA_IN[7:0]
SPRS91x_TIMING_PRU_ECAT_03
Figure 7-103. PRU-ICSS ECAT Input Validated With SOF
Table 7-139. PRU-ICSS ECAT Timing Requirements - LATCHx_IN
NO.
1
PARAMETER
DESCRIPTION
tw(EDC_LATCHx_IN)
Pulse duration, EDC_LATCHx_IN
MIN
3*P
MAX
(1)
UNIT
ns
(1) ICSS_IEP_CLK clock period
EDC_LATCHx_IN
1
SPRS91x_TIMING_PRU_ECAT_04
Figure 7-104. PRU-ICSS ECAT LATCHx_IN Timing
Table 7-140. PRU-ICSS ECAT Switching Requirements - Digital IOs
NO.
1
2
PARAMETER
DESCRIPTION
tw(EDIO_OUTVALID)
Pulse duration, EDIO_OUTVALID
td(EDIO_OUTVALID-
MIN
Delay time, EDIO_OUTVALID to EDIO_DATA_OUT
(1)
MAX
UNIT
32*P
(1)
ns
0.00 18 × P
(1)
ns
8
ns
14*P
EDIO_DATA_OUT)
1
tsk(EDIO_DATA_OUT)
EDIO_DATA_OUT skew
(1) ICSS_IEP_CLK clock period
7.26.3 PRU-ICSS MII_RT and Switch
7.26.3.1 PRU-ICSS MDIO Electrical Data and Timing
Table 7-141. PRU-ICSS MDIO Timing Requirements - MDIO_DATA
NO.
PARAMETER
DESCRIPTION
1
tsu(MDIO-MDC)
Setup time, MDIO valid before MDC high
2
th(MDIO-MDC)
Hold time, MDIO valid from MDC high
Copyright © 2016, Texas Instruments Incorporated
MIN
MAX
UNIT
90
ns
0
ns
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
331
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
1
2
MDIO_CLK (Output)
MDIO_DATA (Input)
SPRS91x_TIMING_PRU_MII_RT_01
Figure 7-105. PRU-ICSS MDIO_DATA Timing - Input Mode
Table 7-142. PRU-ICSS MDIO Switching Characteristics - MDIO_CLK
NO.
PARAMETER
DESCRIPTION
MIN
1
tc(MDC)
Cycle time, MDC
400
MAX
UNIT
ns
2
tw(MDCH)
Pulse duration, MDC high
160
ns
3
tw(MDCL)
Pulse duration, MDC low
160
4
tt(MDC)
Transition time, MDC
ns
5
ns
4
1
3
2
MDIO_CLK
4
SPRS91x_TIMING_PRU_MII_RT_02
Figure 7-106. PRU-ICSS MDIO_CLK Timing
Table 7-143. PRU-ICSS MDIO Switching Characteristics - MDIO_DATA
NO.
1
PARAMETER
DESCRIPTION
td(MDC-MDIO)
Delay time, MDC high to MDIO valid
MIN
MAX
UNIT
0
390
ns
1
MDIO_CLK (Output)
MDIO_DATA (Output)
SPRS91x_TIMING_PRU_MII_RT_03
Figure 7-107. PRU-ICSS MDIO_DATA Timing - Output Mode
7.26.3.2 PRU-ICSS MII_RT Electrical Data and Timing
NOTE
In order to guarantee the MII_RT IO timing values published in the device data manual, the
ICSS_CLK clock must be configured for 200MHz (default value) and the TX_CLK_DELAY
bitfield in the PRUSS_MII_RT_TXCFG0/1 register must be set to 6h (non-default value).
332
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-144. PRU-ICSS MII_RT Timing Requirements - MII[x]_RXCLK
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
MAX
1
tc(RX_CLK)
Cycle time, RX_CLK
10 Mbps
399.96
400.04
ns
100 Mbps
39.996
40.004
ns
2
tw(RX_CLKH)
Pulse duration, RX_CLK high
10 Mbps
140
260
ns
100 Mbps
14
26
ns
10 Mbps
140
260
ns
100 Mbps
14
26
ns
3
tw(RX_CLKL)
Pulse duration, RX_CLK low
UNIT
4
1
3
2
MII_RXCLK
4
SPRS91x_TIMING_PRU_MII_RT_04
Figure 7-108. PRU-ICSS MII[x]_RXCLK Timing
Table 7-145. PRU-ICSS MII_RT Timing Requirements - MII[x]_TXCLK
NO.
1
PARAMETER
DESCRIPTION
SPEED
MIN
MAX
tc(TX_CLK)
Cycle time, TX_CLK
10 Mbps
399.96
400.04
ns
100 Mbps
39.996
40.004
ns
10 Mbps
140
260
ns
2
tw(TX_CLKH)
Pulse duration, TX_CLK high
3
tw(TX_CLKL)
Pulse duration, TX_CLK low
4
tt(TX_CLK)
Transition time, TX_CLK
UNIT
100 Mbps
14
26
ns
10 Mbps
140
260
ns
100 Mbps
14
26
ns
10 Mbps
3
ns
100 Mbps
3
ns
4
1
3
2
MII_TXCLK
4
SPRS91x_TIMING_PRU_MII_RT_05
Figure 7-109. PRU-ICSS MII[x]_TXCLK Timing
Table 7-146. PRU-ICSS MII_RT Timing Requirements - MII_RXD[3:0], MII_RXDV, and MII_RXER
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
1
tsu(RXD-RX_CLK)
Setup time, RXD[3:0] valid before RX_CLK
10 Mbps
8
ns
tsu(RX_DV-RX_CLK)
Setup time, RX_DV valid before RX_CLK
tsu(RX_ER-RX_CLK)
Setup time, RX_ER valid before RX_CLK
tsu(RXD-RX_CLK)
Setup time, RXD[3:0] valid before RX_CLK
100 Mbps
8
ns
tsu(RX_DV-RX_CLK)
Setup time, RX_DV valid before RX_CLK
tsu(RX_ER-RX_CLK)
Setup time, RX_ER valid before RX_CLK
Copyright © 2016, Texas Instruments Incorporated
MAX
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
UNIT
333
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-146. PRU-ICSS MII_RT Timing Requirements - MII_RXD[3:0], MII_RXDV, and
MII_RXER (continued)
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
2
th(RX_CLK-RXD)
Hold time RXD[3:0] valid after RX_CLK
10 Mbps
8
MAX
UNIT
ns
th(RX_CLK-RX_DV)
Hold time RX_DV valid after RX_CLK
th(RX_CLK-RX_ER)
Hold time RX_ER valid after RX_CLK
th(RX_CLK-RXD)
Hold time RXD[3:0] valid after RX_CLK
100 Mbps
8
ns
th(RX_CLK-RX_DV)
Hold time RX_DV valid after RX_CLK
th(RX_CLK-RX_ER)
Hold time RX_ER valid after RX_CLK
1
2
MII_MRCLK (Input)
MII_RXD[3:0],
MII_RXDV,
MII_RXER (Inputs)
SPRS91x_TIMING_PRU_MII_RT_06
Figure 7-110. PRU-ICSS MII_RXD[3:0], MII_RXDV, and MII_RXER Timing
Table 7-147. PRU-ICSS MII_RT Switching Characteristics - MII_TXD[3:0] and MII_TXEN
NO.
PARAMETER
DESCRIPTION
SPEED
MIN
MAX
1
td(TX_CLK-TXD)
Delay time, TX_CLK high to TXD[3:0] valid
10 Mbps
5
25
UNIT
ns
td(TX_CLK-TX_EN)
Delay time, TX_CLK to TX_EN valid
td(TX_CLK-TXD)
Delay time, TX_CLK high to TXD[3:0] valid
100 Mbps
5
25
ns
td(TX_CLK-TX_EN)
Delay time, TX_CLK to TX_EN valid
1
MII_TXCLK (input)
MII_TXD[3:0],
MII_TXEN (outputs)
SPRS91x_TIMING_PRU_MII_RT_07
Figure 7-111. PRU-ICSS MII_TXD[3:0], MII_TXEN Timing
7.26.4 PRU-ICSS Universal Asynchronous Receiver Transmitter (PRU-ICSS UART)
Table 7-148. Timing Requirements for PRU-ICSS UART Receive
NO.
3
PARAMETER
DESCRIPTION
tw(RX)
Pulse duration, receive start, stop, data bit
MIN
MAX
UNIT
(1)
1.05U
ns
0.96U
(1) U = UART baud time = 1/programmed baud rate.
Table 7-149. Switching Characteristics Over Recommended Operating Conditions for PRU-ICSS UART
Transmit
NO.
334
PARAMETER
DESCRIPTION
1
ƒbaud(baud)
Maximum programmable baud rate
2
tw(TX)
Pulse duration, transmit start, stop, data bit
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
MIN
MAX
UNIT
0
12
MHz
(1)
U+2
U-2
ns
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) U = UART baud time = 1/programmed baud rate.
2
1
UART_TXD
Start
Bit
Data Bits
3
4
UART_RXD
Start
Bit
Data Bits
SPRS91x_TIMING_PRU_UART_01
Figure 7-112. PRU-ICSS UART Timing
In Table 7-150 are presented the specific groupings of signals (IOSET) for use with PRU-ICSS1.
Table 7-150. PRU-ICSS1 IOSETs
SIGNALS
IOSET1
IOSET3(1)
IOSET2
BALL
MUX
pr1_pru1_gpi20
A4
12
pr1_pru1_gpi19
B5
12
pr1_pru1_gpi18
B4
12
pr1_pru1_gpi17
B3
12
pr1_pru1_gpi16
A3
12
pr1_pru1_gpi15
C5
12
pr1_pru1_gpi14
D6
12
pr1_pru1_gpi13
B2
12
pr1_pru1_gpi12
C4
12
pr1_pru1_gpi11
C3
12
pr1_pru1_gpi10
C2
12
pr1_pru1_gpo20
A4
13
pr1_pru1_gpo19
B5
13
pr1_pru1_gpo18
B4
13
pr1_pru1_gpo17
B3
13
pr1_pru1_gpo16
A3
13
pr1_pru1_gpo15
C5
13
pr1_pru1_gpo14
D6
13
pr1_pru1_gpo13
B2
13
pr1_pru1_gpo12
C4
13
pr1_pru1_gpo11
C3
13
pr1_pru1_gpo10
C2
13
pr1_pru1_gpi9
D5
12
pr1_pru1_gpi8
F6
12
pr1_pru1_gpi7
D3
12
pr1_pru1_gpi6
E6
12
pr1_pru1_gpi5
F5
12
pr1_pru1_gpi4
E4
12
pr1_pru1_gpi3
C1
12
BALL
MUX
BALL
IOSET4(1)
MUX
BALL
MUX
PRU-ICSS 1
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
335
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-150. PRU-ICSS1 IOSETs (continued)
SIGNALS
IOSET1
IOSET3(1)
IOSET2
BALL
MUX
pr1_pru1_gpi2
F4
12
pr1_pru1_gpi1
D2
12
pr1_pru1_gpi0
E2
12
pr1_pru1_gpo9
D5
13
pr1_pru1_gpo8
F6
13
pr1_pru1_gpo7
D3
13
pr1_pru1_gpo6
E6
13
pr1_pru1_gpo5
F5
13
pr1_pru1_gpo4
E4
13
pr1_pru1_gpo3
C1
13
pr1_pru1_gpo2
F4
13
pr1_pru1_gpo1
D2
13
pr1_pru1_gpo0
E2
13
BALL
MUX
BALL
IOSET4(1)
MUX
BALL
MUX
pr1_mii1_crs
A4
11
G10
12
pr1_mii1_rxlink
B4
11
F11
12
pr1_mii1_col
B5
11
E2
12
pr1_mii0_col
V1
11
B9
12
pr1_mii0_rxlink
U4
11
A9
12
pr1_mii0_crs
V7
11
A10
12
pr1_edio_data_
out7
D1
13
pr1_edio_data_
out6
F3
13
pr1_edio_data_
out5
F2
13
pr1_edio_data_
out4
G6
13
pr1_edio_data_
out3
G1
13
pr1_edio_data_
out2
H7
13
pr1_edio_data_
out1
G2
13
pr1_edio_data_
out0
E1
13
pr1_edio_data_i
n7
D1
12
pr1_edio_data_i
n6
F3
12
pr1_edio_data_i
n5
F2
12
pr1_edio_data_i
n4
G6
12
pr1_edio_data_i
n3
G1
12
pr1_edio_data_i
n2
H7
12
pr1_edio_data_i
n1
G2
12
pr1_edio_data_i
n0
E1
12
336
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-150. PRU-ICSS1 IOSETs (continued)
SIGNALS
IOSET1
BALL
IOSET3(1)
IOSET2
MUX
BALL
MUX
pr1_edio_sof
F4
11
pr1_edc_latch0
_in
E2
11
pr1_edc_sync0_
out
D2
11
pr1_uart0_cts_n
G1
11
F11
10
pr1_uart0_rts_n
G6
11
G10
10
BALL
IOSET4(1)
MUX
BALL
MUX
F5
11
pr1_uart0_txd
F3
11
G11
10
pr1_uart0_rxd
F2
11
F10
10
pr1_ecap0_eca
p_capin_apwm_
o
D1
11
E9
10
pr1_mii1_txd3
F5
11
pr1_mii1_txd2
E6
11
E6
11
pr1_mii1_txd1
D5
11
D2
13
pr1_mii1_txd0
C2
11
F4
13
pr1_mii1_rxd3
B2
11
E9
12
pr1_mii1_rxd2
D6
11
F9
12
pr1_mii1_rxd1
C5
11
F8
12
pr1_mii1_rxd0
A3
11
E7
12
pr1_mii1_rxdv
C4
11
G11
12
pr1_mii1_txen
E4
11
E4
11
pr1_mii1_rxer
B3
11
E11
12
pr1_mii_mr1_clk
C3
11
F10
12
pr1_mii_mt1_clk
C1
11
C1
11
pr1_mii0_txd3
V5
11
D9
13
pr1_mii0_txd2
V4
11
D7
13
pr1_mii0_txd1
Y2
11
A5
13
pr1_mii0_txd0
W2
11
C6
13
pr1_mii0_rxd3
W9
11
B7
12
pr1_mii0_rxd2
V9
11
B8
12
pr1_mii0_rxd1
V6
11
A7
12
pr1_mii0_rxd0
U6
11
A8
12
pr1_mii0_rxdv
V2
11
C7
12
pr1_mii0_txen
V3
11
D8
13
pr1_mii0_rxer
U7
11
C9
12
PRU-ICSS 1 MII
pr1_mii_mt0_clk
U5
11
E8
12
pr1_mii_mr0_clk
Y1
11
C8
12
pr1_mdio_mdclk
D3
11
pr1_mdio_data
F6
11
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
337
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(1) These signals are internally muxed with the PRU GPI/GPO signals. Refer to the PRU chapter in the TRM for more details about the
PRU-ICSS internal wrapper multiplexing.
In Table 7-151, Table 7-152 and Table 7-153 are presented the specific groupings of signals (IOSET) for
use with PRU-ICSS2.
Table 7-151. PRU-ICSS2 IOSETs
SIGNALS
IOSET1
BALL
IOSET2
MUX
BALL
MUX
PRU-ICSS 2
338
pr2_pru1_gpi20
F10
12
F10
12
pr2_pru1_gpi19
G10
12
G10
12
pr2_pru1_gpi18
F11
12
F11
12
pr2_pru1_gpi17
E11
12
E11
12
pr2_pru1_gpi16
W2
12
G14
12
pr2_pru1_gpi15
Y2
12
A13
12
pr2_pru1_gpi14
V3
12
E14
12
pr2_pru1_gpi13
V4
12
A12
12
pr2_pru1_gpi12
V5
12
B13
12
pr2_pru1_gpi11
U5
12
A11
12
pr2_pru1_gpi10
U6
12
B12
12
pr2_pru1_gpi9
V6
12
F12
12
pr2_pru1_gpi8
U7
12
G12
12
pr2_pru1_gpi7
V7
12
C14
12
pr2_pru1_gpi6
V9
12
E17
12
pr2_pru1_gpi5
W9
12
D18
12
pr2_pru1_gpi4
Y1
12
AA4
12
pr2_pru1_gpi3
V2
12
AB3
12
pr2_pru1_gpi2
U3
12
AB9
12
pr2_pru1_gpi1
U4
12
AA3
12
pr2_pru1_gpi0
V1
12
D17
12
pr2_pru1_gpo20
F10
13
F10
13
pr2_pru1_gpo19
G10
13
G10
13
pr2_pru1_gpo18
F11
13
F11
13
pr2_pru1_gpo17
E11
13
E11
13
pr2_pru1_gpo16
W2
13
G14
13
pr2_pru1_gpo15
Y2
13
A13
13
pr2_pru1_gpo14
V3
13
E14
13
pr2_pru1_gpo13
V4
13
A12
13
pr2_pru1_gpo12
V5
13
B13
13
pr2_pru1_gpo11
U5
13
A11
13
pr2_pru1_gpo10
U6
13
B12
13
pr2_pru1_gpo9
V6
13
F12
13
pr2_pru1_gpo8
U7
13
G12
13
pr2_pru1_gpo7
V7
13
C14
13
pr2_pru1_gpo6
V9
13
E17
13
pr2_pru1_gpo5
W9
13
D18
13
pr2_pru1_gpo4
Y1
13
AA4
13
pr2_pru1_gpo3
V2
13
AB3
13
pr2_pru1_gpo2
U3
13
AB9
13
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-151. PRU-ICSS2 IOSETs (continued)
SIGNALS
IOSET1
IOSET2
BALL
MUX
BALL
MUX
pr2_pru1_gpo1
U4
13
AA3
13
pr2_pru1_gpo0
V1
13
D17
13
pr2_pru0_gpi20
A10
12
F14
12
pr2_pru0_gpi19
B9
12
A18
12
pr2_pru0_gpi18
A9
12
A19
12
pr2_pru0_gpi17
C9
12
A16
12
pr2_pru0_gpi16
A8
12
C15
12
pr2_pru0_gpi15
A7
12
C17
12
pr2_pru0_gpi14
B8
12
B19
12
pr2_pru0_gpi13
B7
12
F15
12
pr2_pru0_gpi12
C7
12
B18
12
pr2_pru0_gpi11
C8
12
AB5
12
pr2_pru0_gpi10
C6
12
AB8
12
pr2_pru0_gpi9
A5
12
AD6
12
pr2_pru0_gpi8
D8
12
AC8
12
pr2_pru0_gpi7
D7
12
AC3
12
pr2_pru0_gpi6
D9
12
AC9
12
pr2_pru0_gpi5
E8
12
AC6
12
pr2_pru0_gpi4
E7
12
AC7
12
pr2_pru0_gpi3
F8
12
AC4
12
pr2_pru0_gpi2
F9
12
AD4
12
pr2_pru0_gpi1
E9
12
AB4
12
pr2_pru0_gpi0
G11
12
AC5
12
pr2_pru0_gpo20
A10
13
F14
13
pr2_pru0_gpo19
B9
13
A18
13
pr2_pru0_gpo18
A9
13
A19
13
pr2_pru0_gpo17
C9
13
A16
13
pr2_pru0_gpo16
A8
13
C15
13
pr2_pru0_gpo15
A7
13
C17
13
pr2_pru0_gpo14
B8
13
B19
13
pr2_pru0_gpo13
B7
13
F15
13
pr2_pru0_gpo12
C7
13
B18
13
pr2_pru0_gpo11
C8
13
AB5
13
pr2_pru0_gpo10
C6
13
AB8
13
pr2_pru0_gpo9
A5
13
AD6
13
pr2_pru0_gpo8
D8
13
AC8
13
pr2_pru0_gpo7
D7
13
AC3
13
pr2_pru0_gpo6
D9
13
AC9
13
pr2_pru0_gpo5
E8
13
AC6
13
pr2_pru0_gpo4
E7
13
AC7
13
pr2_pru0_gpo3
F8
13
AC4
13
pr2_pru0_gpo2
F9
13
AD4
13
pr2_pru0_gpo1
E9
13
AB4
13
pr2_pru0_gpo0
G11
13
AC5
13
pr2_mii1_crs
E17
11
pr2_mii1_rxlink
C17
11
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
339
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-151. PRU-ICSS2 IOSETs (continued)
SIGNALS
IOSET1
IOSET2
BALL
MUX
pr2_mii0_crs
B18
11
pr2_mii0_rxlink
A16
11
pr2_mii0_col
F15
11
pr2_mii1_col
D18
11
pr2_edio_data_out7
A10
11
pr2_edio_data_out6
B9
11
pr2_edio_data_out5
A9
11
pr2_edio_data_out4
C9
11
pr2_edio_data_out3
A8
11
pr2_edio_data_out2
A7
11
pr2_edio_data_out1
B8
11
pr2_edio_data_out0
B7
11
pr2_edio_data_in7
A10
10
pr2_edio_data_in6
B9
10
pr2_edio_data_in5
A9
10
pr2_edio_data_in4
C9
10
pr2_edio_data_in3
A8
10
pr2_edio_data_in2
A7
10
pr2_edio_data_in1
B8
10
pr2_edio_data_in0
B7
10
pr2_edio_latch_in
D9
10
pr2_edio_sof
D7
10
pr2_edc_sync0_out
E7
10
pr2_edc_sync1_out
E8
10
pr2_edc_latch0_in
F9
10
pr2_edc_latch1_in
F8
10
pr2_uart0_rxd
C6
10
pr2_uart0_txd
C8
10
pr2_uart0_cts_n
D8
10
pr2_uart0_rts_n
A5
10
pr2_ecap0_ecap_capin_apwm_
o
C7
10
BALL
MUX
PRU-ICSS 2 MII
340
pr2_mii1_txd3
AD4
11
pr2_mii1_txd2
AC4
11
pr2_mii1_txd1
AC7
11
pr2_mii1_txd0
AC6
11
pr2_mii1_rxd3
AC8
11
pr2_mii1_rxd2
AD6
11
pr2_mii1_rxd1
AB8
11
pr2_mii1_rxd0
AB5
11
pr2_mii_mr1_clk
AC9
11
pr2_mii1_rxer
B19
11
pr2_mii_mt1_clk
AC5
11
pr2_mii1_rxdv
AC3
11
pr2_mii1_txen
AB4
11
pr2_mii0_txd3
A11
11
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-151. PRU-ICSS2 IOSETs (continued)
SIGNALS
IOSET1
IOSET2
BALL
MUX
pr2_mii0_txd2
B13
11
pr2_mii0_txd1
A12
11
pr2_mii0_txd0
E14
11
pr2_mii0_rxd3
F14
11
pr2_mii0_rxd2
A19
11
pr2_mii0_rxd1
A18
11
BALL
MUX
pr2_mii0_rxd0
C15
11
pr2_mii_mr0_clk
A13
11
pr2_mii0_rxer
G12
11
pr2_mii_mt0_clk
F12
11
pr2_mii0_rxdv
G14
11
pr2_mii0_txen
B12
11
pr2_mdio_mdclk
C14
11
AB3
11
pr2_mdio_data
D14
11
AA4
11
Table 7-152. PRU-ICSS2 IOSETs (EnDAT)(1)
SIGNALS
IOSET3
BALL
IOSET4
MUX
BALL
MUX
PRU-ICSS 2 EnDAT
pr2_pru1_endat0_clk
V1
13
D17
13
pr2_pru1_endat0_out
U4
13
AA3
13
pr2_pru1_endat0_out_en
U3
13
AB9
13
pr2_pru1_endat1_clk
V2
13
AB3
13
pr2_pru1_endat1_out
Y1
13
AA4
13
pr2_pru1_endat1_out_en
W9
13
D18
13
pr2_pru1_endat2_clk
V9
13
E17
13
pr2_pru1_endat2_out
V7
13
C14
13
pr2_pru1_endat2_out_en
U7
13
G12
13
pr2_pru1_endat0_in
V6
12
F12
12
pr2_pru1_endat1_in
U6
12
B12
12
pr2_pru1_endat2_in
U5
12
A11
12
(1) These signals are internally muxed with the PRU GPI/GPO signals. Refer to the PRU chapter in the TRM for more details about the
PRU-ICSS internal wrapper multiplexing.
Table 7-153. PRU-ICSS2 IOSETs (Sigma Delta)(1)
SIGNALS
IOSET3
BALL
IOSET4
MUX
BALL
MUX
AC5
12
PRU-ICSS 2 SD
pr2_pru0_sd0_clk
G11
12
pr2_pru0_sd0_d
E9
12
AB4
12
pr2_pru0_sd1_clk
F9
12
AD4
12
pr2_pru0_sd1_d
F8
12
AC4
12
pr2_pru0_sd2_clk
E7
12
AC7
12
pr2_pru0_sd2_d
E8
12
AC6
12
pr2_pru0_sd3_clk
D9
12
AC9
12
pr2_pru0_sd3_d
D7
12
AC3
12
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
341
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-153. PRU-ICSS2 IOSETs (Sigma Delta)(1) (continued)
SIGNALS
IOSET3
IOSET4
BALL
MUX
BALL
MUX
pr2_pru0_sd4_clk
D8
12
AC8
12
pr2_pru0_sd4_d
A5
12
AD6
12
pr2_pru0_sd5_clk
C6
12
AB8
12
pr2_pru0_sd5_d
C8
12
AB5
12
pr2_pru0_sd6_clk
C7
12
B18
12
pr2_pru0_sd6_d
B7
12
F15
12
pr2_pru0_sd7_clk
B8
12
B19
12
pr2_pru0_sd7_d
A7
12
C17
12
pr2_pru0_sd8_clk
A8
12
C15
12
pr2_pru0_sd8_d
C9
12
A16
12
(1) These signals are internally muxed with the PRU GPI/GPO signals. Refer to the PRU chapter in the TRM for more details about the
PRU-ICSS internal wrapper multiplexing.
7.26.5 PRU-ICSS Manual Functional Mapping
NOTE
To configure the desired Manual IO Timing Mode the user must follow the steps described in
section "Manual IO Timing Modes" of the Device TRM.
The associated registers to configure are listed in the CFG REGISTER column. For more
information see the Control Module Chapter in the Device TRM.
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS1 PRU1 Direct
Input mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO Timings
Modes. See Table 7-154 Manual Functions Mapping for PRU-ICSS1 PRU1 Direct Input mode for a
definition of the Manual modes.
Table 7-154 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-154. Manual Functions Mapping for PRU-ICSS1 PRU1 Direct Input mode
BALL
342
BALL NAME
PR1_PRU1_DIR_IN_MANUAL
CFG REGISTER
MUXMODE
800
CFG_VIN2A_D10_IN
pr1_pru1_gpi7
pr1_pru1_gpi8
A_DELAY (ps)
G_DELAY (ps)
D3
vin2a_d10
0
12
F6
vin2a_d11
0
0
CFG_VIN2A_D11_IN
D5
vin2a_d12
0
200
CFG_VIN2A_D12_IN
pr1_pru1_gpi9
C2
vin2a_d13
0
0
CFG_VIN2A_D13_IN
pr1_pru1_gpi10
C3
vin2a_d14
0
0
CFG_VIN2A_D14_IN
pr1_pru1_gpi11
C4
vin2a_d15
0
400
CFG_VIN2A_D15_IN
pr1_pru1_gpi12
B2
vin2a_d16
0
300
CFG_VIN2A_D16_IN
pr1_pru1_gpi13
D6
vin2a_d17
0
400
CFG_VIN2A_D17_IN
pr1_pru1_gpi14
C5
vin2a_d18
0
900
CFG_VIN2A_D18_IN
pr1_pru1_gpi15
A3
vin2a_d19
0
1500
CFG_VIN2A_D19_IN
pr1_pru1_gpi16
B3
vin2a_d20
0
100
CFG_VIN2A_D20_IN
pr1_pru1_gpi17
B4
vin2a_d21
0
500
CFG_VIN2A_D21_IN
pr1_pru1_gpi18
B5
vin2a_d22
0
500
CFG_VIN2A_D22_IN
pr1_pru1_gpi19
A4
vin2a_d23
0
600
CFG_VIN2A_D23_IN
pr1_pru1_gpi20
E2
vin2a_d3
0
900
CFG_VIN2A_D3_IN
pr1_pru1_gpi0
D2
vin2a_d4
0
100
CFG_VIN2A_D4_IN
pr1_pru1_gpi1
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-154. Manual Functions Mapping for PRU-ICSS1 PRU1 Direct Input mode (continued)
BALL
BALL NAME
PR1_PRU1_DIR_IN_MANUAL
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
F4
vin2a_d5
0
600
CFG_VIN2A_D5_IN
pr1_pru1_gpi2
C1
vin2a_d6
0
200
CFG_VIN2A_D6_IN
pr1_pru1_gpi3
E4
vin2a_d7
0
400
CFG_VIN2A_D7_IN
pr1_pru1_gpi4
F5
vin2a_d8
0
500
CFG_VIN2A_D8_IN
pr1_pru1_gpi5
E6
vin2a_d9
0
600
CFG_VIN2A_D9_IN
pr1_pru1_gpi6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS1 PRU1 Direct
Output mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-155 Manual Functions Mapping for PRU-ICSS1 PRU1 Direct Output mode
for a definition of the Manual modes.
Table 7-155 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-155. Manual Functions Mapping for PRU-ICSS1 PRU1 Direct Output mode
BALL
BALL NAME
PR1_PRU1_DIR_OUT_MANUAL
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
13
D3
vin2a_d10
0
1000
CFG_VIN2A_D10_OUT
pr1_pru1_gpo7
pr1_pru1_gpo8
F6
vin2a_d11
0
1300
CFG_VIN2A_D11_OUT
D5
vin2a_d12
0
2300
CFG_VIN2A_D12_OUT
pr1_pru1_gpo9
C2
vin2a_d13
0
2200
CFG_VIN2A_D13_OUT
pr1_pru1_gpo10
C3
vin2a_d14
0
1800
CFG_VIN2A_D14_OUT
pr1_pru1_gpo11
C4
vin2a_d15
0
1800
CFG_VIN2A_D15_OUT
pr1_pru1_gpo12
B2
vin2a_d16
0
1600
CFG_VIN2A_D16_OUT
pr1_pru1_gpo13
D6
vin2a_d17
0
2000
CFG_VIN2A_D17_OUT
pr1_pru1_gpo14
C5
vin2a_d18
0
700
CFG_VIN2A_D18_OUT
pr1_pru1_gpo15
A3
vin2a_d19
0
700
CFG_VIN2A_D19_OUT
pr1_pru1_gpo16
B3
vin2a_d20
0
500
CFG_VIN2A_D20_OUT
pr1_pru1_gpo17
B4
vin2a_d21
0
400
CFG_VIN2A_D21_OUT
pr1_pru1_gpo18
B5
vin2a_d22
0
0
CFG_VIN2A_D22_OUT
pr1_pru1_gpo19
A4
vin2a_d23
0
400
CFG_VIN2A_D23_OUT
pr1_pru1_gpo20
E2
vin2a_d3
0
2200
CFG_VIN2A_D3_OUT
pr1_pru1_gpo0
D2
vin2a_d4
540
2800
CFG_VIN2A_D4_OUT
pr1_pru1_gpo1
F4
vin2a_d5
0
400
CFG_VIN2A_D5_OUT
pr1_pru1_gpo2
C1
vin2a_d6
0
1500
CFG_VIN2A_D6_OUT
pr1_pru1_gpo3
E4
vin2a_d7
0
2200
CFG_VIN2A_D7_OUT
pr1_pru1_gpo4
F5
vin2a_d8
0
2600
CFG_VIN2A_D8_OUT
pr1_pru1_gpo5
E6
vin2a_d9
0
2300
CFG_VIN2A_D9_OUT
pr1_pru1_gpo6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS1 PRU1 Parallel
Capture Mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-156 Manual Functions Mapping for PRU-ICSS1 PRU1 Parallel Capture
Mode for a definition of the Manual modes.
Table 7-156 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
343
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-156. Manual Functions Mapping for PRU-ICSS1 PRU1 Parallel Capture Mode
BALL
BALL NAME
PR1_PRU1_PAR_CAP_MANUAL
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
D3
vin2a_d10
1535
0
CFG_VIN2A_D10_IN
pr1_pru1_gpi7
F6
vin2a_d11
1151
0
CFG_VIN2A_D11_IN
pr1_pru1_gpi8
D5
vin2a_d12
1173
0
CFG_VIN2A_D12_IN
pr1_pru1_gpi9
C2
vin2a_d13
970
0
CFG_VIN2A_D13_IN
pr1_pru1_gpi10
C3
vin2a_d14
1196
0
CFG_VIN2A_D14_IN
pr1_pru1_gpi11
C4
vin2a_d15
1286
0
CFG_VIN2A_D15_IN
pr1_pru1_gpi12
B2
vin2a_d16
1354
0
CFG_VIN2A_D16_IN
pr1_pru1_gpi13
D6
vin2a_d17
1331
0
CFG_VIN2A_D17_IN
pr1_pru1_gpi14
C5
vin2a_d18
2097
0
CFG_VIN2A_D18_IN
pr1_pru1_gpi15
A3
vin2a_d19
0
453
CFG_VIN2A_D19_IN
pr1_pru1_gpi16
E2
vin2a_d3
1566
0
CFG_VIN2A_D3_IN
pr1_pru1_gpi0
D2
vin2a_d4
1012
0
CFG_VIN2A_D4_IN
pr1_pru1_gpi1
F4
vin2a_d5
1337
0
CFG_VIN2A_D5_IN
pr1_pru1_gpi2
C1
vin2a_d6
1130
0
CFG_VIN2A_D6_IN
pr1_pru1_gpi3
E4
vin2a_d7
1202
0
CFG_VIN2A_D7_IN
pr1_pru1_gpi4
F5
vin2a_d8
1395
0
CFG_VIN2A_D8_IN
pr1_pru1_gpi5
E6
vin2a_d9
1338
0
CFG_VIN2A_D9_IN
pr1_pru1_gpi6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET1
Direct Input mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-157 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Input
mode for a definition of the Manual modes.
Table 7-157 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-157. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Input mode
BALL
344
BALL NAME
PR2_PRU0_DIR_IN_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
D7
vout1_d10
0
0
CFG_VOUT1_D10_IN
pr2_pru0_gpi7
D8
vout1_d11
0
0
CFG_VOUT1_D11_IN
pr2_pru0_gpi8
A5
vout1_d12
0
0
CFG_VOUT1_D12_IN
pr2_pru0_gpi9
C6
vout1_d13
0
0
CFG_VOUT1_D13_IN
pr2_pru0_gpi10
C8
vout1_d14
0
0
CFG_VOUT1_D14_IN
pr2_pru0_gpi11
C7
vout1_d15
0
0
CFG_VOUT1_D15_IN
pr2_pru0_gpi12
B7
vout1_d16
0
0
CFG_VOUT1_D16_IN
pr2_pru0_gpi13
B8
vout1_d17
0
0
CFG_VOUT1_D17_IN
pr2_pru0_gpi14
A7
vout1_d18
0
0
CFG_VOUT1_D18_IN
pr2_pru0_gpi15
A8
vout1_d19
0
0
CFG_VOUT1_D19_IN
pr2_pru0_gpi16
C9
vout1_d20
0
0
CFG_VOUT1_D20_IN
pr2_pru0_gpi17
A9
vout1_d21
0
0
CFG_VOUT1_D21_IN
pr2_pru0_gpi18
B9
vout1_d22
0
0
CFG_VOUT1_D22_IN
pr2_pru0_gpi19
A10
vout1_d23
0
0
CFG_VOUT1_D23_IN
pr2_pru0_gpi20
G11
vout1_d3
0
0
CFG_VOUT1_D3_IN
pr2_pru0_gpi0
E9
vout1_d4
0
0
CFG_VOUT1_D4_IN
pr2_pru0_gpi1
F9
vout1_d5
0
0
CFG_VOUT1_D5_IN
pr2_pru0_gpi2
F8
vout1_d6
0
0
CFG_VOUT1_D6_IN
pr2_pru0_gpi3
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-157. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Input mode (continued)
BALL
BALL NAME
PR2_PRU0_DIR_IN_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
E7
vout1_d7
0
0
CFG_VOUT1_D7_IN
pr2_pru0_gpi4
E8
vout1_d8
0
0
CFG_VOUT1_D8_IN
pr2_pru0_gpi5
D9
vout1_d9
0
0
CFG_VOUT1_D9_IN
pr2_pru0_gpi6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET2
Direct Input mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-158 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Direct Input
mode for a definition of the Manual modes.
Table 7-158 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-158. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Direct Input mode
BALL
BALL NAME
PR2_PRU0_DIR_IN_MANUAL2
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
AC5
gpio6_10
1000
3300
CFG_GPIO6_10_IN
pr2_pru0_gpi0
AB4
gpio6_11
1000
3400
CFG_GPIO6_11_IN
pr2_pru0_gpi1
F14
mcasp1_axr15
0
1300
CFG_MCASP1_AXR15_IN
pr2_pru0_gpi20
12
A19
mcasp2_aclkx
0
800
CFG_MCASP2_ACLKX_IN
pr2_pru0_gpi18
C15
mcasp2_axr2
0
1900
CFG_MCASP2_AXR2_IN
pr2_pru0_gpi16
A16
mcasp2_axr3
0
1400
CFG_MCASP2_AXR3_IN
pr2_pru0_gpi17
A18
mcasp2_fsx
0
1400
CFG_MCASP2_FSX_IN
pr2_pru0_gpi19
B19
mcasp3_axr0
0
1400
CFG_MCASP3_AXR0_IN
pr2_pru0_gpi14
C17
mcasp3_axr1
0
1000
CFG_MCASP3_AXR1_IN
pr2_pru0_gpi15
F15
mcasp3_fsx
0
1300
CFG_MCASP3_FSX_IN
pr2_pru0_gpi13
AD4
mmc3_clk
1000
3700
CFG_MMC3_CLK_IN
pr2_pru0_gpi2
AC4
mmc3_cmd
1000
3500
CFG_MMC3_CMD_IN
pr2_pru0_gpi3
AC7
mmc3_dat0
1000
3500
CFG_MMC3_DAT0_IN
pr2_pru0_gpi4
AC6
mmc3_dat1
1000
4000
CFG_MMC3_DAT1_IN
pr2_pru0_gpi5
AC9
mmc3_dat2
1000
3300
CFG_MMC3_DAT2_IN
pr2_pru0_gpi6
AC3
mmc3_dat3
1000
3900
CFG_MMC3_DAT3_IN
pr2_pru0_gpi7
AC8
mmc3_dat4
1000
3500
CFG_MMC3_DAT4_IN
pr2_pru0_gpi8
AD6
mmc3_dat5
1000
3600
CFG_MMC3_DAT5_IN
pr2_pru0_gpi9
AB8
mmc3_dat6
1000
3500
CFG_MMC3_DAT6_IN
pr2_pru0_gpi10
AB5
mmc3_dat7
1000
3100
CFG_MMC3_DAT7_IN
pr2_pru0_gpi11
B18
mcasp3_aclkx
0
0
CFG_MCASP3_ACLKX_IN
pr2_pru0_gpi12
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET1
Direct Output mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-159 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Output
mode for a definition of the Manual modes.
Table 7-159 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-159. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Output mode
BALL
D7
BALL NAME
PR2_PRU0_DIR_OUT_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
0
600
vout1_d10
Copyright © 2016, Texas Instruments Incorporated
CFG REGISTER
MUXMODE
CFG_VOUT1_D10_OUT
pr2_pru0_gpo7
13
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
345
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-159. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Direct Output mode (continued)
BALL
BALL NAME
PR2_PRU0_DIR_OUT_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
13
D8
vout1_d11
0
700
CFG_VOUT1_D11_OUT
A5
vout1_d12
1200
200
CFG_VOUT1_D12_OUT
pr2_pru0_gpo8
pr2_pru0_gpo9
C6
vout1_d13
0
600
CFG_VOUT1_D13_OUT
pr2_pru0_gpo10
C8
vout1_d14
200
300
CFG_VOUT1_D14_OUT
pr2_pru0_gpo11
C7
vout1_d15
400
0
CFG_VOUT1_D15_OUT
pr2_pru0_gpo12
B7
vout1_d16
0
0
CFG_VOUT1_D16_OUT
pr2_pru0_gpo13
B8
vout1_d17
0
300
CFG_VOUT1_D17_OUT
pr2_pru0_gpo14
A7
vout1_d18
120
300
CFG_VOUT1_D18_OUT
pr2_pru0_gpo15
A8
vout1_d19
0
0
CFG_VOUT1_D19_OUT
pr2_pru0_gpo16
C9
vout1_d20
250
200
CFG_VOUT1_D20_OUT
pr2_pru0_gpo17
A9
vout1_d21
300
200
CFG_VOUT1_D21_OUT
pr2_pru0_gpo18
B9
vout1_d22
0
0
CFG_VOUT1_D22_OUT
pr2_pru0_gpo19
A10
vout1_d23
0
0
CFG_VOUT1_D23_OUT
pr2_pru0_gpo20
G11
vout1_d3
920
0
CFG_VOUT1_D3_OUT
pr2_pru0_gpo0
E9
vout1_d4
1500
300
CFG_VOUT1_D4_OUT
pr2_pru0_gpo1
F9
vout1_d5
460
100
CFG_VOUT1_D5_OUT
pr2_pru0_gpo2
F8
vout1_d6
300
300
CFG_VOUT1_D6_OUT
pr2_pru0_gpo3
E7
vout1_d7
160
0
CFG_VOUT1_D7_OUT
pr2_pru0_gpo4
E8
vout1_d8
0
0
CFG_VOUT1_D8_OUT
pr2_pru0_gpo5
D9
vout1_d9
0
1200
CFG_VOUT1_D9_OUT
pr2_pru0_gpo6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET2
Direct Output mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-160 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Direct Output
mode for a definition of the Manual modes.
Table 7-160 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-160. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Direct Output mode
346
BALL
BALL NAME
PR2_PRU0_DIR_OUT_MANUAL2
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
AC5
gpio6_10
1800
1900
CFG_GPIO6_10_OUT
pr2_pru0_gpo0
AB4
gpio6_11
2500
2100
CFG_GPIO6_11_OUT
pr2_pru0_gpo1
13
F14
mcasp1_axr15
0
400
CFG_MCASP1_AXR15_OUT
pr2_pru0_gpo20
A19
mcasp2_aclkx
0
400
CFG_MCASP2_ACLKX_OUT
pr2_pru0_gpo18
C15
mcasp2_axr2
0
500
CFG_MCASP2_AXR2_OUT
pr2_pru0_gpo16
A16
mcasp2_axr3
0
500
CFG_MCASP2_AXR3_OUT
pr2_pru0_gpo17
A18
mcasp2_fsx
0
0
CFG_MCASP2_FSX_OUT
pr2_pru0_gpo19
B18
mcasp3_aclkx
0
500
CFG_MCASP3_ACLKX_OUT
pr2_pru0_gpo12
B19
mcasp3_axr0
0
0
CFG_MCASP3_AXR0_OUT
pr2_pru0_gpo14
C17
mcasp3_axr1
0
200
CFG_MCASP3_AXR1_OUT
pr2_pru0_gpo15
F15
mcasp3_fsx
0
300
CFG_MCASP3_FSX_OUT
pr2_pru0_gpo13
AD4
mmc3_clk
2100
2200
CFG_MMC3_CLK_OUT
pr2_pru0_gpo2
AC4
mmc3_cmd
2300
2300
CFG_MMC3_CMD_OUT
pr2_pru0_gpo3
AC7
mmc3_dat0
2000
1600
CFG_MMC3_DAT0_OUT
pr2_pru0_gpo4
AC6
mmc3_dat1
2000
1700
CFG_MMC3_DAT1_OUT
pr2_pru0_gpo5
AC9
mmc3_dat2
2050
2200
CFG_MMC3_DAT2_OUT
pr2_pru0_gpo6
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-160. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Direct Output mode (continued)
BALL
BALL NAME
PR2_PRU0_DIR_OUT_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
13
AC3
mmc3_dat3
2000
2000
CFG_MMC3_DAT3_OUT
pr2_pru0_gpo7
AC8
mmc3_dat4
2150
2600
CFG_MMC3_DAT4_OUT
pr2_pru0_gpo8
AD6
mmc3_dat5
2400
2600
CFG_MMC3_DAT5_OUT
pr2_pru0_gpo9
AB8
mmc3_dat6
2200
2300
CFG_MMC3_DAT6_OUT
pr2_pru0_gpo10
AB5
mmc3_dat7
1800
2400
CFG_MMC3_DAT7_OUT
pr2_pru0_gpo11
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET1
Direct Input mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-161 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Direct Input
mode for a definition of the Manual modes.
Table 7-161 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-161. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Direct Input mode
BALL
BALL NAME
PR2_PRU1_DIR_IN_MANUAL1
CFG REGISTER
MUXMODE
CFG_RMII_MHZ_50_CLK_IN
pr2_pru1_gpi2
1600
CFG_MDIO_D_IN
pr2_pru1_gpi1
800
CFG_MDIO_MCLK_IN
pr2_pru1_gpi0
500
CFG_RGMII0_RXC_IN
pr2_pru1_gpi11
1800
CFG_RGMII0_RXCTL_IN
pr2_pru1_gpi12
1300
CFG_RGMII0_RXD0_IN
pr2_pru1_gpi16
1400
1650
CFG_RGMII0_RXD1_IN
pr2_pru1_gpi15
rgmii0_rxd2
1400
1400
CFG_RGMII0_RXD2_IN
pr2_pru1_gpi14
rgmii0_rxd3
1400
1650
CFG_RGMII0_RXD3_IN
pr2_pru1_gpi13
rgmii0_txc
1400
900
CFG_RGMII0_TXC_IN
pr2_pru1_gpi5
A_DELAY (ps)
G_DELAY (ps)
RMII_MHZ_50_CL
K
1400
1200
U4
mdio_d
1300
V1
mdio_mclk
1400
U5
rgmii0_rxc
1400
V5
rgmii0_rxctl
1400
W2
rgmii0_rxd0
1400
Y2
rgmii0_rxd1
V3
V4
W9
U3
12
V9
rgmii0_txctl
1400
1300
CFG_RGMII0_TXCTL_IN
pr2_pru1_gpi6
U6
rgmii0_txd0
1400
900
CFG_RGMII0_TXD0_IN
pr2_pru1_gpi10
V6
rgmii0_txd1
1300
1400
CFG_RGMII0_TXD1_IN
pr2_pru1_gpi9
U7
rgmii0_txd2
1300
1100
CFG_RGMII0_TXD2_IN
pr2_pru1_gpi8
V7
rgmii0_txd3
1300
1300
CFG_RGMII0_TXD3_IN
pr2_pru1_gpi7
V2
uart3_rxd
1300
1000
CFG_UART3_RXD_IN
pr2_pru1_gpi3
Y1
uart3_txd
1300
800
CFG_UART3_TXD_IN
pr2_pru1_gpi4
E11
vout1_vsync
0
0
CFG_VOUT1_VSYNC_IN
pr2_pru1_gpi17
F11
vout1_d0
0
0
CFG_VOUT1_D0_IN
pr2_pru1_gpi18
G10
vout1_d1
0
0
CFG_VOUT1_D1_IN
pr2_pru1_gpi19
F10
vout1_d2
0
0
CFG_VOUT1_D2_IN
pr2_pru1_gpi20
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET2
Direct Input mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-162 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Direct Input
mode for a definition of the Manual modes.
Table 7-162 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
347
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-162. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Direct Input mode
BALL
BALL NAME
PR2_PRU1_DIR_IN_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
C14
mcasp1_aclkx
400
0
CFG_MCASP1_ACLKX_IN
pr2_pru1_gpi7
G12
mcasp1_axr0
700
200
CFG_MCASP1_AXR0_IN
pr2_pru1_gpi8
F12
mcasp1_axr1
600
300
CFG_MCASP1_AXR1_IN
pr2_pru1_gpi9
B13
mcasp1_axr10
600
500
CFG_MCASP1_AXR10_IN
pr2_pru1_gpi12
A12
mcasp1_axr11
700
500
CFG_MCASP1_AXR11_IN
pr2_pru1_gpi13
E14
mcasp1_axr12
500
0
CFG_MCASP1_AXR12_IN
pr2_pru1_gpi14
A13
mcasp1_axr13
600
200
CFG_MCASP1_AXR13_IN
pr2_pru1_gpi15
G14
mcasp1_axr14
600
0
CFG_MCASP1_AXR14_IN
pr2_pru1_gpi16
E11
vout1_vsync
0
0
CFG_VOUT1_VSYNC_IN
pr2_pru1_gpi17
F11
vout1_d0
0
0
CFG_VOUT1_D0_IN
pr2_pru1_gpi18
G10
vout1_d1
0
0
CFG_VOUT1_D1_IN
pr2_pru1_gpi19
F10
vout1_d2
0
0
CFG_VOUT1_D2_IN
pr2_pru1_gpi20
B12
mcasp1_axr8
800
0
CFG_MCASP1_AXR8_IN
pr2_pru1_gpi10
A11
mcasp1_axr9
600
300
CFG_MCASP1_AXR9_IN
pr2_pru1_gpi11
D17
mcasp4_axr1
500
0
CFG_MCASP4_AXR1_IN
pr2_pru1_gpi0
AA3
mcasp5_aclkx
2100
1959
CFG_MCASP5_ACLKX_IN
pr2_pru1_gpi1
AB3
mcasp5_axr0
2300
2000
CFG_MCASP5_AXR0_IN
pr2_pru1_gpi3
AA4
mcasp5_axr1
2300
1800
CFG_MCASP5_AXR1_IN
pr2_pru1_gpi4
AB9
mcasp5_fsx
2100
1780
CFG_MCASP5_FSX_IN
pr2_pru1_gpi2
D18
xref_clk0
0
0
CFG_XREF_CLK0_IN
pr2_pru1_gpi5
E17
xref_clk1
0
0
CFG_XREF_CLK1_IN
pr2_pru1_gpi6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET1
Direct Output mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-163 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Direct Output
mode for a definition of the Manual modes.
Table 7-163 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-163. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Direct Output mode
BALL
BALL NAME
PR2_PRU1_DIR_OUT_MANUAL1
A_DELAY (ps)
348
CFG REGISTER
G_DELAY (ps)
MUXMODE
13
U3
RMII_MHZ_50_CLK
2306
100
CFG_RMII_MHZ_50_CLK_OUT
pr2_pru1_gpo2
U4
mdio_d
1900
2000
CFG_MDIO_D_OUT
pr2_pru1_gpo1
V1
mdio_mclk
2000
1100
CFG_MDIO_MCLK_OUT
pr2_pru1_gpo0
U5
rgmii0_rxc
2000
1200
CFG_RGMII0_RXC_OUT
pr2_pru1_gpo11
V5
rgmii0_rxctl
2000
1700
CFG_RGMII0_RXCTL_OUT
pr2_pru1_gpo12
W2
rgmii0_rxd0
2000
1000
CFG_RGMII0_RXD0_OUT
pr2_pru1_gpo16
Y2
rgmii0_rxd1
2200
1000
CFG_RGMII0_RXD1_OUT
pr2_pru1_gpo15
V3
rgmii0_rxd2
2200
1300
CFG_RGMII0_RXD2_OUT
pr2_pru1_gpo14
V4
rgmii0_rxd3
2250
1100
CFG_RGMII0_RXD3_OUT
pr2_pru1_gpo13
W9
rgmii0_txc
2350
1000
CFG_RGMII0_TXC_OUT
pr2_pru1_gpo5
V9
rgmii0_txctl
2000
1200
CFG_RGMII0_TXCTL_OUT
pr2_pru1_gpo6
U6
rgmii0_txd0
2000
1500
CFG_RGMII0_TXD0_OUT
pr2_pru1_gpo10
V6
rgmii0_txd1
1850
1000
CFG_RGMII0_TXD1_OUT
pr2_pru1_gpo9
U7
rgmii0_txd2
2100
1100
CFG_RGMII0_TXD2_OUT
pr2_pru1_gpo8
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 7-163. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Direct Output mode (continued)
BALL
BALL NAME
PR2_PRU1_DIR_OUT_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
13
V7
rgmii0_txd3
2200
1000
CFG_RGMII0_TXD3_OUT
pr2_pru1_gpo7
V2
uart3_rxd
2000
1600
CFG_UART3_RXD_OUT
pr2_pru1_gpo3
Y1
uart3_txd
2000
1000
CFG_UART3_TXD_OUT
pr2_pru1_gpo4
F11
vout1_d0
400
0
CFG_VOUT1_D0_OUT
pr2_pru1_gpo18
G10
vout1_d1
0
0
CFG_VOUT1_D1_OUT
pr2_pru1_gpo19
F10
vout1_d2
200
0
CFG_VOUT1_D2_OUT
pr2_pru1_gpo20
E11
vout1_vsync
500
0
CFG_VOUT1_VSYNC_OUT
pr2_pru1_gpo17
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET2
Direct Output mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual IO
Timings Modes. See Table 7-164 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Direct Output
mode for a definition of the Manual modes.
Table 7-164 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-164. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Direct Output mode
BALL
BALL NAME
PR2_PRU1_DIR_OUT_MANUAL2
CFG REGISTER
MUXMODE
A_DELAY (ps)
G_DELAY (ps)
C14
mcasp1_aclkx
200
800
CFG_MCASP1_ACLKX_OUT
pr2_pru1_gpo7
G12
mcasp1_axr0
200
1000
CFG_MCASP1_AXR0_OUT
pr2_pru1_gpo8
13
F12
mcasp1_axr1
0
1110
CFG_MCASP1_AXR1_OUT
pr2_pru1_gpo9
B13
mcasp1_axr10
0
2500
CFG_MCASP1_AXR10_OUT
pr2_pru1_gpo12
A12
mcasp1_axr11
0
1900
CFG_MCASP1_AXR11_OUT
pr2_pru1_gpo13
E14
mcasp1_axr12
0
2300
CFG_MCASP1_AXR12_OUT
pr2_pru1_gpo14
A13
mcasp1_axr13
200
1200
CFG_MCASP1_AXR13_OUT
pr2_pru1_gpo15
G14
mcasp1_axr14
200
1100
CFG_MCASP1_AXR14_OUT
pr2_pru1_gpo16
E11
vout1_vsync
0
0
CFG_VOUT1_VSYNC_OUT
pr2_pru1_gpo17
F11
vout1_d0
0
0
CFG_VOUT1_D0_OUT
pr2_pru1_gpo18
G10
vout1_d1
0
0
CFG_VOUT1_D1_OUT
pr2_pru1_gpo19
F10
vout1_d2
0
0
CFG_VOUT1_D2_OUT
pr2_pru1_gpo20
B12
mcasp1_axr8
200
1600
CFG_MCASP1_AXR8_OUT
pr2_pru1_gpo10
A11
mcasp1_axr9
0
1900
CFG_MCASP1_AXR9_OUT
pr2_pru1_gpo11
D17
mcasp4_axr1
0
700
CFG_MCASP4_AXR1_OUT
pr2_pru1_gpo0
AA3
mcasp5_aclkx
1400
4000
CFG_MCASP5_ACLKX_OUT
pr2_pru1_gpo1
AB3
mcasp5_axr0
1500
3000
CFG_MCASP5_AXR0_OUT
pr2_pru1_gpo3
AA4
mcasp5_axr1
1500
1900
CFG_MCASP5_AXR1_OUT
pr2_pru1_gpo4
AB9
mcasp5_fsx
1300
2700
CFG_MCASP5_FSX_OUT
pr2_pru1_gpo2
D18
xref_clk0
0
160
CFG_XREF_CLK0_OUT
pr2_pru1_gpo5
E17
xref_clk1
0
0
CFG_XREF_CLK1_OUT
pr2_pru1_gpo6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET1
Parallel Capture Mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual
IO Timings Modes. See Table 7-165 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Parallel
Capture Mode for a definition of the Manual modes.
Table 7-165 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
349
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-165. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET1 Parallel Capture Mode
BALL
BALL NAME
PR2_PRU0_PAR_CAP_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
D7
vout1_d10
1994
0
CFG_VOUT1_D10_IN
pr2_pru0_gpi7
D8
vout1_d11
1888
0
CFG_VOUT1_D11_IN
pr2_pru0_gpi8
A5
vout1_d12
2024
0
CFG_VOUT1_D12_IN
pr2_pru0_gpi9
C6
vout1_d13
1819
0
CFG_VOUT1_D13_IN
pr2_pru0_gpi10
C8
vout1_d14
1971
0
CFG_VOUT1_D14_IN
pr2_pru0_gpi11
C7
vout1_d15
2147
0
CFG_VOUT1_D15_IN
pr2_pru0_gpi12
B7
vout1_d16
2016
0
CFG_VOUT1_D16_IN
pr2_pru0_gpi13
B8
vout1_d17
1546
0
CFG_VOUT1_D17_IN
pr2_pru0_gpi14
A7
vout1_d18
1557
0
CFG_VOUT1_D18_IN
pr2_pru0_gpi15
A8
vout1_d19
0
0
CFG_VOUT1_D19_IN
pr2_pru0_gpi16
G11
vout1_d3
1734
0
CFG_VOUT1_D3_IN
pr2_pru0_gpi0
E9
vout1_d4
1861
0
CFG_VOUT1_D4_IN
pr2_pru0_gpi1
F9
vout1_d5
1684
0
CFG_VOUT1_D5_IN
pr2_pru0_gpi2
F8
vout1_d6
1547
0
CFG_VOUT1_D6_IN
pr2_pru0_gpi3
E7
vout1_d7
1504
0
CFG_VOUT1_D7_IN
pr2_pru0_gpi4
E8
vout1_d8
2238
0
CFG_VOUT1_D8_IN
pr2_pru0_gpi5
D9
vout1_d9
2133
0
CFG_VOUT1_D9_IN
pr2_pru0_gpi6
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU0 IOSET2
Parallel Capture Mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual
IO Timings Modes. See Table 7-166 Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Parallel
Capture Mode for a definition of the Manual modes.
Table 7-166 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-166. Manual Functions Mapping for PRU-ICSS2 PRU0 IOSET2 Parallel Capture Mode
BALL
PR2_PRU0_PAR_CAP_MANUAL2
CFG REGISTER
MUXMODE
CFG_GPIO6_10_IN
pr2_pru0_gpi0
A_DELAY (ps)
G_DELAY (ps)
gpio6_10
4125
481
AB4
gpio6_11
3935
997
CFG_GPIO6_11_IN
pr2_pru0_gpi1
C15
mcasp2_axr2
0
0
CFG_MCASP2_AXR2_IN
pr2_pru0_gpi16
B18
mcasp3_aclkx
571
0
CFG_MCASP3_ACLKX_IN
pr2_pru0_gpi12
AC5
350
BALL NAME
12
B19
mcasp3_axr0
1570
0
CFG_MCASP3_AXR0_IN
pr2_pru0_gpi14
C17
mcasp3_axr1
1405
0
CFG_MCASP3_AXR1_IN
pr2_pru0_gpi15
F15
mcasp3_fsx
1946
0
CFG_MCASP3_FSX_IN
pr2_pru0_gpi13
AD4
mmc3_clk
4093
1066
CFG_MMC3_CLK_IN
pr2_pru0_gpi2
AC4
mmc3_cmd
4043
921
CFG_MMC3_CMD_IN
pr2_pru0_gpi3
AC7
mmc3_dat0
4010
864
CFG_MMC3_DAT0_IN
pr2_pru0_gpi4
AC6
mmc3_dat1
3817
1643
CFG_MMC3_DAT1_IN
pr2_pru0_gpi5
AC9
mmc3_dat2
4040
673
CFG_MMC3_DAT2_IN
pr2_pru0_gpi6
AC3
mmc3_dat3
3923
1478
CFG_MMC3_DAT3_IN
pr2_pru0_gpi7
AC8
mmc3_dat4
4096
729
CFG_MMC3_DAT4_IN
pr2_pru0_gpi8
AD6
mmc3_dat5
3926
1271
CFG_MMC3_DAT5_IN
pr2_pru0_gpi9
AB8
mmc3_dat6
4004
929
CFG_MMC3_DAT6_IN
pr2_pru0_gpi10
AB5
mmc3_dat7
3963
666
CFG_MMC3_DAT7_IN
pr2_pru0_gpi11
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET1
Parallel Capture Mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual
IO Timings Modes. See Table 7-167 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Parallel
Capture Mode for a definition of the Manual modes.
Table 7-167 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-167. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET1 Parallel Capture Mode
BALL
BALL NAME
PR2_PRU1_PAR_CAP_MANUAL1
A_DELAY (ps)
G_DELAY (ps)
RMII_MHZ_5
0_CLK
1717
0
U4
mdio_d
2088
V1
mdio_mclk
1321
U5
rgmii0_rxc
1287
V5
rgmii0_rxctl
2456
W2
rgmii0_rxd0
Y2
V3
V4
U3
CFG REGISTER
MUXMODE
12
CFG_RMII_MHZ_50_CLK_IN
pr2_pru1_gpi2
0
CFG_MDIO_D_IN
pr2_pru1_gpi1
0
CFG_MDIO_MCLK_IN
pr2_pru1_gpi0
0
CFG_RGMII0_RXC_IN
pr2_pru1_gpi11
0
CFG_RGMII0_RXCTL_IN
pr2_pru1_gpi12
0
0
CFG_RGMII0_RXD0_IN
pr2_pru1_gpi16
rgmii0_rxd1
2157
0
CFG_RGMII0_RXD1_IN
pr2_pru1_gpi15
rgmii0_rxd2
2008
0
CFG_RGMII0_RXD2_IN
pr2_pru1_gpi14
rgmii0_rxd3
2271
0
CFG_RGMII0_RXD3_IN
pr2_pru1_gpi13
W9
rgmii0_txc
1851
0
CFG_RGMII0_TXC_IN
pr2_pru1_gpi5
V9
rgmii0_txctl
1875
0
CFG_RGMII0_TXCTL_IN
pr2_pru1_gpi6
U6
rgmii0_txd0
1685
0
CFG_RGMII0_TXD0_IN
pr2_pru1_gpi10
V6
rgmii0_txd1
2131
0
CFG_RGMII0_TXD1_IN
pr2_pru1_gpi9
U7
rgmii0_txd2
1734
0
CFG_RGMII0_TXD2_IN
pr2_pru1_gpi8
V7
rgmii0_txd3
1764
0
CFG_RGMII0_TXD3_IN
pr2_pru1_gpi7
V2
uart3_rxd
1654
0
CFG_UART3_RXD_IN
pr2_pru1_gpi3
Y1
uart3_txd
1242
0
CFG_UART3_TXD_IN
pr2_pru1_gpi4
Manual IO Timings Modes must be used to guaranteed some IO timings for PRU-ICSS2 PRU1 IOSET2
Parallel Capture Mode. See Table 7-2 Modes Summary for a list of IO timings requiring the use of Manual
IO Timings Modes. See Table 7-168 Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Parallel
Capture Mode for a definition of the Manual modes.
Table 7-168 lists the A_DELAY and G_DELAY values needed to calculate the correct values to be set in
the CFG_x registers.
Table 7-168. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Parallel Capture Mode
BALL
BALL NAME
PR2_PRU1_PAR_CAP_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
C14
mcasp1_aclkx
1928
0
CFG_MCASP1_ACLKX_IN
pr2_pru1_gpi7
G12
mcasp1_axr0
2413
0
CFG_MCASP1_AXR0_IN
pr2_pru1_gpi8
F12
mcasp1_axr1
2523
25
CFG_MCASP1_AXR1_IN
pr2_pru1_gpi9
B13
mcasp1_axr10
2607
0
CFG_MCASP1_AXR10_IN
pr2_pru1_gpi12
A12
mcasp1_axr11
2669
92
CFG_MCASP1_AXR11_IN
pr2_pru1_gpi13
E14
mcasp1_axr12
2225
0
CFG_MCASP1_AXR12_IN
pr2_pru1_gpi14
A13
mcasp1_axr13
2315
0
CFG_MCASP1_AXR13_IN
pr2_pru1_gpi15
G14
mcasp1_axr14
0
0
CFG_MCASP1_AXR14_IN
pr2_pru1_gpi16
B12
mcasp1_axr8
2201
0
CFG_MCASP1_AXR8_IN
pr2_pru1_gpi10
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
351
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-168. Manual Functions Mapping for PRU-ICSS2 PRU1 IOSET2 Parallel Capture Mode (continued)
BALL
BALL NAME
PR2_PRU1_PAR_CAP_MANUAL2
A_DELAY (ps)
G_DELAY (ps)
CFG REGISTER
MUXMODE
12
A11
mcasp1_axr9
2293
278
CFG_MCASP1_AXR9_IN
pr2_pru1_gpi11
D17
mcasp4_axr1
1759
0
CFG_MCASP4_AXR1_IN
pr2_pru1_gpi0
AA3
mcasp5_aclkx
3732
1810
CFG_MCASP5_ACLKX_IN
pr2_pru1_gpi1
AB3
mcasp5_axr0
3776
2255
CFG_MCASP5_AXR0_IN
pr2_pru1_gpi3
AA4
mcasp5_axr1
3886
1923
CFG_MCASP5_AXR1_IN
pr2_pru1_gpi4
AB9
mcasp5_fsx
3800
1449
CFG_MCASP5_FSX_IN
pr2_pru1_gpi2
D18
xref_clk0
1375
21
CFG_XREF_CLK0_IN
pr2_pru1_gpi5
E17
xref_clk1
1320
0
CFG_XREF_CLK1_IN
pr2_pru1_gpi6
352
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.27 System and Miscellaneous interfaces
The Device includes the following System and Miscellaneous interfaces:
• Sysboot Interface
• System DMA Interface
• Interrupt Controllers (INTC) Interface
• Observability Signal (OBS) Interface
7.28 Test Interfaces
The Device includes the following Test interfaces:
• IEEE 1149.1 Standard-Test-Access Port (JTAG)
• Compact JTAG Interface (cJTAG)
• Trace Port Interface Unit (TPIU)
• Advanced Event Triggering Interface (AET)
7.28.1 IEEE 1149.1 Standard-Test-Access Port (JTAG)
The JTAG (IEEE Standard 1149.1-1990 Standard-Test-Access Port and Boundary Scan Architecture)
interface is used for BSDL testing and emulation of the device. The trstn pin only needs to be released
when it is necessary to use a JTAG controller to debug the device or exercise the device's boundary scan
functionality. For maximum reliability, the device includes an internal Pulldown (IPD) on the trstn pin to
ensure that trstn is always asserted upon power up and the device's internal emulation logic is always
properly initialized. JTAG controllers from Texas Instruments actively drive trstn high. However, some
third-party JTAG controllers may not drive trstn high but expect the use of a Pullup resistor on trstn. When
using this type of JTAG controller, assert trstn to initialize the device after powerup and externally drive
trstn high before attempting any emulation or boundary-scan operations.
The main JTAG features include:
• 32KB embedded trace buffer (ETB)
• 5-pin system trace interface for debug
• Supports Advanced Event Triggering (AET)
• All processors can be emulated via JTAG ports
• All functions on EMU pins of the device:
– EMU[1:0] - cross-triggering, boot mode (WIR), STM trace
– EMU[4:2] - STM trace only (single direction)
7.28.1.1 JTAG Electrical Data/Timing
Table 7-169, Table 7-170 and Figure 7-113 assume testing over the recommended operating conditions
and electrical characteristic conditions below.
Table 7-169. Timing Requirements for IEEE 1149.1 JTAG
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
1
tc(TCK)
Cycle time, TCK
62.29
ns
1a
tw(TCKH)
Pulse duration, TCK high (40% of tc)
24.92
ns
1b
tw(TCKL)
Pulse duration, TCK low (40% of tc)
24.92
ns
tsu(TDI-TCK)
Input setup time, TDI valid to TCK high
6.23
ns
tsu(TMS-TCK)
Input setup time, TMS valid to TCK high
6.23
ns
th(TCK-TDI)
Input hold time, TDI valid from TCK high
31.15
ns
th(TCK-TMS)
Input hold time, TMS valid from TCK high
31.15
ns
3
4
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
353
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-170. Switching Characteristics Over Recommended Operating Conditions for IEEE 1149.1 JTAG
NO.
2
PARAMETER
td(TCKL-TDOV)
DESCRIPTION
Delay time, TCK low to TDO valid
MIN
MAX
UNIT
0
30.5
ns
1
1a
1b
TCK
2
TDO
3
4
TDI/TMS
SPRS906_TIMING_JTAG_01
Figure 7-113. JTAG Timing
Table 7-171, Table 7-172 and Figure 7-114 assume testing over the recommended operating conditions
and electrical characteristic conditions below.
Table 7-171. Timing Requirements for IEEE 1149.1 JTAG With RTCK
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
1
tc(TCK)
Cycle time, TCK
62.29
ns
1a
tw(TCKH)
Pulse duration, TCK high (40% of tc)
24.92
ns
1b
tw(TCKL)
Pulse duration, TCK low (40% of tc)
24.92
ns
tsu(TDI-TCK)
Input setup time, TDI valid to TCK high
6.23
ns
tsu(TMS-TCK)
Input setup time, TMS valid to TCK high
6.23
ns
th(TCK-TDI)
Input hold time, TDI valid from TCK high
31.15
ns
th(TCK-TMS)
Input hold time, TMS valid from TCK high
31.15
ns
3
4
Table 7-172. Switching Characteristics Over Recommended Operating Conditions for
IEEE 1149.1 JTAG With RTCK
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
0
27
ns
5
td(TCK-RTCK)
Delay time, TCK to RTCK with no selected subpaths (i.e. ICEPick is
the only tap selected - when the ARM is in the scan chain, the delay
time is a function of the ARM functional clock).
6
tc(RTCK)
Cycle time, RTCK
62.29
ns
7
tw(RTCKH)
Pulse duration, RTCK high (40% of tc)
24.92
ns
8
tw(RTCKL)
Pulse duration, RTCK low (40% of tc)
24.92
ns
5
TCK
6
7
8
RTCK
SPRS906_TIMING_JTAG_02
Figure 7-114. JTAG With RTCK Timing
354
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
7.28.1.2 Compact JTAG Interface (cJTAG)
The cJTAG module is a component which can run a 2-pin communication protocol on top of an IEEE
1149.1 JTAG Test Access Port (TAP). The cJTAG logic serializes the IEEE 1149.1 transactions, using a
variety of compression formats, to reduce the number of pins needed to implement a JTAG debug and
boundary scan port.
Table 7-173, Table 7-174 and Figure 7-115 assume testing over the recommended operating conditions
and electrical characteristic conditions below.
Table 7-173. Timing Requirements for IEEE 1149.7 cJTAG
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
CJ1
tc(TCK)
Cycle time, TCK
62.29
ns
CJ1a
tw(TCKH)
Pulse duration, TCK high (40% of tc)
24.92
ns
CJ1b
tw(TCKL)
Pulse duration, TCK low(40% of tc)
24.92
ns
CJ9a
tsu(TMSC-TCKre)
Input setup time, TMSC valid to TCK high (RE timing
selected)
12.46
ns
CJ10a
tsu(TMSC-TCKfe)
Input setup time, TMSC valid to TCK low (FE timing
selected)
12.46
ns
CJ9b
th(TCKre-TMSC)
Input hold time, TMSC valid from TCK high (RE timing
selected)
0.00
ns
CJ10b
th(TCKfe-TMSC)
Input hold time, TMSC valid from TCK low (FE timing
selected)
0.00
ns
Table 7-174. Switching Characteristics Over Recommended Operating Conditions for IEEE 1149.7 cJTAG
MIN
MAX
UNIT
CJ11
NO.
td(TCKL-TMSCV)
PARAMETER
Delay time, TCK low to TMSC valid
DESCRIPTION
0
12.45
ns
CJ13
td(TCKH-TMSCZ)
Delay time, TCK high to TMSC HiZ
0
20.7
ns
CJ12
td(TMSCV-KPRV)
Delay time, TMSC valid to Keeper Valid
0
18
ns
CJ2
td(TCKL-TDOV)
Delay time, TCK low to TDO valid
0
29.14
ns
CJ1
CJ1b
CJ1a
jtag_tck
CJ9a
CJ9b
CJ10a
CJ10b
CJ11
CJ13
jtag_tms_tmsc(IN)
CJ2
jtag_tms_tmsc(OUT)
SPRS906_TIMING_JTAG_03
Figure 7-115. cJTAG Interface Timing-Normal Mode
7.28.2 Trace Port Interface Unit (TPIU)
CAUTION
The I/O timings provided in this section are valid only if signals within a single
IOSET are used. The IOSETs are defined in Table 7-176.
7.28.2.1 TPIU PLL DDR Mode
Table 7-175 and Figure 7-116 assume testing over the recommended operating conditions and electrical
characteristic conditions below.
Copyright © 2016, Texas Instruments Incorporated
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
355
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 7-175. Switching Characteristics for TPIU
NO.
PARAMETER
DESCRIPTION
MIN
MAX
UNIT
TPIU1
tc(clk)
Cycle time, TRACECLK period
5.56
TPIU4
td(clk-ctlV)
Skew time, TRACECLK transition to TRACECTL
transition
-1.61
1.98
ns
ns
TPIU5
td(clk-dataV)
Skew time, TRACECLK transition to TRACEDATA[17:0]
-1.61
1.98
ns
TPIU1
TPIU2
TPIU3
TRACECLK
TPIU4
TPIU4
TRACECTL
TPIU5
TPIU5
TRACEDATA[X:0]
SPRS906_TIMING_TIMER_01
Figure 7-116. TPIU-PLL DDR Transmit Mode(1)
(1) In d[X:0], X is equal to 15 or 17.
In Table 7-176 are presented the specific groupings of signals (IOSET) for use with TPIU signals.
Table 7-176. TPIU IOSETs
SIGNALS
356
IOSET1
IOSET2
BALL
MUX
BALL
MUX
emu19
E6
5
A10
2
emu18
F5
5
B9
2
emu17
E4
5
A9
2
emu16
C1
5
C9
2
emu15
F4
5
A8
2
emu14
D2
5
C7
2
emu13
E2
5
C8
2
emu12
D1
5
C6
2
emu11
F3
5
A5
2
emu10
F2
5
D8
2
emu9
G6
5
E7
2
emu8
G1
5
F8
2
emu7
H7
5
F9
2
emu6
G2
5
E9
2
emu5
E1
5
G11
2
emu4
A7
2
A7
2
emu3
D7
2
D7
2
emu2
F10
2
F10
2
emu1
D24
0
D24
0
emu0
G21
0
G21
0
Timing Requirements and Switching Characteristics
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
8 Applications, Implementation, and Layout
NOTE
Information in the following Applications section is not part of the TI component specification,
and TI does not warrant its accuracy or completeness. TI's customers are responsible for
determining suitability of components for their purposes. Customers should validate and test
their design implementation to confirm system functionality.
8.1
Power Supply Mapping
TPS65916 or TPS659037 are the Power Management ICs (PMICs) that should be used for the Device
designs. TI requires use of these PMICs for the following reasons:
• TI has validated their use with the Device
• Board level margins including transient response and output accuracy are analyzed and optimized for
the entire system
• Support for power sequencing requirements (refer to Section 5.9 Power Supply Sequences)
• Support for Adaptive Voltage Scaling (AVS) Class 0 requirements, including TI provided software
Whenever we allow for combining of rails mapped on any of the SMPSes, the PDN guidelines that are the
most stringent of the rails combined should be implemented for the particular supply rail.
It is possible that some voltage domains on the device are unused in some systems. In such cases, to
ensure device reliability, it is still required that the supply pins for the specific voltage domains are
connected to some core power supply output.
These unused supplies though can be combined with any of the core supplies that are used (active) in the
system. e.g. if IVA and GPU domains are not used, they can be combined with the CORE domain,
thereby having a single power supply driving the combined CORE, IVA and GPU domains.
For the combined rail, the following relaxations do apply:
• The AVS voltage of active rail in the combined rail needs to be used to set the power supply
• The decoupling capacitance should be set according to the active rail in the combined rail
Table 8-1 illustrates the approved and validated power supply connections to the Device for the SMPS
outputs of the TPS659037 PMIC.
Table 8-1. TPS659037 Power Supply Connections(1)
TPS659037 POWER SUPPLY
(2)
VALID COMBINATION 1:
VALID COMBINATION 2:
SMPS1/2
vdd_mpu
vdd_mpu
SMPS3
vdds_ddr1
vdds_ddr1
SMPS4/5
vdd_dsp, vdd_gpu, vdd_iva
vdd_dsp
SMPS6
vdd
vdd_gpu
SMPS7
SW configuration after boot
vdd
SMPS8
vdds18v
vdd_iva
SMPS9
SW configuration after boot 3.3V
vddshvx
LDO1
vddshv8
vddshv8
LDO2
vddshv5
vdds18v
LDO3
vdda_usb1, vdda_usb2,
vdda_csi,vdda_sata
vdda_usb1, vdda_usb2, vdda_csi,
vdda_sata
LDO4
vdda_hdmi, vdda_pcie,
vdda_pcie0, vdda_usb3
vdda_hdmi, vdda_pcie,
vdda_pcie0, vdda_usb3
LDO9
vdd_rtc
vdd_rtc
LDOLN
1.8V PLLs
1.8V PLLs
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
357
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 8-1. TPS659037 Power Supply Connections(1) (continued)
TPS659037 POWER SUPPLY
VALID COMBINATION 1:
VALID COMBINATION 2:
LDOUSB
vdda_usb3v3
vdda_usb3v3
(1) Power consumption is highly application-specific. Separate analysis must be performed to ensure output current ratings (average and
peak) is within the limits of the PMIC for all rails of the device.
(2) Refer to the PMIC data manual for the latest TPS659037 specifications.
(3) For more information on connectivity with the TPS659037 PMIC, see the TPS659037 User’s Guide to Power AM572x (SLIU011).
(4) A product’s maximum ambient temperature, thermal system design & heat spreading performance could limit the maximum power
dissipation below the full PMIC capacity in order to not exceed recommended SoC max Tj.
Table 8-2 illustrates the approved and validated power supply connections to the Device for the SMPS
outputs of the TPS65916 PMIC.
Table 8-2. TPS65916 Power Supply Connections
8.2
TPS65916 POWER SUPPLY
VALID COMBINATION 1:
SMPS1
vdd_mpu
SMPS2
vdd
SMPS3
vdd_dsp, vdd_gpu, vdd_iva
SMPS4
vdds18v
SMPS5
vdds_ddr1
DDR3 Board Design and Layout Guidelines
8.2.1
DDR3 General Board Layout Guidelines
To
•
•
•
•
•
•
•
•
•
•
•
8.2.2
help ensure good signaling performance, consider the following board design guidelines:
Avoid crossing splits in the power plane.
Minimize Vref noise.
Use the widest trace that is practical between decoupling capacitors and memory module.
Maintain a single reference.
Minimize ISI by keeping impedances matched.
Minimize crosstalk by isolating sensitive bits, such as strobes, and avoiding return path discontinuities.
Use proper low-pass filtering on the Vref pins.
Keep the stub length as short as possible.
Add additional spacing for on-clock and strobe nets to eliminate crosstalk.
Maintain a common ground reference for all bypass and decoupling capacitors.
Take into account the differences in propagation delays between microstrip and stripline nets when
evaluating timing constraints.
DDR3 Board Design and Layout Guidelines
8.2.2.1
Board Designs
TI only supports board designs using DDR3 memory that follow the guidelines in this document. The
switching characteristics and timing diagram for the DDR3 memory controller are shown in Table 8-3 and
Figure 8-1.
Table 8-3. Switching Characteristics Over Recommended Operating Conditions for DDR3 Memory
Controller
NO.
1
358
PARAMETER
tc(DDR_CLK)
Cycle time, DDR_CLK
Applications, Implementation, and Layout
MIN
MAX
UNIT
1.5
2.5(1)
ns
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(1) This is the absolute maximum the clock period can be. Actual maximum clock period may be limited by DDR3 speed grade and
operating frequency (see the DDR3 memory device data sheet).
1
DDR_CLK
SPRS906_PCB_DDR3_01
Figure 8-1. DDR3 Memory Controller Clock Timing
8.2.2.2
DDR3 EMIF
The processor contains one DDR3 EMIF.
8.2.2.3
DDR3 Device Combinations
Because there are several possible combinations of device counts and single- or dual-side mounting,
Table 8-4 summarizes the supported device configurations.
Table 8-4. Supported DDR3 Device Combinations
NUMBER OF DDR3 DEVICES
DDR3 DEVICE WIDTH (BITS)
MIRRORED?
DDR3 EMIF WIDTH (BITS)
1
16
N
16
Y
(1)
2
8
2
16
N
16
32
2
16
Y(1)
32
3
16
N
(3)
32
4
8
N
32
4
8
Y(2)
32
5
8
N
(3)
32
(1) Two DDR3 devices are mirrored when one device is placed on the top of the board and the second device is placed on the bottom of
the board.
(2) This is two mirrored pairs of DDR3 devices.
(3) Three or five DDR3 device combination is not available on this device, but combination types are retained for consistency with the
AM57xx family of devices.
8.2.2.4
DDR3 Interface Schematic
8.2.2.4.1 32-Bit DDR3 Interface
The DDR3 interface schematic varies, depending upon the width of the DDR3 devices used and the width
of the bus used (16 or 32 bits). General connectivity is straightforward and very similar. 16-bit DDR
devices look like two 8-bit devices. Figure 8-2 and Figure 8-3 show the schematic connections for 32-bit
interfaces using x16 devices.
8.2.2.4.2 16-Bit DDR3 Interface
Note that the 16-bit wide interface schematic is practically identical to the 32-bit interface (see Figure 8-2
and Figure 8-3); only the high-word DDR memories are removed and the unused DQS inputs are tied off.
When not using all or part of a DDR interface, the proper method of handling the unused pins is to tie off
the ddrx_dqsi pins to ground via a 1k-Ω resistor and to tie off the ddrx_dqsni pins to the corresponding
vdds_ddrx supply via a 1k-Ω resistor. This needs to be done for each byte not used. Although these
signals have internal pullups and pulldowns, external pullups and pulldowns provide additional protection
against external electrical noise causing activity on the signals.
The vdds_ddrx and ddrx_vref0 power supply pins need to be connected to their respective power supplies
even if ddrx is not being used. All other DDR interface pins can be left unconnected. Note that the
supported modes for use of the DDR EMIF are 32-bits wide, 16-bits wide, or not used.
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
359
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
32-bit DDR3 EMIF
16-Bit DDR3
Devices
ddr1_d31
DQ15
8
ddr1_d24
DQ8
ddr1_dqm3
ddr1_dqs3
ddr1_dqsn3
UDM
UDQS
UDQS
ddr1_d23
DQ7
8
ddr1_d16
ddr1_dqm2
ddr1_dqs2
ddr1_dqsn2
D08
LDM
LDQS
LDQS
ddr1_d15
DQ15
8
ddr1_d8
DQ8
ddr1_dqm1
ddr1_dqs1
ddr1_dqsn1
ddr1_d7
UDM
UDQS
UDQS
DQ7
8
ddr1_d0
ddr1_dqm0
ddr1_dqs0
ddr1_dqsn0
ddr1_ck
ddr1_nck
ddr1_odt0
ddr1_csn0
ddr1_odt1
ddr1_csn1
ddr1_ba0
ddr1_ba1
ddr1_ba2
ddr1_a0
ODT
CS
ODT
CS
BA0
BA1
BA2
A0
BA0
BA1
BA2
A0
A15
CAS
RAS
WE
CKE
RST
ZQ
VREFDQ
VREFCA
A15
CAS
RAS
WE
CKE
RST
Zo
0.1 µF
DDR_1V5
Zo
NC
16
ZQ
ddr1_vref0
0.1 µF
Zo
CK
CK
NC
ddr1_a15
ddr1_casn
ddr1_rasn
ddr1_wen
ddr1_cke
ddr1_rst
ZQ
DQ0
LDM
LDQS
LDQS
CK
CK
0.1 µF
DDR_VTT
Zo
Zo
DDR_VREF
ZQ
VREFDQ
VREFCA
ZQ
0.1 µF
Termination is required. See terminator comments.
Value determined according to the DDR memory device data sheet.
SPRS906_PCB_DDR3_02
Figure 8-2. 32-Bit, One-Bank DDR3 Interface Schematic Using Two 16-Bit DDR3 Devices
360
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
32-bit DDR3 EMIF
8-Bit DDR3
Devices
8-Bit DDR3
Devices
ddrx_d31
DQ7
8
ddrx_d24
ddrx_dqm3
DQ0
NC
ddrx_dqs3
ddrx_dqsn3
DM/TQS
TDQS
DQS
DQS
ddrx_d23
DQ7
8
ddrx_d16
ddrx_dqm2
DQ0
DM/TQS
TDQS
DQS
DQS
NC
ddrx_dqs2
ddrx_dqsn2
ddrx_d15
DQ7
8
ddrx_d8
ddrx_dqm1
NC
ddrx_dqs1
ddrx_dqsn1
ddrx_d7
DQ0
DM/TQS
TDQS
DQS
DQS
DQ7
8
ddrx_d0
NC
ddrx_dqm0
ddrx_dqs0
ddrx_dqsn0
ddrx_ck
ddrx_nck
ddrx_odt0
ddrx_csn0
ddrx_odt1
ddrx_csn1
ddrx_ba0
ddrx_ba1
ddrx_ba2
ddrx_a0
16
ZQ
ddrx_vref0
0.1 µF
ZQ
CK
CK
CK
CK
CK
CK
ODT
CS
ODT
CS
ODT
CS
ODT
CS
BA0
BA1
BA2
A0
BA0
BA1
BA2
A0
BA0
BA1
BA2
A0
BA0
BA1
BA2
A0
Zo
0.1 µF
DDR_1V5
Zo
NC
NC
ddrx_a15
ddrx_casn
ddrx_rasn
ddrx_wen
ddrx_cke
ddrx_rst
Zo
DQ0
TDQS
DM/TQS
DQS
DQS
CK
CK
A15
CAS
RAS
WE
CKE
RST
A15
CAS
RAS
WE
CKE
RST
ZQ
VREFDQ
VREFCA
ZQ
VREFDQ
VREFCA
0.1 µF
0.1 µF
ZQ
A15
CAS
RAS
WE
CKE
RST
ZQ
VREFDQ
VREFCA
ZQ
0.1 µF
A15
CAS
RAS
WE
CKE
RST
ZQ
VREFDQ
VREFCA
DDR_VTT
Zo
Zo
DDR_VREF
ZQ
0.1 µF
Termination is required. See terminator comments.
Value determined according to the DDR memory device data sheet.
SPRS906_PCB_DDR3_03
Figure 8-3. 32-Bit, One-Bank DDR3 Interface Schematic Using Four 8-Bit DDR3 Devices
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
361
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
8.2.2.5
www.ti.com
Compatible JEDEC DDR3 Devices
Table 8-5 shows the parameters of the JEDEC DDR3 devices that are compatible with this interface.
Generally, the DDR3 interface is compatible with DDR3-1333 devices in the x8 or x16 widths.
Table 8-5. Compatible JEDEC DDR3 Devices (Per Interface)
NO.
1
PARAMETER
CONDITION
JEDEC DDR3 device speed grade(1)
MIN
MAX
DDR clock rate = 400MHz
DDR3-800
DDR3-1600
400MHz< DDR clock rate ≤ 533MHz
DDR3-1066
DDR3-1600
533MHz < DDR clock rate ≤ 667MHz
DDR3-1333
DDR3-1600
UNIT
2
JEDEC DDR3 device bit width
x8
x16
Bits
3
JEDEC DDR3 device count(2)
2
4
Devices
(1) Refer to Table 8-3 Switching Characteristics Over Recommended Operating Conditions for DDR3 Memory Controller for the range of
supported DDR clock rates.
(2) For valid DDR3 device configurations and device counts, see Section 8.2.2.4, Figure 8-2, and Figure 8-3.
8.2.2.6
PCB Stackup
The minimum stackup for routing the DDR3 interface is a six-layer stack up as shown in Table 8-6.
Additional layers may be added to the PCB stackup to accommodate other circuitry, enhance SI/EMI
performance, or to reduce the size of the PCB footprint. Complete stackup specifications are provided in
Table 8-7.
Table 8-6. Six-Layer PCB Stackup Suggestion
362
LAYER
TYPE
DESCRIPTION
1
Signal
Top routing mostly vertical
2
Plane
Ground
3
Plane
Split power plane
4
Plane
Split power plane or Internal routing
5
Plane
Ground
6
Signal
Bottom routing mostly horizontal
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 8-7. PCB Stackup Specifications
NO.
PARAMETER
MIN
PS1
PCB routing/plane layers
6
PS2
Signal routing layers
3
PS3
Full ground reference layers under DDR3 routing region(1)
TYP
MAX
1
(1)
PS4
Full 1.5-V power reference layers under the DDR3 routing region
PS5
Number of reference plane cuts allowed within DDR routing region(2)
0
PS6
Number of layers between DDR3 routing layer and reference plane(3)
0
PS7
PCB routing feature size
4
PS8
PCB trace width, w
4
PS9
Single-ended impedance, Zo
PS10
UNIT
1
Mils
Mils
50
(5)
Impedance control
Z-5
Z
75
Ω
Z+5
Ω
(1) Ground reference layers are preferred over power reference layers. Be sure to include bypass caps to accommodate reference layer
return current as the trace routes switch routing layers.
(2) No traces should cross reference plane cuts within the DDR routing region. High-speed signal traces crossing reference plane cuts
create large return current paths which can lead to excessive crosstalk and EMI radiation.
(3) Reference planes are to be directly adjacent to the signal plane to minimize the size of the return current loop.
(4) An 18-mil pad assumes Via Channel is the most economical BGA escape. A 20-mil pad may be used if additional layers are available
for power routing. An 18-mil pad is required for minimum layer count escape.
(5) Z is the nominal singled-ended impedance selected for the PCB specified by PS9.
8.2.2.7
Placement
Figure 8-4 shows the required placement for the processor as well as the DDR3 devices. The dimensions
for this figure are defined in Table 8-8. The placement does not restrict the side of the PCB on which the
devices are mounted. The ultimate purpose of the placement is to limit the maximum trace lengths and
allow for proper routing space. For a 16-bit DDR memory system, the high-word DDR3 devices are
omitted from the placement.
x3
x2
x1
y2
y1
x1+x2+x3
y2
y2
DDR3
Controller
DDR3
Controller
y2
y2
Four Devices
Five Devices
SPRS906_PCB_DDR3_04
Figure 8-4. Placement Specifications
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
363
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Table 8-8. Placement Specifications DDR3
MAX
UNIT
KOD31
NO.
X1
PARAMETER
MIN
500
Mils
KOD32
X2
600
Mils
KOD33
X3
600
Mils
KOD34
Y1
1800
Mils
KOD35
Y2
600
Mils
KOD36
DDR3 keepout region (1)
KOD37
Clearance from non-DDR3 signal to DDR3 keepout region (2) (3)
4
W
(1) DDR3 keepout region to encompass entire DDR3 routing area.
(2) Non-DDR3 signals allowed within DDR3 keepout region provided they are separated from DDR3 routing layers by a ground plane.
(3) If a device has more than one DDR controller, the signals from the other controller(s) are considered non-DDR3 and should be
separated by this specification.
8.2.2.8
DDR3 Keepout Region
The region of the PCB used for DDR3 circuitry must be isolated from other signals. The DDR3 keepout
region is defined for this purpose and is shown in Figure 8-5. The size of this region varies with the
placement and DDR routing. Additional clearances required for the keepout region are shown in Table 88. Non-DDR3 signals should not be routed on the DDR signal layers within the DDR3 keepout region.
Non-DDR3 signals may be routed in the region, provided they are routed on layers separated from the
DDR signal layers by a ground layer. No breaks should be allowed in the reference ground layers in this
region. In addition, the 1.5-V DDR3 power plane should cover the entire keepout region. Also note that the
two signals from the DDR3 controller should be separated from each other by the specification in Table 88, (see KOD37).
DDR3 Keepout Region
DDR3 Keepout Region
DDR3
Controller
Five Devices
DDR3
Controller
Four Devices
SPRS906_PCB_DDR3_05
Figure 8-5. DDR3 Keepout Region
364
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
8.2.2.9
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Bulk Bypass Capacitors
Bulk bypass capacitors are required for moderate speed bypassing of the DDR3 and other circuitry.
Table 8-9 contains the minimum numbers and capacitance required for the bulk bypass capacitors. Note
that this table only covers the bypass needs of the DDR3 controllers and DDR3 devices. Additional bulk
bypass capacitance may be needed for other circuitry.
Table 8-9. Bulk Bypass Capacitors
NO.
PARAMETER
MIN
MAX
UNIT
1
vdds_ddrx bulk bypass capacitor count(1)
1
Devices
2
vdds_ddrx bulk bypass total capacitance
22
μF
(1) These devices should be placed near the devices they are bypassing, but preference should be given to the placement of the highspeed (HS) bypass capacitors and DDR3 signal routing.
8.2.2.10 High-Speed Bypass Capacitors
High-speed (HS) bypass capacitors are critcal for proper DDR3 interface operation. It is particularly
important to minimize the parasitic series inductance of the HS bypass capacitors, processor/DDR power,
and processor/DDR ground connections. Table 8-10 contains the specification for the HS bypass
capacitors as well as for the power connections on the PCB. Generally speaking, it is good to:
1. Fit as many HS bypass capacitors as possible.
2. Minimize the distance from the bypass cap to the pins/balls being bypassed.
3. Use the smallest physical sized capacitors possible with the highest capacitance readily available.
4. Connect the bypass capacitor pads to their vias using the widest traces possible and using the largest
hole size via possible.
5. Minimize via sharing. Note the limites on via sharing shown in Table 8-10.
Table 8-10. High-Speed Bypass Capacitors
NO.
PARAMETER
MIN
TYP
MAX
UNIT
0201
0402
10 Mils
1
HS bypass capacitor package size(1)
2
Distance, HS bypass capacitor to processor being bypassed(2)(3)(4)
3
Processor HS bypass capacitor count per vdds_ddrx rail
See Section 8.4 and (11)
Devices
4
Processor HS bypass capacitor total capacitance per vdds_ddrx rail
See Section 8.4 and (11)
μF
400
Mils
(5)
5
Number of connection vias for each device power/ground ball
6
Trace length from device power/ground ball to connection via(2)
Vias
7
Distance, HS bypass capacitor to DDR device being bypassed(6)
8
DDR3 device HS bypass capacitor count(7)
9
DDR3 device HS bypass capacitor total capacitance(7)
0.85
μF
10
Number of connection vias for each HS capacitor(8)(9)
2
Vias
11
Trace length from bypass capacitor connect to connection via(2)(9)
35
70
Mils
150
12
(10)
12
Number of connection vias for each DDR3 device power/ground ball
13
Trace length from DDR3 device power/ground ball to connection via(2)(8)
Mils
Devices
35
100
35
60
1
Mils
Vias
Mils
(1) LxW, 10-mil units, that is, a 0402 is a 40x20-mil surface-mount capacitor.
(2) Closer/shorter is better.
(3) Measured from the nearest processor power/ground ball to the center of the capacitor package.
(4) Three of these capacitors should be located underneath the processor, between the cluster of DDR_1V5 balls and ground balls,
between the DDR interfaces on the package.
(5) See the Via Channel™ escape for the processor package.
(6) Measured from the DDR3 device power/ground ball to the center of the capacitor package.
(7) Per DDR3 device.
(8) An additional HS bypass capacitor can share the connection vias only if it is mounted on the opposite side of the board. No sharing of
vias is permitted on the same side of the board.
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
365
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
(9) An HS bypass capacitor may share a via with a DDR device mounted on the same side of the PCB. A wide trace should be used for the
connection and the length from the capacitor pad to the DDR device pad should be less than 150 mils.
(10) Up to a total of two pairs of DDR power/ground balls may share a via.
(11) The capacitor recommendations in this data manual reflect only the needs of this processor. Please see the memory vendor’s
guidelines for determining the appropriate decoupling capacitor arrangement for the memory device itself.
8.2.2.10.1 Return Current Bypass Capacitors
Use additional bypass capacitors if the return current reference plane changes due to DDR3 signals
hopping from one signal layer to another. The bypass capacitor here provides a path for the return current
to hop planes along with the signal. As many of these return current bypass capacitors should be used as
possible. Because these are returns for signal current, the signal via size may be used for these
capacitors.
8.2.2.11 Net Classes
Table 8-11 lists the clock net classes for the DDR3 interface. Table 8-12 lists the signal net classes, and
associated clock net classes, for signals in the DDR3 interface. These net classes are used for the
termination and routing rules that follow.
Table 8-11. Clock Net Class Definitions
CLOCK NET CLASS
CK
Processor PIN NAMES
ddrx_ck/ddrx_nck
DQS0
ddrx_dqs0 / ddrx_dqsn0
DQS1
ddrx_dqs1 / ddrx_dqsn1
DQS2(1)
ddrx_dqs2 / ddrx_dqsn2
(1)
ddrx_dqs3 / ddrx_dqsn3
DQS3
(1) Only used on 32-bit wide DDR3 memory systems.
Table 8-12. Signal Net Class Definitions
SIGNAL NET CLASS
ASSOCIATED CLOCK
NET CLASS
ADDR_CTRL
CK
DQ0
DQS0
ddrx_d[7:0], ddrx_dqm0
DQ1
DQS1
ddrx_d[15:8], ddrx_dqm1
DQ2
(1)
DQS2
ddrx_d[23:16], ddrx_dqm2
DQ3(1)
DQS3
ddrx_d[31:24], ddrx_dqm3
Processor PIN NAMES
ddrx_ba[2:0], ddrx_a[14:0], ddrx_csnj, ddrx_casn, ddrx_rasn, ddrx_wen,
ddrx_cke, ddrx_odti
(1) Only used on 32-bit wide DDR3 memory systems.
8.2.2.12 DDR3 Signal Termination
Signal terminators are required for the CK and ADDR_CTRL net classes. The data lines are terminated by
ODT and, thus, the PCB traces should be unterminated. Detailed termination specifications are covered in
the routing rules in the following sections.
8.2.2.13 VREF_DDR Routing
ddrx_vref0 (VREF) is used as a reference by the input buffers of the DDR3 memories as well as the
processor. VREF is intended to be half the DDR3 power supply voltage and is typically generated with the
DDR3 VDDS and VTT power supply. It should be routed as a nominal 20-mil wide trace with 0.1 µF
bypass capacitors near each device connection. Narrowing of VREF is allowed to accommodate routing
congestion.
366
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
8.2.2.14 VTT
Like VREF, the nominal value of the VTT supply is half the DDR3 supply voltage. Unlike VREF, VTT is
expected to source and sink current, specifically the termination current for the ADDR_CTRL net class
Thevinen terminators. VTT is needed at the end of the address bus and it should be routed as a power
sub-plane. VTT should be bypassed near the terminator resistors.
8.2.2.15 CK and ADDR_CTRL Topologies and Routing Definition
The CK and ADDR_CTRL net classes are routed similarly and are length matched to minimize skew
between them. CK is a bit more complicated because it runs at a higher transition rate and is differential.
The following subsections show the topology and routing for various DDR3 configurations for CK and
ADDR_CTRL. The figures in the following subsections define the terms for the routing specification
detailed in Table 8-13.
8.2.2.15.1 Four DDR3 Devices
Four DDR3 devices are supported on the DDR EMIF consisting of four x8 DDR3 devices arranged as one
bank (CS). These four devices may be mounted on a single side of the PCB, or may be mirrored in two
pairs to save board space at a cost of increased routing complexity and parts on the backside of the PCB.
8.2.2.15.1.1 CK and ADDR_CTRL Topologies, Four DDR3 Devices
Figure 8-6 shows the topology of the CK net classes and Figure 8-7 shows the topology for the
corresponding ADDR_CTRL net classes.
+ –
+ –
+ –
+ –
AS+
AS-
AS+
AS-
AS+
AS-
AS+
AS-
DDR Differential CK Input Buffers
Clock Parallel
Terminator
DDR_1V5
Rcp
A1
Processor
Differential Clock
Output Buffer
A2
A3
A4
A3
AT
Cac
+
–
Rcp
A1
A2
A3
A4
A3
0.1 µF
AT
Routed as Differential Pair
SPRS906_PCB_DDR3_06
Figure 8-6. CK Topology for Four x8 DDR3 Devices
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
367
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Processor
Address and Control
Output Buffer
A1
A3
A2
AS
AS
AS
AS
DDR Address and Control Input Buffers
A3
A4
Address and Control
Terminator
Rtt
Vtt
AT
SPRS906_PCB_DDR3_07
Figure 8-7. ADDR_CTRL Topology for Four x8 DDR3 Devices
8.2.2.15.1.2 CK and ADDR_CTRL Routing, Four DDR3 Devices
A1
A1
Figure 8-8 shows the CK routing for four DDR3 devices placed on the same side of the PCB. Figure 8-9
shows the corresponding ADDR_CTRL routing.
DDR_1V5
A3
A3
=
A4
A4
A3
A3
Rcp
Cac
Rcp
0.1 µF
AT
AT
AS+
AS-
A2
A2
SPRS906_PCB_DDR3_08
Figure 8-8. CK Routing for Four Single-Side DDR3 Devices
368
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
A1
www.ti.com
Rtt
A3
=
A3
A4
AT
Vtt
AS
A2
SPRS906_PCB_DDR3_09
Figure 8-9. ADDR_CTRL Routing for Four Single-Side DDR3 Devices
A1
A1
To save PCB space, the four DDR3 memories may be mounted as two mirrored pairs at a cost of
increased routing and assembly complexity. Figure 8-10 and Figure 8-11 show the routing for CK and
ADDR_CTRL, respectively, for four DDR3 devices mirrored in a two-pair configuration.
DDR_1V5
=
A4
A4
A3
A3
Rcp
Cac
Rcp
0.1 µF
AT
AT
AS+
AS-
A3
A3
A2
A2
SPRS906_PCB_DDR3_10
Figure 8-10. CK Routing for Four Mirrored DDR3 Devices
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
369
AM5718, AM5716
www.ti.com
A1
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Rtt
=
A3
A4
AT
Vtt
AS
A3
A2
SPRS906_PCB_DDR3_11
Figure 8-11. ADDR_CTRL Routing for Four Mirrored DDR3 Devices
8.2.2.15.2 Two DDR3 Devices
Two DDR3 devices are supported on the DDR EMIF consisting of two x8 DDR3 devices arranged as one
bank (CS), 16 bits wide, or two x16 DDR3 devices arranged as one bank (CS), 32 bits wide. These two
devices may be mounted on a single side of the PCB, or may be mirrored in a pair to save board space at
a cost of increased routing complexity and parts on the backside of the PCB.
8.2.2.15.2.1 CK and ADDR_CTRL Topologies, Two DDR3 Devices
Figure 8-12 shows the topology of the CK net classes and Figure 8-13 shows the topology for the
corresponding ADDR_CTRL net classes.
+ –
+ –
AS+
AS-
AS+
AS-
DDR Differential CK Input Buffers
Clock Parallel
Terminator
DDR_1V5
Rcp
A1
Processor
Differential Clock
Output Buffer
A2
A3
AT
Cac
+
–
Rcp
A1
A2
A3
0.1 µF
AT
Routed as Differential Pair
SPRS906_PCB_DDR3_12
Figure 8-12. CK Topology for Two DDR3 Devices
370
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Processor
Address and Control
Output Buffer
A1
AS
AS
DDR Address and Control Input Buffers
Address and Control
Terminator
Rtt
Vtt
AT
A3
A2
SPRS906_PCB_DDR3_13
Figure 8-13. ADDR_CTRL Topology for Two DDR3 Devices
8.2.2.15.2.2 CK and ADDR_CTRL Routing, Two DDR3 Devices
A1
A1
Figure 8-14 shows the CK routing for two DDR3 devices placed on the same side of the PCB. Figure 8-15
shows the corresponding ADDR_CTRL routing.
DDR_1V5
A3
A3
=
Rcp
Cac
Rcp
0.1 µF
AT
AT
AS+
AS-
A2
A2
SPRS906_PCB_DDR3_14
Figure 8-14. CK Routing for Two Single-Side DDR3 Devices
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
371
AM5718, AM5716
www.ti.com
A1
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Rtt
A3
=
Vtt
AT
AS
A2
SPRS906_PCB_DDR3_15
Figure 8-15. ADDR_CTRL Routing for Two Single-Side DDR3 Devices
A1
A1
To save PCB space, the two DDR3 memories may be mounted as a mirrored pair at a cost of increased
routing and assembly complexity. Figure 8-16 and Figure 8-17 show the routing for CK and ADDR_CTRL,
respectively, for two DDR3 devices mirrored in a single-pair configuration.
DDR_1V5
=
Rcp
Cac
Rcp
0.1 µF
AT
AT
AS+
AS-
A3
A3
A2
A2
SPRS906_PCB_DDR3_16
Figure 8-16. CK Routing for Two Mirrored DDR3 Devices
372
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
A1
www.ti.com
Rtt
=
Vtt
AT
AS
A3
A2
SPRS906_PCB_DDR3_17
Figure 8-17. ADDR_CTRL Routing for Two Mirrored DDR3 Devices
8.2.2.15.3 One DDR3 Device
A single DDR3 device is supported on the DDR EMIF consisting of one x16 DDR3 device arranged as
one bank (CS), 16 bits wide.
8.2.2.15.3.1 CK and ADDR_CTRL Topologies, One DDR3 Device
Figure 8-18 shows the topology of the CK net classes and Figure 8-19 shows the topology for the
corresponding ADDR_CTRL net classes.
DDR Differential CK Input Buffer
AS+
AS-
+ –
Clock Parallel
Terminator
DDR_1V5
Rcp
A1
Processor
Differential Clock
Output Buffer
A2
AT
Cac
+
–
Rcp
A1
A2
0.1 µF
AT
Routed as Differential Pair
SPRS906_PCB_DDR3_18
Figure 8-18. CK Topology for One DDR3 Device
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
373
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
AS
DDR Address and Control Input Buffers
Processor
Address and Control
Output Buffer
A1
Address and Control
Terminator
Rtt
AT
Vtt
A2
SPRS906_PCB_DDR3_19
Figure 8-19. ADDR_CTRL Topology for One DDR3 Device
8.2.2.15.3.2 CK and ADDR/CTRL Routing, One DDR3 Device
A1
A1
Figure 8-20 shows the CK routing for one DDR3 device placed on the same side of the PCB. Figure 8-21
shows the corresponding ADDR_CTRL routing.
DDR_1V5
Rcp
Cac
Rcp
0.1 µF
AT
AT
=
AS+
AS-
A2
A2
SPRS906_PCB_DDR3_20
Figure 8-20. CK Routing for One DDR3 Device
374
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
A1
www.ti.com
Rtt
AT
=
Vtt
AS
A2
SPRS906_PCB_DDR3_21
Figure 8-21. ADDR_CTRL Routing for One DDR3 Device
8.2.2.16 Data Topologies and Routing Definition
No matter the number of DDR3 devices used, the data line topology is always point to point, so its
definition is simple.
Care should be taken to minimize layer transitions during routing. If a layer transition is necessary, it is
better to transition to a layer using the same reference plane. If this cannot be accommodated, ensure
there are nearby ground vias to allow the return currents to transition between reference planes if both
reference planes are ground or vdds_ddr. Ensure there are nearby bypass capacitors to allow the return
currents to transition between reference planes if one of the reference planes is ground. The goal is to
minimize the size of the return current loops.
8.2.2.16.1 DQS and DQ/DM Topologies, Any Number of Allowed DDR3 Devices
DQS lines are point-to-point differential, and DQ/DM lines are point-to-point singled ended. Figure 8-22
and Figure 8-23 show these topologies.
Processor
DQS
IO Buffer
DQSn+
DQSn-
DDR
DQS
IO Buffer
Routed Differentially
n = 0, 1, 2, 3
SPRS906_PCB_DDR3_22
Figure 8-22. DQS Topology
Processor
DQ and DM
IO Buffer
Dn
DDR
DQ and DM
IO Buffer
n = 0, 1, 2, 3
SPRS906_PCB_DDR3_23
Figure 8-23. DQ/DM Topology
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
375
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
8.2.2.16.2 DQS and DQ/DM Routing, Any Number of Allowed DDR3 Devices
Figure 8-24 and Figure 8-25 show the DQS and DQ/DM routing.
DQS
DQSn+
DQSn-
Routed Differentially
n = 0, 1, 2, 3
SPRS906_PCB_DDR3_24
Figure 8-24. DQS Routing With Any Number of Allowed DDR3 Devices
Dn
DQ and DM
n = 0, 1, 2, 3
SPRS906_PCB_DDR3_25
Figure 8-25. DQ/DM Routing With Any Number of Allowed DDR3 Devices
8.2.2.17 Routing Specification
8.2.2.17.1 CK and ADDR_CTRL Routing Specification
Skew within the CK and ADDR_CTRL net classes directly reduces setup and hold margin and, thus, this
skew must be controlled. The only way to practically match lengths on a PCB is to lengthen the shorter
traces up to the length of the longest net in the net class and its associated clock. A metric to establish
this maximum length is Manhattan distance. The Manhattan distance between two points on a PCB is the
length between the points when connecting them only with horizontal or vertical segments. A reasonable
trace route length is to within a percentage of its Manhattan distance. CACLM is defined as Clock Address
Control Longest Manhattan distance.
376
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Given the clock and address pin locations on the processor and the DDR3 memories, the maximum
possible Manhattan distance can be determined given the placement. Figure 8-26 and Figure 8-27 show
this distance for four loads and two loads, respectively. It is from this distance that the specifications on
the lengths of the transmission lines for the address bus are determined. CACLM is determined similarly
for other address bus configurations; that is, it is based on the longest net of the CK/ADDR_CTRL net
class. For CK and ADDR_CTRL routing, these specifications are contained in Table 8-13.
(A)
A1
A8
CACLMY
CACLMX
A8
(A)
A8
(A)
A8
(A)
A8
(A)
Rtt
A3
=
A4
A3
AT
Vtt
AS
A2
SPRS906_PCB_DDR3_26
A.
It is very likely that the longest CK/ADDR_CTRL Manhattan distance will be for Address Input 8 (A8) on the DDR3
memories. CACLM is based on the longest Manhattan distance due to the device placement. Verify the net class that
satisfies this criteria and use as the baseline for CK/ADDR_CTRL skew matching and length control.
The length of shorter CK/ADDR_CTRL stubs as well as the length of the terminator stub are not included in this
length calculation. Non-included lengths are grayed out in the figure.
Assuming A8 is the longest, CALM = CACLMY + CACLMX + 300 mils.
The extra 300 mils allows for routing down lower than the DDR3 memories and returning up to reach A8.
Figure 8-26. CACLM for Four Address Loads on One Side of PCB
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
377
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
(A)
A1
A8
www.ti.com
CACLMY
CACLMX
A8
(A)
A8
(A)
Rtt
A3
=
AT
Vtt
AS
A2
SPRS906_PCB_DDR3_27
A.
It is very likely that the longest CK/ADDR_CTRL Manhattan distance will be for Address Input 8 (A8) on the DDR3
memories. CACLM is based on the longest Manhattan distance due to the device placement. Verify the net class that
satisfies this criteria and use as the baseline for CK/ADDR_CTRL skew matching and length control.
The length of shorter CK/ADDR_CTRL stubs as well as the length of the terminator stub are not included in this
length calculation. Non-included lengths are grayed out in the figure.
Assuming A8 is the longest, CALM = CACLMY + CACLMX + 300 mils.
The extra 300 mils allows for routing down lower than the DDR3 memories and returning up to reach A8.
Figure 8-27. CACLM for Two Address Loads on One Side of PCB
Table 8-13. CK and ADDR_CTRL Routing Specification(2)(3)
NO.
PARAMETER
MAX
UNIT
500(1)
ps
A1+A2 skew
29
ps
A3 length
125
ps
CARS34
A3 skew(4)
6
ps
CARS35
(5)
A3 skew
6
ps
CARS36
A4 length
125
ps
CARS37
A4 skew
6
ps
CARS38
AS length
17
ps
1.3
14
ps
5
12
ps
1
ps
CARS31
A1+A2 length
CARS32
CARS33
MIN
TYP
5(1)
(1)
CARS39
AS skew
CARS310
AS+/AS- length
CARS311
AS+/AS- skew
(6)
CARS312
AT length
75
CARS313
AT skew(7)
14
CARS314
AT skew(8)
CARS315
CK/ADDR_CTRL trace length
1020
ps
CARS316
Vias per trace
3(1)
vias
CARS317
Via count difference
1(15)
vias
CARS318
Center-to-center CK to other DDR3 trace spacing(9)
4w
CARS319
Center-to-center ADDR_CTRL to other DDR3 trace spacing(9)(10)
4w
CARS320
Center-to-center ADDR_CTRL to other ADDR_CTRL trace
spacing(9)
3w
CARS321
CK center-to-center spacing(11) (12)
378
ps
ps
1
Applications, Implementation, and Layout
ps
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 8-13. CK and ADDR_CTRL Routing Specification(2)(3) (continued)
NO.
PARAMETER
CARS322
CK spacing to other net(9)
CARS323
Rcp(13)
CARS324
Rtt(13)(14)
MIN
TYP
MAX
UNIT
Zo-1
Zo
Zo+1
Ω
Zo-5
Zo
Zo+5
Ω
4w
(1) Max value is based upon conservative signal integrity approach. This value could be extended only if detailed signal integrity analysis of
rice time and fall time confirms desired operation.
(2) The use of vias should be minimized.
(3) Additional bypass capacitors are required when using the DDR_1V5 plane as the reference plane to allow the return current to jump
between the DDR_1V5 plane and the ground plane when the net class switches layers at a via.
(4) Non-mirrored configuration (all DDR3 memories on same side of PCB).
(5) Mirrored configuration (one DDR3 device on top of the board and one DDR3 device on the bottom).
(6) While this length can be increased for convenience, its length should be minimized.
(7) ADDR_CTRL net class only (not CK net class). Minimizing this skew is recommended, but not required.
(8) CK net class only.
(9) Center-to-center spacing is allowed to fall to minimum 2w for up to 1250 mils of routed length.
(10) The ADDR_CTRL net class of the other DDR EMIF is considered other DDR3 trace spacing.
(11) CK spacing set to ensure proper differential impedance.
(12) The most important thing to do is control the impedance so inadvertent impedance mismatches are not created. Generally speaking,
center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended
impedance, Zo.
(13) Source termination (series resistor at driver) is specifically not allowed.
(14) Termination values should be uniform across the net class.
(15) Via count difference may increase by 1 only if accurate 3-D modeling of the signal flight times – including accurately modeled signal
propagation through vias – has been applied to ensure all segment skew maximums are not exceeded.
8.2.2.17.2 DQS and DQ Routing Specification
Skew within the DQS and DQ/DM net classes directly reduces setup and hold margin and thus this skew
must be controlled. The only way to practically match lengths on a PCB is to lengthen the shorter traces
up to the length of the longest net in the net class and its associated clock. As with CK and ADDR_CTRL,
a reasonable trace route length is to within a percentage of its Manhattan distance. DQLMn is defined as
DQ Longest Manhattan distance n, where n is the byte number. For a 32-bit interface, there are four
DQLMs, DQLM0-DQLM3. Likewise, for a 16-bit interface, there are two DQLMs, DQLM0-DQLM1.
NOTE
It is not required, nor is it recommended, to match the lengths across all bytes. Length
matching is only required within each byte.
Given the DQS and DQ/DM pin locations on the processor and the DDR3 memories, the maximum
possible Manhattan distance can be determined given the placement. Figure 8-28 shows this distance for
four loads. It is from this distance that the specifications on the lengths of the transmission lines for the
data bus are determined. For DQS and DQ/DM routing, these specifications are contained in Table 8-14.
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
379
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
DQLMX0
DB0
DB1
DQ[0:7]/DM0/DQS0
DQ[8:15]/DM1/DQS1
DQLMX1
DQ[16:23]/DM2/DQS2
DB2
DQLMY0
DQLMX2
DQLMY3
DQLMY2
DB3
DQLMY1
DQ[23:31]/DM3/DQS3
DQLMX3
3
2
1
0
DB0 - DB3 represent data bytes 0 - 3.
SPRS906_PCB_DDR3_28
There are four DQLMs, one for each byte (32-bit interface). Each DQLM is the longest Manhattan distance of the
byte; therefore:
DQLM0 = DQLMX0 + DQLMY0
DQLM1 = DQLMX1 + DQLMY1
DQLM2 = DQLMX2 + DQLMY2
DQLM3 = DQLMX3 + DQLMY3
Figure 8-28. DQLM for Any Number of Allowed DDR3 Devices
Table 8-14. Data Routing Specification(2)
NO.
PARAMETER
MIN
TYP
MAX
UNIT
DRS31
DB0 length
340
ps
DRS32
DB1 length
340
ps
DRS33
DB2 length
340
ps
DRS34
DB3 length
340
ps
DRS35
(3)
DBn skew
5
ps
DRS36
DQSn+ to DQSn- skew
1
ps
DRS37
DQSn to DBn skew(3)(4)
5(10)
ps
(1)
vias
vias
DRS38
Vias per trace
2
DRS39
Via count difference
0(10)
DRS310
Center-to-center DBn to other DDR3 trace spacing(6)
4
w(5)
DRS311
Center-to-center DBn to other DBn trace spacing(7)
3
w(5)
4
w(5)
(8) (9)
DRS312
DQSn center-to-center spacing
DRS313
DQSn center-to-center spacing to other net
(1) Max value is based upon conservative signal integrity approach. This value could be extended only if detailed signal integrity analysis of
rice time and fall time confirms desired operation.
(2) External termination disallowed. Data termination should use built-in ODT functionality.
(3) Length matching is only done within a byte. Length matching across bytes is neither required nor recommended.
(4) Each DQS pair is length matched to its associated byte.
(5) Center-to-center spacing is allowed to fall to minimum 2w for up to 1250 mils of routed length.
(6) Other DDR3 trace spacing means other DDR3 net classes not within the byte.
(7) This applies to spacing within the net classes of a byte.
(8) DQS pair spacing is set to ensure proper differential impedance.
(9) The most important thing to do is control the impedance so inadvertent impedance mismatches are not created. Generally speaking,
center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended
impedance, Zo.
(10) Via count difference may increase by 1 only if accurate 3-D modeling of the signal flight times – including accurately modeled signal
propagation through vias – has been applied to ensure DBn skew and DQSn to DBn skew maximums are not exceeded.
380
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
8.3
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
High Speed Differential Signal Routing Guidance
The High-Speed Interface Layout Guidelines Application Report (SPRAAR7) available from
http://www.ti.com/lit/pdf/spraar7 provides guidance for successful routing of the high speed differential
signals. This includes PCB stackup and materials guidance as well as routing skew, length and spacing
limits. TI supports only designs that follow the board design guidelines contained in the application report.
8.4
Power Distribution Network Implementation Guidance
The Power Distribution Network Implementation Guidelines Application Report (SPRABY8) available from
http://www.ti.com/lit/pdf/spraby8 provides guidance for successful implementation of the power distribution
network. This includes PCB stackup guidance as well as guidance for optimizing the selection and
placement of the decoupling capacitors. TI supports only designs that follow the board design guidelines
contained in the application report.
8.5
Single-Ended Interfaces
8.5.1
General Routing Guidelines
The following paragraphs detail the routing guidelines that must be observed when routing the various
functional LVCMOS interfaces.
• Line spacing:
– For a line width equal to W, the spacing between two lines must be 2W, at least. This minimizes the
crosstalk between switching signals between the different lines. On the PCB, this is not achievable
everywhere (for example, when breaking signals out from the device package), but it is
recommended to follow this rule as much as possible. When violating this guideline, minimize the
length of the traces running parallel to each other (see Figure 8-29).
W
D+
S = 2 W = 200 µm
SPRS906_PCB_SE_GND_01
•
•
•
Figure 8-29. Ground Guard Illustration
Length matching (unless otherwise specified):
– For bus or traces at frequencies less than 10 MHz, the trace length matching (maximum length
difference between the longest and the shortest lines) must be less than 25 mm.
– For bus or traces at frequencies greater than 10 MHz, the trace length matching (maximum length
difference between the longest and the shortest lines) must be less than 2.5 mm.
Characteristic impedance
– Unless otherwise specified, the characteristic impedance for single-ended interfaces is
recommended to be between 35-Ω and 65-Ω.
Multiple peripheral support
– For interfaces where multiple peripherals have to be supported in the star topology, the length of
each branch has to be balanced. Before closing the PCB design, it is highly recommended to verify
signal integrity based on simulations including actual PCB extraction.
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
381
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
8.5.2
www.ti.com
QSPI Board Design and Layout Guidelines
The following section details the routing guidelines that must be observed when routing the QSPI
interfaces.
• The qspi1_sclk output signal must be looped back into the qspi1_rtclk input.
• The signal propagation delay from the qspi1_sclk ball to the QSPI device CLK input pin (A to C) must
be approximately equal to the signal propagation delay from the QSPI device CLK pin to the
qspi1_rtclk ball (C to D).
• The signal propagation delay from the QSPI device CLK pin to the qspi1_rtclk ball (C to D) must be
approximately equal to the signal propagation delay of the control and data signals between the QSPI
device and the SoC device (E to F, or F to E).
• The signal propagation delay from the qspi1_sclk signal to the series terminators (R2 = 10 Ω) near the
QSPI device must be < 450pS (~7cm as stripline or ~8cm as microstrip)
• 50 Ω PCB routing is recommended along with series terminations, as shown in Figure 8-30.
• Propagation delays and matching:
– A to C = C to D = E to F.
– Matching skew: < 60pS
– A to B < 450pS
– B to C = as small as possible (<60pS)
Locate both R2 resistors
close together near the QSPI device
A
B
C
R1
R2
0 Ω*
10 Ω
R2
10 Ω
qspi1_sclk
QSPI device
clock input
D
qspi1_rtclk
E
F
QSPI device
IOx, CS#
qspi1_d[x], qspi1_cs[y]
SPRS906_PCB_QSPI_01
Figure 8-30. QSPI Interface High Level Schematic
NOTE
*0 Ω resistor (R1), located as close as possible to the qspi1_sclk pin, is placeholder for finetuning if needed.
8.6
Clock Routing Guidelines
382
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
8.6.1
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
32-kHz Oscillator Routing
When designing the printed-circuit board:
• Keep the crystal as close as possible to the crystal pins X1 and X2.
• Keep the trace lengths short and small to reduce capacitor loading and prevent unwanted noise
pickup.
• Place a guard ring around the crystal and tie the ring to ground to help isolate the crystal from
unwanted noise pickup.
• Keep all signals out from beneath the crystal and the X1 and X2 pins to prevent noise coupling.
• Finally, an additional local ground plane on an adjacent PCB layer can be added under the crystal to
shield it from unwanted pickup from traces on other layers of the board. This plane must be isolated
from the regular PCB ground plane and tied to the GND pin of the RTC. The plane must not be any
larger than the perimeter of the guard ring. Make sure that this ground plane does not contribute to
significant capacitance (a few pF) between the signal line and ground on the connections that run from
X1 and X2 to the crystal.
Cap
IC
X
1
Crystal
Via to GND
Cap
X
2
Local ground plane
SPRS906_PCB_CLK_OSC_01
Figure 8-31. Slow Clock PCB Requirements
8.6.2
Oscillator Ground Connection
Although the impedance of a ground plane is low it is, of course, not zero. Therefore, any noise current in
the ground plane causes a voltage drop in the ground. Figure 8-32 shows the grounding scheme for slow
(low frequency) clock generated from the internal oscillator.
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
383
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
Device
rtc_osc_xo
rtc_osc_xi_clkin32
Rd
(Optional)
Crystal
Cf2
Cf1
SPRS906_PCB_CLK_OSC_02
Figure 8-32. Grounding Scheme for Low-Frequency Clock
Figure 8-33 shows the grounding scheme for high-frequency clock.
Device
xi_oscj
xo_oscj
Rd
(Optional)
Crystal
Cf1
vssa_oscj
Cf2
SPRS906_PCB_CLK_OSC_03
(1)
j in *_osc = 0 or 1
Figure 8-33. Grounding Scheme for High-Frequency Clock
384
Applications, Implementation, and Layout
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
9 Device and Documentation Support
TI offers an extensive line of development tools, including methods to evaluate the performance of the
processors, generate code, develop algorithm implementations, and fully integrate and debug software
and hardware modules as listed below.
9.1
Device Nomenclature
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all
microprocessors (MPUs) and support tools. Each device has one of three prefixes: X, P, or null (no prefix)
(for example, AM571x). Texas Instruments recommends two of three possible prefix designators for its
support tools: TMDX and TMDS. These prefixes represent evolutionary stages of product development
from engineering prototypes (TMDX) through fully qualified production devices and tools (TMDS).
Device development evolutionary flow:
X
Experimental device that is not necessarily representative of the final device's electrical
specifications and may not use production assembly flow.
P
Prototype device that is not necessarily the final silicon die and may not necessarily meet
final electrical specifications.
null
Production version of the silicon die that is fully qualified.
Support tool development evolutionary flow:
TMDX
Development-support product that has not yet completed Texas Instruments internal
qualification testing.
TMDS
Fully-qualified development-support product.
X and P devices and TMDX development-support tools are shipped against the following disclaimer:
"Developmental product is intended for internal evaluation purposes."
Production devices and TMDS development-support tools have been characterized fully, and the quality
and reliability of the device have been demonstrated fully. TI's standard warranty applies.
Predictions show that prototype devices (X or P) have a greater failure rate than the standard production
devices. Texas Instruments recommends that these devices not be used in any production system
because their expected end-use failure rate still is undefined. Only qualified production devices are to be
used.
For orderable part numbers of AM571x devices in the ABC package type, see the Package Option
Addendum of this document, the TI website (www.ti.com), or contact your TI sales representative.
For additional description of the device nomenclature markings on the die, see the Silicon Errata (literature
number SPRZ436).
Device and Documentation Support
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
385
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
9.1.1
www.ti.com
Standard Package Symbolization
SITARA
TM
aBBBBBBrPPPzSsYyTt
XXXXXXX
YYY
ZZZ G1
PIN ONE INDICATOR
O
SPRS906_PACK_01
Figure 9-1. Printed Device Reference
NOTE
Some devices have a cosmetic circular marking visible on the top of the device package
which results from the production test process. These markings are cosmetic only with no
reliability impact.
9.1.2
Device Naming Convention
Table 9-1. Nomenclature Description
FIELD PARAMETER
FIELD DESCRIPTION
VALUE
a
Device evolution stage
X
Prototype
P
Preproduction (production test flow, no reliability data)
BBBBBB
r
PPP
z
BLANK
Production
Base production part
number
AM5718
High Tier (See Table 3-1, Device Comparison)
AM5716
Low Tier (See Table 3-1, Device Comparison)
Device revision
BLANK
SR 1.0
A
SR 2.0
Package designator
Device Speed
ABC
X
D
Ss
Security Identifier
TU
BLANK
Yy
Device type
E
BLANK
Yn
386
DESCRIPTION
ABC S-PBGA-N760 (23 mm × 23 mm) Package
Indicates the speed grade for each of the cores in the device. For more
information see Table 5-5, Speed Grade Maximum Frequency
Dummy key secure device
General purpose device
All industrial protocols enabled (basic protocols plus EtherCAT slave and
POWERLINK slave)
Basic industrial protocols enabled
Letter followed by number indicates HS device with customer key
Device and Documentation Support
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Table 9-1. Nomenclature Description (continued)
FIELD PARAMETER
Tt
FIELD DESCRIPTION
Temperature
(2)
VALUE
BLANK
A
Q1
XXXXXXX
DESCRIPTION
Commercial (see Table 5-4, Recommended Operating Conditions)
Extended (see Table 5-4, Recommended Operating Conditions)
Automotive – meeting Q100 equal requirements, with exceptions as
specified in DM (see Table 5-4, Recommended Operating Conditions)
Lot Trace Code (LTC)
YYY
Production Code, For TI use only
ZZZ
Production Code, For TI use only
O
Pin one designator
G1
ECAT—Green package designator
(1) To designate the stages in the product development cycle, TI assigns prefixes to the part numbers. These prefixes represent
evolutionary stages of product development from engineering prototypes through fully qualified production devices.
Prototype devices are shipped against the following disclaimer:
“This product is still under development and is intended for internal evaluation purposes.”
Notwithstanding any provision to the contrary, TI makes no warranty expressed, implied, or statutory, including any implied warranty of
merchantability of fitness for a specific purpose, of this device.
(2) Applies to device max junction temperature.
NOTE
BLANK in the symbol or part number is collapsed so there are no gaps between characters.
9.2
Tools and Software
The following products support development for AM571x platforms:
AM571x Register Descriptor Tool is an interactive device register configuration tool that allows users
to visualize the register state on power-on reset, and then customize the configuration of the device for
the specific use-case.
AM571x Clock Tree Tool is interactive clock tree configuration software that allows the user to
visualize the device clock tree, interact with clock tree elements and view the effect on PRCM
registers, interact with the PRCM registers and view the effect on the device clock tree, and view a
trace of all the device registers affected by the user interaction with the clock tree.
AM571x Pin Mux Utility is an interactive application that helps a system designer select the
appropriate pin-multiplexing configuration for their device-based product design. The Pin Mux Utility
provides a way to select valid IO Sets of specific peripheral interfaces to ensure the pinmultiplexing
configuration selected for a design only uses valid IO Sets supported by the device.
For a complete listing of development-support tools for the processor platform, visit the Texas Instruments
website at www.ti.com. For information on pricing and availability, contact the nearest TI field sales office
or authorized distributor.
9.3
Documentation Support
The following documents describe the AM571x devices.
TRM
AM571x SitaraTM Processors Technical Reference Manual Details the integration, the
environment, the functional description, and the programming models for each peripheral
and subsystem in the AM571x family of devices.
Errata
AM571x SitaraTM Processors Silicon Errata Describes known advisories, limitations, and
cautions on silicon and provides workarounds.
Device and Documentation Support
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
387
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
9.4
www.ti.com
Receiving Notification of Documentation Updates
To receive notification of documentation updates — including silicon errata — go to the product folder for
your device on www.ti.com. In the upper right-hand corner, click the "Alert me" button. This registers you
to receive a weekly digest of product information that has changed (if any). For change details, check the
revision history of any revised document.
9.5
Related Links
The table below lists quick access links. Categories include technical documents, support and community
resources, tools and software, and quick access to sample or buy.
Table 9-2. Related Links
9.6
PARTS
PRODUCT FOLDER
SAMPLE & BUY
TECHNICAL
DOCUMENTS
TOOLS &
SOFTWARE
SUPPORT &
COMMUNITY
AM5718
Click here
Click here
Click here
Click here
Click here
AM5716
Click here
Click here
Click here
Click here
Click here
Community Resources
The following links connect to TI community resources. Linked contents are provided "AS IS" by the
respective contributors. They do not constitute TI specifications and do not necessarily reflect TI's views;
see TI's Terms of Use.
TI Embedded Processors Wiki Texas Instruments Embedded Processors Wiki.
Established to help developers get started with Embedded Processors from Texas
Instruments and to foster innovation and growth of general knowledge about the hardware
and software surrounding these devices.
9.7
Trademarks
ICEPick and SmartReflex are trademarks of Texas Instruments Incorporated.
ARM and Cortex are registered trademark of ARM Limited.
ETB, ARM9, CoreSight, and Neon are trademarks of ARM Limited.
HDMI is a trademark of HDMI Licensing, LLC.
HDQ is a trademark of Benchmarq.
1-Wire is a registered trademark of Maxim Integrated.
PowerVR is a registered trademark of Imagination Technologies Ltd.
SD is a registered trademark of Toshiba Corporation.
MMC and eMMC are trademarks of MultiMediaCard Association.
MIPI is a registered trademark of the Mobile Industry Processor Interface (MIPI) Alliance.
PCI Express is a registered trademark of PCI-SIG.
MediaLB is a trademark of Standard Microsystems Corporation.
Vivante is a registered trademark of Vivante Corporation.
All other trademarks are the property of their respective owners.
9.8
Electrostatic Discharge Caution
This integrated circuit can be damaged by ESD. Texas Instruments recommends that all integrated circuits be handled with
appropriate precautions. Failure to observe proper handling and installation procedures can cause damage.
ESD damage can range from subtle performance degradation to complete device failure. Precision integrated circuits may be more
susceptible to damage because very small parametric changes could cause the device not to meet its published specifications.
388
Device and Documentation Support
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
AM5718, AM5716
www.ti.com
9.9
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
Glossary
TI Glossary This glossary lists and explains terms, acronyms, and definitions.
Device and Documentation Support
Copyright © 2016, Texas Instruments Incorporated
Submit Documentation Feedback
389
AM5718, AM5716
SPRS957C – MARCH 2016 – REVISED NOVEMBER 2016
www.ti.com
10 Mechanical Packaging and Orderable Information
The following pages include mechanical packaging and orderable information. This information is the most
current data available for the designated devices. This data is subject to change without notice and
revision of this document. For browser-based versions of this data sheet, refer to the left-hand navigation.
10.1 Mechanical Data
390
Mechanical Packaging and Orderable Information
Submit Documentation Feedback
Copyright © 2016, Texas Instruments Incorporated
PACKAGE OPTION ADDENDUM
www.ti.com
29-Nov-2016
PACKAGING INFORMATION
Orderable Device
Status
(1)
Package Type Package Pins Package
Drawing
Qty
Eco Plan
Lead/Ball Finish
MSL Peak Temp
(2)
(6)
(3)
Op Temp (°C)
Device Marking
(4/5)
AM5716AABCD
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
0 to 90
AM5716AABCDA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
AM5716AABCDEA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
AM5716AABCX
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
0 to 90
AM5716AABCXA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
AM5716AABCXEA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
AM5718AABCX
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
0 to 90
AM5718AABCXA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
AM5718AABCXEA
PREVIEW
FCBGA
ABC
760
60
TBD
Call TI
Call TI
-40 to 105
XAM5718AABCXEA
ACTIVE
FCBGA
ABC
760
1
TBD
Call TI
Call TI
-40 to 105
XAM5718ABCXE
ACTIVE
FCBGA
ABC
760
Green (RoHS
& no Sb/Br)
SNAGCU
Level-3-250C-168 HR
0 to 90
XAM5718ABCXE
SITARATM
(1)
The marketing status values are defined as follows:
ACTIVE: Product device recommended for new designs.
LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect.
NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design.
PREVIEW: Device has been announced but is not in production. Samples may or may not be available.
OBSOLETE: TI has discontinued the production of the device.
(2)
Eco Plan - The planned eco-friendly classification: Pb-Free (RoHS), Pb-Free (RoHS Exempt), or Green (RoHS & no Sb/Br) - please check http://www.ti.com/productcontent for the latest availability
information and additional product content details.
TBD: The Pb-Free/Green conversion plan has not been defined.
Pb-Free (RoHS): TI's terms "Lead-Free" or "Pb-Free" mean semiconductor products that are compatible with the current RoHS requirements for all 6 substances, including the requirement that
lead not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, TI Pb-Free products are suitable for use in specified lead-free processes.
Pb-Free (RoHS Exempt): This component has a RoHS exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between
the die and leadframe. The component is otherwise considered Pb-Free (RoHS compatible) as defined above.
Green (RoHS & no Sb/Br): TI defines "Green" to mean Pb-Free (RoHS compatible), and free of Bromine (Br) and Antimony (Sb) based flame retardants (Br or Sb do not exceed 0.1% by weight
in homogeneous material)
(3)
MSL, Peak Temp. - The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature.
(4)
There may be additional marking, which relates to the logo, the lot trace code information, or the environmental category on the device.
Addendum-Page 1
Samples
PACKAGE OPTION ADDENDUM
www.ti.com
29-Nov-2016
(5)
Multiple Device Markings will be inside parentheses. Only one Device Marking contained in parentheses and separated by a "~" will appear on a device. If a line is indented then it is a continuation
of the previous line and the two combined represent the entire Device Marking for that device.
(6)
Lead/Ball Finish - Orderable Devices may have multiple material finish options. Finish options are separated by a vertical ruled line. Lead/Ball Finish values may wrap to two lines if the finish
value exceeds the maximum column width.
Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information
provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and
continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.
TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release.
In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis.
Addendum-Page 2
IMPORTANT NOTICE
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, enhancements, improvements and other
changes to its semiconductor products and services per JESD46, latest issue, and to discontinue any product or service per JESD48, latest
issue. Buyers should obtain the latest relevant information before placing orders and should verify that such information is current and
complete. All semiconductor products (also referred to herein as “components”) are sold subject to TI’s terms and conditions of sale
supplied at the time of order acknowledgment.
TI warrants performance of its components to the specifications applicable at the time of sale, in accordance with the warranty in TI’s terms
and conditions of sale of semiconductor products. Testing and other quality control techniques are used to the extent TI deems necessary
to support this warranty. Except where mandated by applicable law, testing of all parameters of each component is not necessarily
performed.
TI assumes no liability for applications assistance or the design of Buyers’ products. Buyers are responsible for their products and
applications using TI components. To minimize the risks associated with Buyers’ products and applications, Buyers should provide
adequate design and operating safeguards.
TI does not warrant or represent that any license, either express or implied, is granted under any patent right, copyright, mask work right, or
other intellectual property right relating to any combination, machine, or process in which TI components or services are used. Information
published by TI regarding third-party products or services does not constitute a license to use such products or services or a warranty or
endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual property of the
third party, or a license from TI under the patents or other intellectual property of TI.
Reproduction of significant portions of TI information in TI data books or data sheets is permissible only if reproduction is without alteration
and is accompanied by all associated warranties, conditions, limitations, and notices. TI is not responsible or liable for such altered
documentation. Information of third parties may be subject to additional restrictions.
Resale of TI components or services with statements different from or beyond the parameters stated by TI for that component or service
voids all express and any implied warranties for the associated TI component or service and is an unfair and deceptive business practice.
TI is not responsible or liable for any such statements.
Buyer acknowledges and agrees that it is solely responsible for compliance with all legal, regulatory and safety-related requirements
concerning its products, and any use of TI components in its applications, notwithstanding any applications-related information or support
that may be provided by TI. Buyer represents and agrees that it has all the necessary expertise to create and implement safeguards which
anticipate dangerous consequences of failures, monitor failures and their consequences, lessen the likelihood of failures that might cause
harm and take appropriate remedial actions. Buyer will fully indemnify TI and its representatives against any damages arising out of the use
of any TI components in safety-critical applications.
In some cases, TI components may be promoted specifically to facilitate safety-related applications. With such components, TI’s goal is to
help enable customers to design and create their own end-product solutions that meet applicable functional safety standards and
requirements. Nonetheless, such components are subject to these terms.
No TI components are authorized for use in FDA Class III (or similar life-critical medical equipment) unless authorized officers of the parties
have executed a special agreement specifically governing such use.
Only those TI components which TI has specifically designated as military grade or “enhanced plastic” are designed and intended for use in
military/aerospace applications or environments. Buyer acknowledges and agrees that any military or aerospace use of TI components
which have not been so designated is solely at the Buyer's risk, and that Buyer is solely responsible for compliance with all legal and
regulatory requirements in connection with such use.
TI has specifically designated certain components as meeting ISO/TS16949 requirements, mainly for automotive use. In any case of use of
non-designated products, TI will not be responsible for any failure to meet ISO/TS16949.
Products
Applications
Audio
www.ti.com/audio
Automotive and Transportation
www.ti.com/automotive
Amplifiers
amplifier.ti.com
Communications and Telecom
www.ti.com/communications
Data Converters
dataconverter.ti.com
Computers and Peripherals
www.ti.com/computers
DLP® Products
www.dlp.com
Consumer Electronics
www.ti.com/consumer-apps
DSP
dsp.ti.com
Energy and Lighting
www.ti.com/energy
Clocks and Timers
www.ti.com/clocks
Industrial
www.ti.com/industrial
Interface
interface.ti.com
Medical
www.ti.com/medical
Logic
logic.ti.com
Security
www.ti.com/security
Power Mgmt
power.ti.com
Space, Avionics and Defense
www.ti.com/space-avionics-defense
Microcontrollers
microcontroller.ti.com
Video and Imaging
www.ti.com/video
RFID
www.ti-rfid.com
OMAP Applications Processors
www.ti.com/omap
TI E2E Community
e2e.ti.com
Wireless Connectivity
www.ti.com/wirelessconnectivity
Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265
Copyright © 2016, Texas Instruments Incorporated
Similar pages