TI1 AM1802BZCED3 Am1802 armâ® microprocessor Datasheet

Product
Folder
Sample &
Buy
Tools &
Software
Technical
Documents
Support &
Community
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
AM1802 ARM® Microprocessor
1 AM1802 ARM Microprocessor
1.1
Features
1
• 300-MHz ARM926EJ-S™ RISC MPU
• ARM926EJ-S Core
– 32-Bit and 16-Bit ( Thumb®) Instructions
– Single-Cycle MAC
– ARM Jazelle® Technology
– Embedded ICE-RT™ for Real-Time Debug
• ARM9™ Memory Architecture
– 16KB of Instruction Cache
– 16KB of Data Cache
– 8KB of RAM (Vector Table)
– 64KB of ROM
• Enhanced Direct Memory Access Controller 3
(EDMA3):
– 2 Channel Controllers
– 3 Transfer Controllers
– 64 Independent DMA Channels
– 16 Quick DMA Channels
– Programmable Transfer Burst Size
• 128KB of On-Chip Memory
• 1.8-V or 3.3-V LVCMOS I/Os (Except for USB and
DDR2 Interfaces)
• Two External Memory Interfaces:
– EMIFA
• NOR (8- or 16-Bit-Wide Data)
• NAND (8- or 16-Bit-Wide Data)
• 16-Bit SDRAM with 128-MB Address Space
– DDR2/Mobile DDR Memory Controller with one
of the following:
• 16-Bit DDR2 SDRAM with 256-MB Address
Space
• 16-Bit mDDR SDRAM with 256-MB Address
Space
• Three Configurable 16550-Type UART Modules:
– With Modem Control Signals
– 16-Byte FIFO
– 16x or 13x Oversampling Option
1.2
•
•
• Two Serial Peripheral Interfaces (SPIs) Each with
Multiple Chip Selects
• One Multimedia Card (MMC)/Secure Digital (SD)
Card Interfaces with Secure Data I/O (SDIO)
Interfaces
• One Master and Slave Inter-Integrated Circuit ( I2C
Bus™)
• USB 2.0 OTG Port with Integrated PHY (USB0)
– USB 2.0 High- and Full-Speed Client
– USB 2.0 High-, Full-, and Low-Speed Host
– End Point 0 (Control)
– End Points 1, 2, 3, 4 (Control, Bulk, Interrupt or
ISOC) RX and TX
• One Multichannel Audio Serial Port (McASP):
– Transmit and Receive Clocks
– Two Clock Zones and 16 Serial Data Pins
– Supports TDM, I2S, and Similar Formats
– DIT-Capable
– FIFO Buffers for Transmit and Receive
• 10/100 Mbps Ethernet MAC (EMAC):
– IEEE 802.3 Compliant
– MII Media-Independent Interface
– RMII Reduced Media-Independent Interface
– Management Data I/O (MDIO) Module
• Real-Time Clock (RTC) with 32-kHz Oscillator and
Separate Power Rail
• Three 64-Bit General-Purpose Timers (Each
Configurable as Two 32-Bit Timers)
• One 64-Bit General-Purpose or Watchdog Timer
(Configurable as Two 32-Bit General-Purpose
Timers)
• Packages:
– 361-Ball Pb-Free Plastic Ball Grid Array (PBGA)
[ZCE Suffix], 0.65-mm Ball Pitch
– 361-Ball PBGA [ZWT Suffix], 0.80-mm Ball Pitch
• Industrial Temperature
Applications
Medical, Healthcare, and Fitness
Building Automation
•
ePOS
1
An IMPORTANT NOTICE at the end of this data sheet addresses availability, warranty, changes, use in safety-critical applications,
intellectual property matters and other important disclaimers. PRODUCTION DATA.
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
1.3
www.ti.com
Description
The AM1802 ARM microprocessor is a low-power applications processor based on ARM926EJ-S.
The device enables original-equipment manufacturers (OEMs) and original-design manufacturers (ODMs)
to quickly bring to market devices featuring robust operating systems support, rich user interfaces, and
high processing performance life through the maximum flexibility of a fully integrated mixed processor
solution.
The ARM926EJ-S is a 32-bit RISC processor core that performs 32-bit or 16-bit instructions and
processes 32-bit, 16-bit, or 8-bit data. The core uses pipelining so that all parts of the processor and
memory system can operate continuously.
The ARM core has a coprocessor 15 (CP15), protection module, and data and program memory
management units (MMUs) with table look-aside buffers. The ARM core processor has separate 16-KB
instruction and 16-KB data caches. Both are four-way associative with virtual index virtual tag (VIVT). The
ARM core also has 8KB of RAM (Vector Table) and 64KB of ROM.
The peripheral set includes: a 10/100 Mbps Ethernet media access controller (EMAC) with a management
data input/output (MDIO) module; one USB2.0 OTG interface; one inter-integrated circuit (I2C Bus)
interface; one multichannel audio serial port (McASP) with 16 serializers and FIFO buffers; two serial
peripheral interfaces (SPIs) with multiple chip selects; four 64-bit general-purpose timers each
configurable (one configurable as watchdog); a configurable 16-bit host-port interface (HPI); up to 9 banks
of general-purpose input/output (GPIO) pins, with each bank containing 16 pins with programmable
interrupt and event generation modes, multiplexed with other peripherals; three UART interfaces (each
with RTS and CTS); two external memory interfaces: an asynchronous and SDRAM external memory
interface (EMIFA) for slower memories or peripherals; and a higher speed DDR2/Mobile DDR controller.
The EMAC provides an efficient interface between the device and a network. The EMAC supports both
10Base-T and 100Base-TX, or 10 Mbps and 100 Mbps in either half- or full-duplex mode. Additionally, an
MDIO interface is available for PHY configuration. The EMAC supports both MII and RMII interfaces.
The rich peripheral set provides the ability to control external peripheral devices and communicate with
external processors. For details on each of the peripherals, see the related sections in this document and
the associated peripheral reference guides.
The device has a complete set of development tools for the ARM processor. These tools include C
compilers, and scheduling, and a Windows® debugger interface for visibility into source code execution.
Device Information
PART NUMBER
2
PACKAGE
BODY SIZE
AM1802ZWT
NFBGA (361)
16,00 mm x 16,00 mm
AM1802ZCE
NFBGA (361)
13,00 mm x 13,00 mm
AM1802 ARM Microprocessor
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
1.4
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Functional Block Diagram
Figure 1-1 shows the functional block diagram of the device.
ARM Subsystem
JTAG Interface
System Control
Input
Clock(s)
PLL/Clock
Generator
w/OSC
GeneralPurpose
Timer (x3)
RTC/
32-kHz
OSC
ARM926EJ-S CPU
With MMU
Memory
Protection
4KB ETB
16KB
16KB
I-Cache D-Cache
Power/Sleep
Controller
8KB RAM
(Vector Table)
Pin
Multiplexing
64KB ROM
Switched Central Resource (SCR)
Peripherals
DMA
Audio Ports
EDMA3
(x2)
McASP
w/FIFO
2
IC
(x1)
SPI
(x2)
UART
(x3)
Connectivity
USB2.0
OTG Ctlr
PHY
Internal Memory
Serial Interfaces
EMAC
10/100 MDIO
(MII/RMII)
128KB
RAM
External Memory Interfaces
MMC/SD
(8b)
(x1)
EMIFA(8b/16B)
NAND/Flash
16b SDRAM
DDR2/MDDR
Controller
Figure 1-1. Functional Block Diagram
AM1802 ARM Microprocessor
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
3
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table of Contents
1
2
3
4
5
AM1802 ARM Microprocessor......................... 1
6.4
Reset ................................................ 50
1.1
Features .............................................. 1
6.5
Crystal Oscillator or External Clock Input ........... 54
1.2
Applications ........................................... 1
6.6
Clock PLLs .......................................... 55
1.3
Description ............................................ 2
6.7
Interrupts
1.4
Functional Block Diagram ............................ 3
6.8
Power and Sleep Controller (PSC) .................. 65
Revision History ......................................... 5
Device Overview ......................................... 6
6.9
EDMA
6.10
External Memory Interface A (EMIFA) .............. 76
70
Device Characteristics ................................ 6
6.11
DDR2/mDDR Controller ............................. 86
3.2
Device Compatibility .................................. 6
6.12
Memory Protection Units ............................ 99
3.3
ARM Subsystem ...................................... 6
6.13
MMC / SD / SDIO (MMCSD0) ..................... 102
.............................. 9
.................................... 11
3.6
Pin Multiplexing Control ............................. 14
3.7
Terminal Functions .................................. 15
3.8
Unused Pin Configurations.......................... 37
Device Configuration .................................. 39
4.1
Boot Modes ......................................... 39
4.2
SYSCFG Module .................................... 39
4.3
Pullup/Pulldown Resistors .......................... 42
Specifications ........................................... 43
..........
3.4
Memory Map Summary
6.14
Multichannel Audio Serial Port (McASP)
3.5
Pin Assignments
6.15
Serial Peripheral Interface Ports (SPI0, SPI1) ..... 114
6.16
6.17
Inter-Integrated Circuit Serial Ports (I2C) .......... 135
Universal Asynchronous Receiver/Transmitter
(UART) ............................................. 139
Universal Serial Bus OTG Controller (USB0)
[USB2.0 OTG] ..................................... 141
6.18
Absolute Maximum Ratings Over Operating
Junction Temperature Range
(Unless Otherwise Noted) ................................. 43
105
6.19
Ethernet Media Access Controller (EMAC) ........ 148
6.20
Management Data Input/Output (MDIO) ........... 155
6.21
Timers .............................................. 157
6.22
Real Time Clock (RTC) ............................ 159
6.23
General-Purpose Input/Output (GPIO)............. 162
6.24
Emulation Logic .................................... 166
5.2
Handling Ratings .................................... 43
5.3
Recommended Operating Conditions ............... 44
7.1
Device Support..................................... 174
5.4
5.5
Notes on Recommended Power-On Hours (POH) . 46
Electrical Characteristics Over Recommended
Ranges of Supply Voltage and Operating Junction
Temperature (Unless Otherwise Noted) ............ 47
7.2
Documentation Support ............................ 175
7.3
Community Resources............................. 175
7.4
Trademarks ........................................ 175
7.5
Electrostatic Discharge Caution
7.6
Glossary............................................ 176
7
Peripheral Information and Electrical
Specifications ........................................... 48
6.1
6.2
6.3
4
...............................................
60
3.1
5.1
6
............................................
Parameter Information .............................. 48
Recommended Clock and Control Signal Transition
Behavior ............................................. 49
Power Supplies ...................................... 49
8
Device and Documentation Support .............. 174
...................
176
Mechanical Packaging and Orderable
Information ............................................. 176
8.1
Thermal Data for ZCE Package ................... 176
8.2
Thermal Data for ZWT Package ................... 177
8.3
Packaging Information ............................. 177
Table of Contents
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
2 Revision History
NOTE: Page numbers for previous revisions may differ from page numbers in the current version.
This data manual revision history highlights the changes made to the SPRS710D device-specific data
manual to make it an SPRS710E revision.
Revision History
SEE
ADDITIONS/MODIFICATIONS/DELETIONS
•
Global
•
•
Moved Trademarks information from first page to within Section 7, Device and Documentation
Support.
Moved ESDS Warning to within Section 7, Device and Documentation Support.
Updated Features, Applications, and Description for consistency and translation.
Section 1.3
Description
Added NEW Device Information Table.
Section 3.7
Terminal Functions
Table 3-3 thru Table 3-18:
•
Updated/Changed footnote beginning with "IPD = Internal Pulldown resistor..."; added
sentence "For more detailed information on pullup/pulldown..."
Section 3.7.13
Universal Serial Bus Modules
(USB0)
Table 3-15, Universal Serial Bus (USB) Terminal Functions
•
Updated/Changed the capacitor value in USB0_VDDA12 pin DESCRIPTION from "1 μF" to
"0.22-μF"
Section 3.8
Unused Pin Configurations
Table 3-21, Unused USB0 Signal Configurations:
•
Updated/Changed USB0_VDDA12 row text from "No Connect" to "...to an external 0.22-μF
filter capacitor"
Section 5
Specifications
Updated/Changed title from "Device Operating Conditions" to "Specifications"
Section 5.2, Handling Ratings:
•
Split handling, ratings, and certifications from the Abs Max table and placed in NEW Handling
Ratings table.
Section 5.4
Notes on Recommended
Power-On Hours
Table 5-1, Recommended Power-On Hours:
•
Updated/Changed all applicable Silicon Revisions from "B" to "B/E"
Section 6.10.5
EMIFA Electrical/Timing
Figure 6-12, Asynchronous Memory Read Timing for EMIFA:
•
Added vertical lines to show difference between Setup, Strobe, and Hold
Figure 6-13, Asynchronous Memory Write Timing for EMIFA:
•
Added vertical lines to show difference between Setup, Strobe, and Hold
Section 7.1.2
Device and DevelopmentSupport Tool Nomenclature
Figure 7-1, Device Nomenclature:
•
Added "E = Silicon Revision 2.3" under SILICON REVISION
Section 7.6
Glossary
Added NEW section.
Revision History
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
5
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
3 Device Overview
3.1
Device Characteristics
Table 3-1 provides an overview of the device. The table shows significant features of the device, including
the capacity of on-chip RAM, peripherals, and the package type with pin count.
Table 3-1. Characteristics of the Device
HARDWARE FEATURES
AM1802
DDR2, 16-bit bus width, up to 156 MHz
Mobile DDR, 16-bit bus width, up to 150 MHz
DDR2/mDDR Controller
Asynchronous (8/16-bit bus width) RAM, Flash,
16-bit SDRAM, NOR, NAND
EMIFA
Flash Card Interface
Peripherals
MMC and SD cards supported
64 independent channels, 16 QDMA channels,
2 channel controllers, 3 transfer controllers
EDMA3
4 64-Bit General Purpose (each configurable as 2 separate
32-bit timers, one configurable as Watch Dog)
Not all peripherals pins
Timers
are available at the
same time (for more
UART
detail, see the Device
Configurations section). SPI
3 (each with RTS and CTS flow control)
2 (Each with multiple chip selects)
I2C
1 (Master/Slave)
Multichannel Audio Serial Port [McASP]
1 (each with transmit/receive, FIFO buffer, 16 serializers)
10/100 Ethernet MAC with Management Data I/O
USB 2.0 (USB0)
1 (MII or RMII Interface)
High-Speed OTG Controller with on-chip OTG PHY
General-Purpose Input/Output Port
On-Chip Memory
Size (Bytes)
168KB RAM
Organization
ARM
16KB I-Cache
16KB D-Cache
8KB RAM (Vector Table)
64KB ROM
ADDITIONAL MEMORY
128KB RAM
JTAG BSDL_ID
DEVIDR0 Register
CPU Frequency
MHz
Voltage
0x0B7D_102F
ARM926 300 MHz (1.2V)
Core (V)
1.2 V nominal for 300 MHz
I/O (V)
1.8 V or 3.3 V
13 mm x 13 mm, 361-Ball 0.65 mm pitch, PBGA (ZCE)
Packages
Product Status (1)
(1)
3.2
9 banks of 16-bit
16 mm x 16 mm, 361-Ball 0.80 mm pitch, PBGA (ZWT)
Product Preview (PP),
Advance Information (AI),
or Production Data (PD)
PD
PRODUCTION DATA information is current as of publication date. Products conform to specifications per the terms of the Texas
Instruments standard warranty. Production processing does not necessarily include testing of all parameters.
Device Compatibility
The ARM926EJ-S RISC CPU is compatible with other ARM9 CPUs from ARM Holdings plc.
3.3
ARM Subsystem
The ARM Subsystem includes the following features:
• ARM926EJ-S RISC processor
• ARMv5TEJ (32/16-bit) instruction set
• Little endian
• System Control Co-Processor 15 (CP15)
6
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
•
•
•
•
•
•
3.3.1
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
MMU
16KB Instruction cache
16KB Data cache
Write Buffer
Embedded Trace Module and Embedded Trace Buffer (ETM/ETB)
ARM Interrupt controller
ARM926EJ-S RISC CPU
The ARM Subsystem integrates the ARM926EJ-S processor. The ARM926EJ-S processor is a member of
ARM9 family of general-purpose microprocessors. This processor is targeted at multi-tasking applications
where full memory management, high performance, low die size, and low power are all important. The
ARM926EJ-S processor supports the 32-bit ARM and 16 bit THUMB instruction sets, enabling the user to
trade off between high performance and high code density. Specifically, the ARM926EJ-S processor
supports the ARMv5TEJ instruction set, which includes features for efficient execution of Java byte codes,
providing Java performance similar to Just in Time (JIT) Java interpreter, but without associated code
overhead.
The ARM926EJ-S processor supports the ARM debug architecture and includes logic to assist in both
hardware and software debug. The ARM926EJ-S processor has a Harvard architecture and provides a
complete high performance subsystem, including:
• ARM926EJ -S integer core
• CP15 system control coprocessor
• Memory Management Unit (MMU)
• Separate instruction and data caches
• Write buffer
• Separate instruction and data (internal RAM) interfaces
• Separate instruction and data AHB bus interfaces
• Embedded Trace Module and Embedded Trace Buffer (ETM/ETB)
For more complete details on the ARM9, refer to the ARM926EJ-S Technical Reference Manual, available
at http://www.arm.com
3.3.2
CP15
The ARM926EJ-S system control coprocessor (CP15) is used to configure and control instruction and
data caches, Memory Management Unit (MMU), and other ARM subsystem functions. The CP15 registers
are programmed using the MRC and MCR ARM instructions, when the ARM in a privileged mode such as
supervisor or system mode.
3.3.3
MMU
A single set of two level page tables stored in main memory is used to control the address translation,
permission checks and memory region attributes for both data and instruction accesses. The MMU uses a
single unified Translation Lookaside Buffer (TLB) to cache the information held in the page tables. The
MMU features are:
• Standard ARM architecture v4 and v5 MMU mapping sizes, domains and access protection scheme.
• Mapping sizes are:
– 1MB (sections)
– 64KB (large pages)
– 4KB (small pages)
– 1KB (tiny pages)
• Access permissions for large pages and small pages can be specified separately for each quarter of
the page (subpage permissions)
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
7
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
•
•
•
•
3.3.4
www.ti.com
Hardware page table walks
Invalidate entire TLB, using CP15 register 8
Invalidate TLB entry, selected by MVA, using CP15 register 8
Lockdown of TLB entries, using CP15 register 10
Caches and Write Buffer
The size of the Instruction cache is 16KB, Data cache is 16KB. Additionally, the caches have the following
features:
• Virtual index, virtual tag, and addressed using the Modified Virtual Address (MVA)
• Four-way set associative, with a cache line length of eight words per line (32-bytes per line) and with
two dirty bits in the Dcache
• Dcache supports write-through and write-back (or copy back) cache operation, selected by memory
region using the C and B bits in the MMU translation tables
• Critical-word first cache refilling
• Cache lockdown registers enable control over which cache ways are used for allocation on a line fill,
providing a mechanism for both lockdown, and controlling cache corruption
• Dcache stores the Physical Address TAG (PA TAG) corresponding to each Dcache entry in the TAG
RAM for use during the cache line write-backs, in addition to the Virtual Address TAG stored in the
TAG RAM. This means that the MMU is not involved in Dcache write-back operations, removing the
possibility of TLB misses related to the write-back address.
• Cache maintenance operations provide efficient invalidation of, the entire Dcache or Icache, regions of
the Dcache or Icache, and regions of virtual memory.
The write buffer is used for all writes to a noncachable bufferable region, write-through region and write
misses to a write-back region. A separate buffer is incorporated in the Dcache for holding write-back for
cache line evictions or cleaning of dirty cache lines. The main write buffer has 16-word data buffer and a
four-address buffer. The Dcache write-back has eight data word entries and a single address entry.
3.3.5
Advanced High-Performance Bus (AHB)
The ARM Subsystem uses the AHB port of the ARM926EJ-S to connect the ARM to the Config bus and
the external memories. Arbiters are employed to arbitrate access to the separate D-AHB and I-AHB by the
Config Bus and the external memories bus.
3.3.6
Embedded Trace Macrocell (ETM) and Embedded Trace Buffer (ETB)
To support real-time trace, the ARM926EJ-S processor provides an interface to enable connection of an
Embedded Trace Macrocell (ETM). The ARM926ES-J Subsystem in the device also includes the
Embedded Trace Buffer (ETB). The ETM consists of two parts:
• Trace Port provides real-time trace capability for the ARM9.
• Triggering facilities provide trigger resources, which include address and data comparators, counter,
and sequencers.
The device trace port is not pinned out and is instead only connected to the Embedded Trace Buffer. The
ETB has a 4KB buffer memory. ETB enabled debug tools are required to read/interpret the captured trace
data.
3.3.7
ARM Memory Mapping
By default the ARM has access to most on and off chip memory areas, including EMIFA, DDR2, and the
additional 128K byte on chip SRAM. Likewise almost all of the on chip peripherals are accessible to the
ARM by default.
See Table 3-2 for a detailed top level device memory map that includes the ARM memory space.
8
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
3.4
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Memory Map Summary
Note: Read/Write accesses to illegal or reserved addresses in the memory map may cause undefined
behavior.
Table 3-2. AM1802 Top Level Memory Map
Start Address
End Address
0x0000 0000
0x01BB FFFF
Size
ARM Mem Map
0x01BC 0000
0x01BC 1000
EDMA Mem Map
0x01BC 0FFF
4K
ARM ETB memory
0x01BC 17FF
2K
ARM ETB reg
0x01BC 1800
0x01BC 18FF
256
ARM Ice Crusher
0x01BC 1900
0x01BF FFFF
0x01C0 0000
0x01C0 7FFF
32K
EDMA3 CC
0x01C0 8000
0x01C0 83FF
1K
EDMA3 TC0
0x01C0 8400
0x01C0 87FF
1K
EDMA3 TC1
0x01C0 8800
0x01C0 FFFF
0x01C1 0000
0x01C1 0FFF
4K
PSC 0
0x01C1 1000
0x01C1 1FFF
4K
PLL Controller 0
0x01C1 2000
0x01C1 3FFF
4K
SYSCFG0
Master Peripheral Mem Map
0x01C1 4000
0x01C1 4FFF
0x01C1 5000
0x01C1 FFFF
0x01C2 0000
0x01C2 0FFF
4K
Timer0
0x01C2 1000
0x01C2 1FFF
4K
Timer1
0x01C2 2000
0x01C2 2FFF
4K
I2C 0
0x01C2 3000
0x01C2 3FFF
4K
RTC
0x01C2 4000
0x01C3 FFFF
0x01C4 0000
0x01C4 0FFF
4K
MMC/SD 0
0x01C4 1000
0x01C4 1FFF
4K
SPI 0
0x01C4 2000
0x01C4 2FFF
4K
UART 0
0x01C4 3000
0x01CF FFFF
0x01D0 0000
0x01D0 0FFF
4K
McASP 0 Control
0x01D0 1000
0x01D0 1FFF
4K
McASP 0 AFIFO Ctrl
0x01D0 2000
0x01D0 2FFF
4K
McASP 0 Data
0x01D0 3000
0x01D0 BFFF
0x01D0 C000
0x01D0 CFFF
4K
UART 1
0x01D0 D000
0x01D0 DFFF
4K
UART 2
0x01D0 E000
0x01DF FFFF
0x01E0 0000
0x01E0 FFFF
64K
USB0
0x01E1 0000
0x01E1 3FFF
0x01E1 4000
0x01E1 4FFF
4K
Memory Protection Unit 1 (MPU 1)
0x01E1 5000
0x01E1 5FFF
4K
Memory Protection Unit 2 (MPU 2)
4K
PLL Controller 1
0x01E1 6000
0x01E1 9FFF
0x01E1 A000
0x01E1 AFFF
0x01E1 B000
0x01E1 FFFF
0x01E2 0000
0x01E2 1FFF
8K
EMAC Control Module RAM
0x01E2 2000
0x01E2 2FFF
4K
EMAC Control Module Registers
0x01E2 3000
0x01E2 3FFF
4K
EMAC Control Registers
0x01E2 4000
0x01E2 4FFF
4K
EMAC MDIO port
0x01E2 5000
0x01E2 5FFF
0x01E2 6000
0x01E2 6FFF
4K
GPIO
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
9
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 3-2. AM1802 Top Level Memory Map (continued)
10
Start Address
End Address
Size
0x01E2 7000
0x01E2 7FFF
4K
ARM Mem Map
EDMA Mem Map
PSC 1
4K
SYSCFG1
Master Peripheral Mem Map
0x01E2 8000
0x01E2 BFFF
0x01E2 C000
0x01E2 CFFF
0x01E2 D000
0x01E2 FFFF
0x01E3 0000
0x01E3 7FFF
32K
EDMA3 CC1
0x01E3 8000
0x01E3 83FF
1K
EDMA3 TC2
0x01E3 8400
0x01F0 BFFF
0x01F0 C000
0x01F0 CFFF
4K
Timer2
0x01F0 D000
0x01F0 DFFF
4K
Timer3
0x01F0 E000
0x01F0 EFFF
4K
SPI1
0x01F0 F000
0x3FFF FFFF
0x4000 0000
0x5FFF FFFF
512M
EMIFA SDRAM data (CS0)
0x6000 0000
0x61FF FFFF
32M
EMIFA async data (CS2)
0x6200 0000
0x63FF FFFF
32M
EMIFA async data (CS3)
0x6400 0000
0x65FF FFFF
32M
EMIFA async data (CS4)
0x6600 0000
0x67FF FFFF
32M
EMIFA async data (CS5)
0x6800 0000
0x6800 7FFF
32K
EMIFA Control Regs
0x6800 8000
0x7FFF FFFF
128K
On-Chip RAM
32K
DDR2/mDDR Control Regs
256M
DDR2/mDDR Data
0x8000 0000
0x8001 FFFF
0x8002 0000
0xAFFF FFFF
0xB000 0000
0xB000 7FFF
0xB000 8000
0xBFFF FFFF
0xC000 0000
0xCFFF FFFF
0xD000 0000
0xE000 0000
0xFFFD 0000
0xFFFD FFFF
0xFFFE 0000
0xFFFE DFFF
64K
ARM local ROM
0xFFFE E000
0xFFFE FFFF
8K
ARM Interrupt Controller
0xFFFF 0000
0xFFFF 1FFF
8K
ARM local RAM
0xFFFF 2000
0xFFFF FFFF
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
3.5
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Pin Assignments
Extensive use of pin multiplexing is used to accommodate the largest number of peripheral functions in
the smallest possible package. Pin multiplexing is controlled using a combination of hardware
configuration at device reset and software programmable register settings.
3.5.1
Pin Map (Bottom View)
The following graphics show the bottom view of the ZCE and ZWT package pin assignments in four
quadrants (A, B, C, and D). The pin assignments for both packages are identical.
1
2
3
4
5
6
7
8
9
10
W
GP7[8]
GP7[9]
GP7[10]
DDR_A[10]
DDR_A[6]
DDR_A[2]
DDR_CLKN
DDR_CLKP
DDR_RAS
DDR_D[15]
W
V
GP7[11]
GP7[12]
GP7[13]
DDR_A[12]
DDR_A[5]
DDR_A[3]
DDR_CKE
DDR_BA[0]
DDR_CS
DDR_D[13]
V
U
GP7[14]
GP7[15]
GP7[0]/
BOOT[0]
DDR_A[8]
DDR_A[4]
DDR_A[7]
DDR_A[0]
DDR_BA[2]
DDR_CAS
DDR_D[12]
U
T
GP7[1]/
BOOT[1]
GP7[2]/
BOOT[2]
GP7[3]/
BOOT[3]
DDR_A[11]
DDR_A[13]
DDR_A[9]
DDR_A[1]
DDR_WE
DDR_BA[1]
DDR_D[10]
T
R
GP7[4]/
BOOT[4]
GP7[5]/
BOOT[5]
GP7[6]/
BOOT[6]
DVDD3318_C
GP6[0]
DDR_VREF
DDR_DVDD18
DDR_DVDD18
DDR_DVDD18
DDR_DQM[1]
R
P
NC_P1
NC_P2
NC_P3
GP7[7]/
BOOT[7]
DVDD3318_C
DVDD3318_C
DDR_DVDD18
DDR_DVDD18
DDR_DVDD18
DDR_DVDD18
P
N
NC_N1
NC_N2
NC_N3
NC_N4
VSS
DDR_DVDD18
RVDD
CVDD
DDR_DVDD18
DDR_DVDD18
N
M
VSS
NC_M2
NC_M3
VSS
VSS
VSS
VSS
CVDD
CVDD
VSS
M
L
NC_L1
NC_L2
VSS
DVDD3318_C
VSS
DVDD18
VSS
VSS
VSS
VSS
L
K
VSS
VSS
GP6[3]
GP6[1]
DVDD18
CVDD
VSS
VSS
VSS
VSS
K
1
2
3
4
5
6
7
8
9
10
A
B
D
C
Figure 3-1. Pin Map (Quad A)
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
11
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
A
B
D
C
www.ti.com
11
12
13
14
15
16
17
18
19
W
DDR_D[7]
DDR_D[6]
DDR_DQM[0]
GP6[7]
GP6[10]
RMII_RXD[1]
RMII_RXER
RMII_MHZ_50_CLK
RMII_CRS_DV
W
V
DDR_DQS[1]
DDR_D[5]
DDR_D[4]
DDR_D[2]
GP6[6]
RMII_TXD[0]
RMII_RXD[0]
NC_V18
NC_V19
V
U
DDR_D[14]
DDR_ZP
DDR_D[3]
DDR_D[1]
DDR_D[0]
GP6[9]
GP6[11]
RMII_TXD[1]
NC_U19
U
T
DDR_D[9]
DDR_D[11]
DDR_D[8]
DDR_DQS[0]
GP6[8]
NC_T16
RESETOUT/
GP6[15]
CLKOUT/
GP6[14]
RSV2
T
R
DDR_DQGATE0
DDR_DQGATE1
DVDD18
RMII_TXEN
NC_R15
GP6[12]
GP6[13]
NC_R18
NC_R19
R
P
VSS
DVDD3318_C
DVDD18
NC_P14
NC_P15
USB0_ID
GP6[5]
NC_P18
NC_P19
P
N
VSS
VSS
DVDD3318_C
USB0_VDDA18
PLL1_VDDA
NC_N16
USB0_VDDA12
USB0_VDDA33
USB0_VBUS
N
M
VSS
USB_CVDD
DVDD3318_C
NC
PLL1_VSSA
TDI
PLL0_VSSA
USB0_DM
USB0_DP
M
L
VSS
CVDD
DVDD3318_C
RTC_CVDD
PLL0_VDDA
TMS
TRST
OSCVSS
OSCIN
L
K
VSS
CVDD
DVDD3318_C
RESET
DVDD3318_B
EMU1
RTCK/
GP8[0]
USB0_DRVVBUS
OSCOUT
K
11
12
13
14
15
16
17
18
19
Figure 3-2. Pin Map (Quad B)
12
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
A
B
D
C
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
11
12
13
14
15
16
17
18
19
J
VSS
CVDD
DVDD18
DVDD3318_B
TCK
EMU0
RSVDN
TDO
RTC_XI
J
H
CVDD
CVDD
CVDD
RVDD
VSS
SPI1_ENA/
GP2[12]
SPI1_SOMI/
GP2[11]
RTC_VSS
RTC_XO
H
G
DVDD18
DVDD18
CVDD
DVDD3318_A
DVDD3318_A
SPI1_SCS[7]/
I2C0_SCL/
TM64P2_OUT12/
GP1[5]
SPI1_SIMO/
GP2[10]
SPI1_SCS[6]/
I2C0_SDA/
TM64P3_OUT12/
GP1[4]
SPI1_CLK/
GP2[13]
G
F
DVDD3318_B
DVDD3318_B
DVDD3318_B
DVDD18
DVDD3318_A
SPI1_SCS[4]/
UART2_TXD/
GP1[2]
SPI1_SCS[5]/
UART2_RXD/
GP1[3]
SPI1_SCS[1]/
GP2[15]/
TM64P2_IN12
SPI1_SCS[2]/
UART1_TXD/
GP1[0]
F
E
EMA_A[18]/
MMCSD0_DAT[3]/
GP4[2]
EMA_A[16]/
MMCSD0_DAT[5]/
GP4[0]
EMA_A[6]/
GP5[6]
DVDD3318_B
CVDD
SPI0_SCS[1]/
TM64P0_OUT12/
GP1[7]/
MDCLK/
TM64P0_IN12
SPI0_SCS[3]/
UART0_CTS/
GP8[2]/
MII_RXD[1]
SPI1_SCS[3]/
UART1_RXD/
GP1[1]
SPI1_SCS[0]/
GP2[14]/
TM64P3_IN12
E
D
EMA_A[13]/
GP5[13]
EMA_A[9]/
GP5[9]
EMA_A[12]/
GP5[12]
EMA_A[3]/
GP5[3]
EMA_A[1]/
GP5[1]
SPI0_SCS[2]/
UART0_RTS/
GP8[1]/
MII_RXD[0]
SPI0_SCS[0]/
TM64P1_OUT12/
GP1[6]/
MDIO/
TM64P1_IN12
SPI0_SCS[4]/
UART0_TXD/
GP8[3]/
MII_RXD[2]
SPI0_CLK/
GP1[8]/
MII_RXCLK
D
C
EMA_A[15]/
MMCSD0_DAT[6]/
GP5[15]
EMA_A[10]/
GP5[10]
EMA_A[5]/
GP5[5]
EMA_A[0]/
GP5[0]
EMA_BA[0]/
GP2[8]
SPI0_SOMI/
GP8[6]/
MII_RXER
SPI0_ENA/
MII_RXDV
SPI0_SIMO/
GP8[5]/
MII_CRS
SPI0_SCS[5]/
UART0_RXD/
GP8[4]/
MII_RXD[3]
C
B
EMA_A[17]/
MMCSD0_DAT[4]/
GP4[1]
EMA_A[11]/
GP5[11]
EMA_A7/
GP5[7]
EMA_A[2]/
GP5[2]
EMA_OE/
GP3[10]
EMA_CS[5]/
GP3[12]
EMA_CS[2]/
GP3[15]
EMA_WAIT[0]/
GP3[8]
EMA_WAIT[1]/
GP2[1]
B
A
EMA_A[20]/
MMCSD0_DAT[1]/
GP4[4]
EMA_A[14]/
MMCSD0_DAT[7]/
GP5[14]
EMA_A[8]/
GP5[8]
EMA_A[4]/
GP5[4]
EMA_BA[1]/
GP2[9]
EMA_RAS/
GP2[5]
EMA_CS[3]/
GP3[14]
EMA_CS[0]/
GP2[0]
VSS
A
11
12
13
14
15
16
17
18
19
Figure 3-3. Pin Map (Quad C)
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
13
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
A
B
D
C
1
2
3
4
5
6
7
8
9
10
J
NC_J1
NC_J2
GP6[2]
GP8[13]
DVDD3318_C
CVDD
VSS
VSS
VSS
VSS
J
H
VSS
VSS
GP6[4]
GP8[9]
DVDD3318_A
CVDD
CVDD
VSS
VSS
CVDD
H
G
GP8[15]
GP8[14]
GP8[12]
GP8[8]
DVDD3318_A
DVDD18
CVDD
CVDD
DVDD3318_B
DVDD18
G
F
GP8[11]
GP8[10]
AXR0/
GP8[7]/
MII_TXD[0]
RTC_ALARM/
UART2_CTS/
GP0[8]/
DEEPSLEEP
DVDD3318_A
DVDD3318_B
DVDD3318_B
DVDD3318_B
EMA_CS[4]/
GP3[13]
DVDD3318_B
F
E
AXR1/
GP1[9]/
MII_TXD[1]
AXR2/
GP1[10]/
MII_TXD[2]
AXR3/
GP1[11]/
MII_TXD[3]
AXR8/
GP0[0]
RVDD
EMA_D[15]/
GP3[7]
EMA_D[5]/
GP4[13]
EMA_D[3]/
GP4[11]
MMCSD0_CLK/
GP4[7]
EMA_D[8]/
GP3[0]
E
D
AXR4/
GP1[12]/
MII_COL
AXR7/
GP1[15]
AXR5/
GP1[13]/
MII_TXCLK
AXR10/
GP0[2]
AMUTE/
UART2_RTS/
GP0[9]
EMA_D[11]/
GP3[3]
EMA_D[7]/
GP4[15]
EMA_SDCKE/
GP2[6]
EMA_D[9]/
GP3[1]
EMA_A_RW/
GP3[9]
D
C
AXR6/
GP1[14]/
MII_TXEN
AFSR/
GP0[13]
AXR9/
GP0[1]
AXR12/
GP0[4]
AXR11/
GP0[3]
EMA_D[6]/
GP4[14]
EMA_D[14]/
GP3[6]
EMA_WEN_DQM[0]/
GP2[3]
EMA_D[0]/
GP4[8]
EMA_A[19]/
MMCSD0_DAT[2]/
GP4[3]
C
B
ACLKX/
GP0[14]
AFSX/
GP0[12]
AXR13/
GP0[5]
AXR14/
GP0[6]
EMA_D[4]/
GP4[12]
EMA_D[13]/
GP3[5]
EMA_CLK/
GP2[7]
EMA_D[2]/
GP4[10]
EMA_WE/
GP3[11]
EMA_A[21]/
MMCSD0_DAT[0]/
GP4[5]
B
A
ACLKR/
GP0[15]
AHCLKR/
UART1_RTS/
GP0[11]
AHCLKX/
USB_REFCLKIN/
UART1_CTS/
GP0[10]
AXR15/
GP0[7]
EMA_WEN_DQM[1]/
GP2[2]
EMA_D[12]/
GP3[4]
EMA_D[10]/
GP3[2]
EMA_D[1]/
GP4[9]
EMA_CAS/
GP2[4]
EMA_A[22]/
MMCSD0_CMD/
GP4[6]
A
1
2
3
4
5
6
7
8
9
10
Figure 3-4. Pin Map (Quad D)
3.6
Pin Multiplexing Control
Device level pin multiplexing is controlled by registers PINMUX0 - PINMUX19 in the SYSCFG module.
For the device family, pin multiplexing can be controlled on a pin-by-pin basis. Each pin that is multiplexed
with several different functions has a corresponding 4-bit field in one of the PINMUX registers.
Pin multiplexing selects which of several peripheral pin functions controls the pin's IO buffer output data
and output enable values only. The default pin multiplexing control for almost every pin is to select 'none'
of the peripheral functions in which case the pin's IO buffer is held tri-stated.
Note that the input from each pin is always routed to all of the peripherals that share the pin; the PINMUX
registers have no effect on input from a pin.
14
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
3.7
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Terminal Functions
Table 3-3 to Table 3-20 identify the external signal names, the associated pin/ball numbers along with the
mechanical package designator, the pin type (I, O, IO, OZ, or PWR), whether the pin/ball has any internal
pullup/pulldown resistors, whether the pin/ball is configurable as an IO in GPIO mode, and a functional pin
description.
3.7.1
Device Reset and JTAG
Table 3-3. Reset and JTAG Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
RESET
RESET
RESETOUT / GP6[15]
K14
I
T17
(4)
O
IPU
B
Device reset input
CP[21]
C
Reset output
JTAG
TMS
L16
I
IPU
B
JTAG test mode select
TDI
TDO
M16
I
IPU
B
JTAG test data input
J18
O
IPU
B
JTAG test data output
TCK
J15
I
IPU
B
JTAG test clock
TRST
L17
I
IPD
B
JTAG test reset
EMU0
J16
I/O
IPU
B
Emulation pin
EMU1
K16
I/O
IPU
B
Emulation pin
K17
I/O
IPD
B
JTAG Test Clock Return Clock Output
General-purpose input/output
RTCK/ GP8[0]
(1)
(2)
(3)
(4)
(5)
(5)
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: For multiplexed pins where functions have different types (ie., input versus output), the table reflects the pin function direction for
that particular peripheral.
IPD = Internal Pulldown resistor, IPU = Internal Pullup resistor. CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. For more detailed information on pullup/pulldown resistors and situations
where external pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and
internal pulldown circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Open drain mode for RESETOUT function.
GP8[0] is initially configured as a reserved function after reset and will not be in a predictable state. This signal will only be stable after
the GPIO configuration for this pin has been completed. Users should carefully consider the system implications of this pin being in an
unknown state after reset.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
15
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.2
www.ti.com
High-Frequency Oscillator and PLL
Table 3-4. High-Frequency Oscillator and PLL Terminal Functions
SIGNAL
NAME
CLKOUT / GP6[14]
NO.
T18
TYPE (1)
O
PULL (2)
POWER
GROUP (3)
CP[22]
C
DESCRIPTION
PLL Observation Clock
1.2-V OSCILLATOR
OSCIN
L19
I
—
—
Oscillator input
OSCOUT
OSCVSS
K19
O
—
—
Oscillator output
L18
GND
—
—
Oscillator ground
1.2-V PLL0
PLL0_VDDA
L15
PWR
—
—
PLL analog VDD (1.2-V filtered supply)
PLL0_VSSA
M17
GND
—
—
PLL analog VSS (for filter)
1.2-V PLL1
PLL1_VDDA
N15
PWR
—
—
PLL analog VDD (1.2-V filtered supply)
PLL1_VSSA
M15
GND
—
—
PLL analog VSS (for filter)
(1)
(2)
(3)
16
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: For multiplexed pins where functions have different types (ie., input versus output), the table reflects the pin function direction for
that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. For more detailed information on pullup/pulldown resistors and situations
where external pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and
internal pulldown circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
3.7.3
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Real-Time Clock and 32-kHz Oscillator
Table 3-5. Real-Time Clock (RTC) and 1.2-V, 32-kHz Oscillator Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
RTC_XI
J19
I
—
—
RTC 32-kHz oscillator input
RTC_XO
H19
O
—
—
RTC 32-kHz oscillator output
RTC_ALARM / UART2_CTS / GP0[8] / DEEPSLEEP
F4
O
CP[0]
A
RTC Alarm
RTC_CVDD
L14
PWR
—
—
RTC module core power
(isolated from chip CVDD)
RTC_Vss
H18
GND
—
—
Oscillator ground
(1)
(2)
(3)
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: For multiplexed pins where functions have different types (ie., input versus output), the table reflects the pin function direction for
that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
3.7.4
DEEPSLEEP Power Control
Table 3-6. DEEPSLEEP Power Control Terminal Functions
SIGNAL
NAME
RTC_ALARM / UART2_CTS / GP0[8] / DEEPSLEEP
(1)
(2)
(3)
NO.
F4
TYPE (1)
PULL (2)
POWER
GROUP (3)
I
CP[0]
A
DESCRIPTION
DEEPSLEEP power control output
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: For multiplexed pins where functions have different types (ie., input versus output), the table reflects the pin function direction for
that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
17
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.5
www.ti.com
External Memory Interface A (EMIFA)
Table 3-7. External Memory Interface A (EMIFA) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
EMA_D[15] / GP3[7]
E6
I/O
CP[17]
B
EMA_D[14] / GP3[6]
C7
I/O
CP[17]
B
EMA_D[13] / GP3[5]
B6
I/O
CP[17]
B
EMA_D[12] / GP3[4]
A6
I/O
CP[17]
B
EMA_D[11] / GP3[3]
D6
I/O
CP[17]
B
EMA_D[10] / GP3[2]
A7
I/O
CP[17]
B
EMA_D[9] / GP3[1]
D9
I/O
CP[17]
B
EMA_D[8] / GP3[0]
E10
I/O
CP[17]
B
EMA_D[7] / GP4[15]
D7
I/O
CP[17]
B
EMA_D[6] / GP4[14]
C6
I/O
CP[17]
B
EMA_D[5] / GP4[13]
E7
I/O
CP[17]
B
EMA_D[4] / GP4[12]
B5
I/O
CP[17]
B
EMA_D[3] / GP4[11]
E8
I/O
CP[17]
B
EMA_D[2] / GP4[10]
B8
I/O
CP[17]
B
EMA_D[1] / GP4[9]
A8
I/O
CP[17]
B
EMA_D[0] / GP4[8]
C9
I/O
CP[17]
B
(1)
(2)
(3)
18
DESCRIPTION
EMIFA data bus
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 3-7. External Memory Interface A (EMIFA) Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
EMA_A[22] / MMCSD0_CMD / GP4[6]
A10
O
CP[18]
B
EMA_A[21] / MMCSD0_DAT[0] / GP4[5]
B10
O
CP[18]
B
EMA_A[20] / MMCSD0_DAT[1] / GP4[4]
A11
O
CP[18]
B
EMA_A[19] / MMCSD0_DAT[2] /GP4[3]
C10
O
CP[18]
B
EMA_A[18] / MMCSD0_DAT[3] / GP4[2]
E11
O
CP[18]
B
EMA_A[17] / MMCSD0_DAT[4] /GP4[1]
B11
O
CP[18]
B
EMA_A[16] / MMCSD0_DAT[5] / GP4[0]
E12
O
CP[18]
B
EMA_A[15] / MMCSD0_DAT[6] / GP5[15]
C11
O
CP[19]
B
EMA_A[14] / MMCSD0_DAT[7] / GP5[14]
A12
O
CP[19]
B
EMA_A[13] / GP5[13]
D11
O
CP[19]
B
EMA_A[12] / GP5[12]
D13
O
CP[19]
B
EMA_A[11] / GP5[11]
B12
O
CP[19]
B
EMA_A[10] / GP5[10]
C12
O
CP[19]
B
EMA_A[9] / GP5[9]
D12
O
CP[19]
B
EMA_A[8] / GP5[8]
A13
O
CP[19]
B
EMA_A[7] / GP5[7]
B13
O
CP[20]
B
EMA_A[6] / GP5[6]
E13
O
CP[20]
B
EMA_A[5] / GP5[5]
C13
O
CP[20]
B
EMA_A[4] / GP5[4]
A14
O
CP[20]
B
EMA_A[3] / GP5[3]
D14
O
CP[20]
B
EMA_A[2] / GP5[2]
B14
O
CP[20]
B
EMA_A[1] / GP5[1]
D15
O
CP[20]
B
EMA_A[0] / GP5[0]
C14
O
CP[20]
B
EMA_BA[0] / GP2[8]
C15
O
CP[16]
B
EMA_BA[1] / GP2[9]
A15
O
CP[16]
B
EMA_CLK / GP2[7]
B7
O
CP[16]
B
EMIFA clock
EMA_SDCKE / GP2[6]
D8
O
CP[16]
B
EMIFA SDRAM clock enable
EMA_RAS / GP2[5]
A16
O
CP[16]
B
EMIFA SDRAM row address strobe
EMA_CAS / GP2[4]
A9
O
CP[16]
B
EMIFA SDRAM column address strobe
EMA_CS[0] / GP2[0]
A18
O
CP[16]
B
EMIFA SDRAM Chip Select
EMA_CS[2] / GP3[15]
B17
O
CP[16]
B
EMA_CS[3] / GP3[14]
A17
O
CP[16]
B
EMA_CS[4] / GP3[13]
F9
O
CP[16]
B
EMA_CS[5] / GP3[12]
B16
O
CP[16]
B
EMA_A_RW / GP3[9]
D10
O
CP[16]
B
EMIFA Async Read/Write control
EMA_WE / GP3[11]
B9
O
CP[16]
B
EMIFA SDRAM write enable
EMA_WEN_DQM[1] / GP2[2]
A5
O
CP[16]
B
EMIFA write enable/data mask for
EMA_D[15:8]
EMA_WEN_DQM[0] / GP2[3]
C8
O
CP[16]
B
EMIFA write enable/data mask for EMA_D[7:0]
EMA_OE / GP3[10]
B15
O
CP[16]
B
EMIFA output enable
EMA_WAIT[0] / GP3[8]
B18
I
CP[16]
B
EMA_WAIT[1] / GP2[1]
B19
I
CP[16]
B
EMIFA address bus
EMIFA bank address
EMIFA Async Chip Select
EMIFA wait input/interrupt
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
19
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.6
www.ti.com
DDR2/mDDR Memory Controller
Table 3-8. DDR2/mDDR Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
DESCRIPTION
DDR_D[15]
W10
I/O
IPD
DDR_D[14]
U11
I/O
IPD
DDR_D[13]
V10
I/O
IPD
DDR_D[12]
U10
I/O
IPD
DDR_D[11]
T12
I/O
IPD
DDR_D[10]
T10
I/O
IPD
DDR_D[9]
T11
I/O
IPD
DDR_D[8]
T13
I/O
IPD
DDR_D[7]
W11
I/O
IPD
DDR_D[6]
W12
I/O
IPD
DDR_D[5]
V12
I/O
IPD
DDR_D[4]
V13
I/O
IPD
DDR_D[3]
U13
I/O
IPD
DDR_D[2]
V14
I/O
IPD
DDR_D[1]
U14
I/O
IPD
DDR_D[0]
U15
I/O
IPD
DDR_A[13]
T5
O
IPD
DDR_A[12]
V4
O
IPD
DDR_A[11]
T4
O
IPD
DDR_A[10]
W4
O
IPD
DDR_A[9]
T6
O
IPD
DDR_A[8]
U4
O
IPD
DDR_A[7]
U6
O
IPD
DDR_A[6]
W5
O
IPD
DDR_A[5]
V5
O
IPD
DDR_A[4]
U5
O
IPD
DDR_A[3]
V6
O
IPD
DDR_A[2]
W6
O
IPD
DDR_A[1]
T7
O
IPD
DDR_A[0]
U7
O
IPD
DDR_CLKP
W8
O
IPD
DDR2 clock (positive)
DDR_CLKN
W7
O
IPD
DDR2 clock (negative)
DDR_CKE
V7
O
IPD
DDR2 clock enable
DDR_WE
T8
O
IPD
DDR2 write enable
DDR_RAS
W9
O
IPD
DDR2 row address strobe
DDR_CAS
U9
O
IPD
DDR2 column address strobe
DDR_CS
V9
O
IPD
DDR2 chip select
(1)
(2)
20
DDR2 SDRAM data bus
DDR2 row/column address
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. For more detailed information on pullup/pulldown resistors and situations
where external pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and
internal pulldown circuits, see the Device Operating Conditions section.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 3-8. DDR2/mDDR Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
DESCRIPTION
DDR_DQM[0]
W13
O
IPD
DDR_DQM[1]
R10
O
IPD
DDR_DQS[0]
T14
I/O
IPD
DDR_DQS[1]
V11
I/O
IPD
DDR_BA[2]
U8
O
IPD
DDR_BA[1]
T9
O
IPD
DDR_BA[0]
V8
O
IPD
DDR_DQGATE0
R11
O
IPD
DDR2 loopback signal for external DQS gating.
Route to DDR and back to DDR_DQGATE1 with
same constraints as used for DDR clock and data.
DDR_DQGATE1
R12
I
IPD
DDR2 loopback signal for external DQS gating.
Route to DDR and back to DDR_DQGATE0 with
same constraints as used for DDR clock and data.
DDR_ZP
U12
O
—
DDR2 reference output for drive strength calibration
of N and P channel outputs. Tie to ground via 50
ohm resistor @ 5% tolerance.
DDR_VREF
R6
I
—
DDR voltage input for the DDR2/mDDR I/O buffers.
Note even in the case of mDDR an external resistor
divider connected to this pin is necessary.
N10, P10, N9,
P9, R9, P8,
R8, P7, R7,
N6
PWR
—
DDR PHY 1.8V power supply pins
DDR_DVDD18
DDR2 data mask outputs
DDR2 data strobe inputs/outputs
DDR2 SDRAM bank address
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
21
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.7
www.ti.com
Serial Peripheral Interface Modules (SPI)
Table 3-9. Serial Peripheral Interface (SPI) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
SPI0
SPI0_CLK / GP1[8] / MII_RXCLK
D19
I/O
CP[7]
A
SPI0 clock
SPI0_ENA / MII_RXDV
C17
I/O
CP[7]
A
SPI0 enable
SPI0_SCS[0] / TM64P1_OUT12 / GP1[6] / MDIO / TM64P1_IN12
D17
I/O
CP[10]
A
SPI0_SCS[1] / TM64P0_OUT12 / GP1[7] / MDCLK / TM64P0_IN12
E16
I/O
CP[10]
A
SPI0_SCS[2] / UART0_RTS / GP8[1] / MII_RXD[0]
D16
I/O
CP[9]
A
SPI0_SCS[3] / UART0_CTS / GP8[2] / MII_RXD[1]
E17
I/O
CP[9]
A
SPI0_SCS[4] / UART0_TXD / GP8[3] / MII_RXD[2]
D18
I/O
CP[8]
A
SPI0_SCS[5] / UART0_RXD / GP8[4] / MII_RXD[3]
C19
I/O
CP[8]
A
SPI0_SIMO / GP8[5] / MII_CRS
C18
I/O
CP[7]
A
SPI0 data slave-inmaster-out
SPI0_SOMI / GP8[6] / MII_RXER
C16
I/O
CP[7]
A
SPI0 data slave-outmaster-in
SPI0 chip selects
SPI1
SPI1_CLK / GP2[13]
G19
I/O
CP[15]
A
SPI1 clock
SPI1_ENA / GP2[12]
H16
I/O
CP[15]
A
SPI1 enable
SPI1_SCS[0] /GP2[14] / TM64P3_IN12
E19
I/O
CP[14]
A
SPI1_SCS[1] / GP2[15] / TM64P2_IN12
F18
I/O
CP[14]
A
SPI1_SCS[2] / UART1_TXD / GP1[0]
F19
I/O
CP[13]
A
SPI1_SCS[3] / UART1_RXD / GP1[1]
E18
I/O
CP[13]
A
SPI1_SCS[4] / UART2_TXD / GP1[2]
F16
I/O
CP[12]
A
SPI1_SCS[5] / UART2_RXD / GP1[3]
F17
I/O
CP[12]
A
SPI1_SCS[6] / I2C0_SDA / TM64P3_OUT12 / GP1[4]
G18
I/O
CP[11]
A
SPI1_SCS[7] / I2C0_SCL / TM64P2_OUT12 / GP1[5]
G16
I/O
CP[11]
A
SPI1_SIMO / GP2[10]
G17
I/O
CP[15]
A
SPI1 data slave-inmaster-out
SPI1_SOMI / GP2[11]
H17
I/O
CP[15]
A
SPI1 data slave-outmaster-in
(1)
(2)
(3)
22
SPI1 chip selects
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
3.7.8
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Boot
Table 3-10. Boot Mode Selection Terminal Functions (1)
SIGNAL
NAME
NO.
TYPE (2)
PULL (3)
POWER
GROUP (4)
GP7[7] / BOOT[7]
P4
I
CP[29]
C
GP7[6] / BOOT[6]
R3
I
CP[29]
C
GP7[5] / BOOT[5]
R2
I
CP[29]
C
GP7[4] / BOOT[4]
R1
I
CP[29]
C
GP7[3] / BOOT[3]
T3
I
CP[29]
C
GP7[2] / BOOT[2]
T2
I
CP[29]
C
GP7[1] / BOOT[1]
T1
I
CP[29]
C
GP7[0] / BOOT[0]
U3
I
CP[29]
C
(1)
(2)
(3)
(4)
DESCRIPTION
Boot Mode Selection Pins
Boot decoding is defined in the bootloader application report.
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
23
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.9
www.ti.com
Universal Asynchronous Receiver/Transmitters (UART0, UART1, UART2)
Table 3-11. Universal Asynchronous Receiver/Transmitter (UART) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
UART0
SPI0_SCS[5] / UART0_RXD / GP8[4] / MII_RXD[3]
C19
I
CP[8]
A
UART0 receive data
SPI0_SCS[4] / UART0_TXD / GP8[3] / MII_RXD[2]
D18
O
CP[8]
A
UART0 transmit data
SPI0_SCS[2] / UART0_RTS / GP8[1]
D16
O
CP[9]
A
UART0 ready-to-send output
SPI0_SCS[3] / UART0_CTS / GP8[2]
E17
I
CP[9]
A
UART0 clear-to-send input
UART1
SPI1_SCS[3] / UART1_RXD / GP1[1]
E18
I
CP[13]
A
UART1 receive data
SPI1_SCS[2] / UART1_TXD / GP1[0]
F19
O
CP[13]
A
UART1 transmit data
AHCLKR / UART1_RTS / GP0[11]
A2
O
CP[0]
A
UART1 ready-to-send output
AHCLKX / USB_REFCLKIN / UART1_CTS / GP0[10]
A3
I
CP[0]
A
UART1 clear-to-send input
UART2
SPI1_SCS[5] / UART2_RXD / GP1[3]
F17
I
CP[12]
A
UART2 receive data
SPI1_SCS[4] / UART2_TXD / GP1[2]
F16
O
CP[12]
A
UART2 transmit data
AMUTE / UART2_RTS / GP0[9]
D5
O
CP[0]
A
UART2 ready-to-send output
RTC_ALARM / UART2_CTS / GP0[8] / DEEPSLEEP
F4
I
CP[0]
A
UART2 clear-to-send input
(1)
(2)
(3)
24
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module.The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.10 Inter-Integrated Circuit Modules (I2C0)
Table 3-12. Inter-Integrated Circuit (I2C) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
I2C0
SPI1_SCS[6] / I2C0_SDA / TM64P3_OUT12 / GP1[4]
G18
I/O
CP[11]
A
I2C0 serial data
SPI1_SCS[7] / I2C0_SCL / TM64P2_OUT12 / GP1[5]
G16
I/O
CP[11]
A
I2C0 serial clock
(1)
(2)
(3)
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module.The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
25
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
3.7.11 Timers
Table 3-13. Timers Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
TIMER0
SPI0_SCS[1] / TM64P0_OUT12 / GP1[7] / MDCLK / TM64P0_IN12
E16
I
CP[10]
A
Timer0 lower input
SPI0_SCS[1] / TM64P0_OUT12 / GP1[7] / MDCLK / TM64P0_IN12
E16
O
CP[10]
A
Timer0 lower
output
TIMER1 (Watchdog)
SPI0_SCS[0] / TM64P1_OUT12 / GP1[6] / MDIO / TM64P1_IN12
D17
I
CP[10]
A
Timer1 lower input
SPI0_SCS[0] / TM64P1_OUT12 / GP1[6] / MDIO /TM64P1_IN12
D17
O
CP[10]
A
Timer1 lower
output
SPI1_SCS[1] / GP2[15] / TM64P2_IN12
F18
I
CP[14]
A
Timer2 lower input
SPI1_SCS[7] / I2C0_SCL / TM64P2_OUT12 / GP1[5]
G16
O
CP[11]
A
Timer2 lower
output
SPI1_SCS[0] / GP2[14] / TM64P3_IN12
E19
I
CP[14]
A
Timer3 lower input
SPI1_SCS[6] / I2C0_SDA / TM64P3_OUT12 / GP1[4]
G18
O
CP[11]
A
Timer3 lower
output
TIMER2
TIMER3
(1)
(2)
(3)
26
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.12 Multichannel Audio Serial Ports (McASP)
Table 3-14. Multichannel Audio Serial Ports Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
McASP0
AXR15 / GP0[7]
A4
I/O
CP[1]
A
AXR14 / GP0[6]
B4
I/O
CP[2]
A
AXR13 / GP0[5]
B3
I/O
CP[2]
A
AXR12 / GP0[4]
C4
I/O
CP[2]
A
AXR11 / GP0[3]
C5
I/O
CP[2]
A
AXR10 / GP0[2]
D4
I/O
CP[2]
A
AXR9 / GP0[1]
C3
I/O
CP[2]
A
AXR8 / GP0[0]
E4
I/O
CP[3]
A
AXR7 / GP1[15]
D2
I/O
CP[4]
A
AXR6 / GP1[14] / MII_TXEN
C1
I/O
CP[5]
A
AXR5 / GP1[13] / MII_TXCLK
D3
I/O
CP[5]
A
AXR4 / GP1[12] / MII_COL
D1
I/O
CP[5]
A
AXR3 / GP1[11] / MII_TXD[3]
E3
I/O
CP[5]
A
AXR2 / GP1[10] / MII_TXD[2]
E2
I/O
CP[5]
A
AXR1 / GP1[9] / MII_TXD[1]
E1
I/O
CP[5]
A
AXR0 / GP8[7] / MII_TXD[0]
F3
I/O
CP[6]
A
AHCLKX / USB_REFCLKIN / UART1_CTS / GP0[10]
A3
I/O
CP[0]
A
McASP0 transmit master clock
ACLKX / GP0[14]
B1
I/O
CP[0]
A
McASP0 transmit bit clock
AFSX / GP0[12]
B2
I/O
CP[0]
A
McASP0 transmit frame sync
AHCLKR / UART1_RTS /GP0[11]
A2
I/O
CP[0]
A
McASP0 receive master clock
ACLKR / GP0[15]
A1
I/O
CP[0]
A
McASP0 receive bit clock
AFSR / GP0[13]
C2
I/O
CP[0]
A
McASP0 receive frame sync
AMUTE / UART2_RTS / GP0[9]
D5
I/O
CP[0]
A
McASP0 mute output
(1)
(2)
(3)
McASP0 serial data
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
27
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
3.7.13 Universal Serial Bus Modules (USB0)
Table 3-15. Universal Serial Bus (USB) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
USB0 2.0 OTG (USB0)
USB0_DM
M18
A
IPD
—
USB0 PHY data minus
USB0_DP
M19
A
IPD
—
USB0 PHY data plus
USB0_VDDA33
N18
PWR
—
—
USB0 PHY 3.3-V supply
USB0_ID
P16
A
—
—
USB0 PHY identification
(mini-A or mini-B plug)
USB0_VBUS
N19
A
—
—
USB0 bus voltage
USB0_DRVVBUS
K18
O
IPD
B
USB0 controller VBUS control output.
AHCLKX / USB_REFCLKIN / UART1_CTS /
GP0[10]
A3
I
CP[0]
A
USB_REFCLKIN. Optional clock input
N14
PWR
—
—
USB0 PHY 1.8-V supply input
USB0_VDDA18
USB0_VDDA12
N17
A
—
—
USB0 PHY 1.2-V LDO output for bypass cap
For proper device operation, this pin must
always be connected via a 0.22-μF capacitor
to VSS (GND), even if USB0 is not being
used.
USB_CVDD
M12
PWR
—
—
USB0 core logic 1.2-V supply input
(1)
(2)
(3)
28
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.14 Ethernet Media Access Controller (EMAC)
Table 3-16. Ethernet Media Access Controller (EMAC) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
MII
AXR6 / GP1[14] / MII_TXEN
C1
O
CP[5]
A
EMAC MII Transmit enable output
AXR5 / GP1[13] / MII_TXCLK
D3
I
CP[5]
A
EMAC MII Transmit clock input
AXR4 / GP1[12] / MII_COL
D1
I
CP[5]
A
EMAC MII Collision detect input
AXR3 / GP1[11] / MII_TXD[3]
E3
O
CP[5]
A
AXR2 / GP1[10] / MII_TXD[2]
E2
O
CP[5]
A
AXR1 / GP1[9] / MII_TXD[1]
E1
O
CP[5]
A
AXR0 / GP8[7] / MII_TXD[0]
EMAC MII transmit data
F3
O
CP[6]
A
SPI0_SOMI / GP8[6] / MII_RXER
C16
I
CP[7]
A
EMAC MII receive error input
SPI0_SIMO / GP8[5] / MII_CRS
C18
I
CP[7]
A
EMAC MII carrier sense input
SPI0_CLK / GP1[8] / MII_RXCLK
D19
I
CP[7]
A
EMAC MII receive clock input
SPI0_ENA / MII_RXDV
C17
I
CP[7]
A
EMAC MII receive data valid input
SPI0_SCS[5] / UART0_RXD / GP8[4] / MII_RXD[3]
C19
I
CP[8]
A
SPI0_SCS[4] / UART0_TXD / GP8[3] / MII_RXD[2]
D18
I
CP[8]
A
SPI0_SCS[3] / UART0_CTS / GP8[2] / MII_RXD[1]
E17
I
CP[9]
A
SPI0_SCS[2] / UART0_RTS / GP8[1] / MII_RXD[0]
D16
I
CP[9]
A
RMII_MHZ_50_CLK
W18
I/O
CP[26]
C
EMAC 50-MHz clock input or output
RMII_RXER
W17
I
CP[26]
C
EMAC RMII receiver error
RMII_RXD[0]
V17
I
CP[26]
C
RMII_RXD[1]
W16
I
CP[26]
C
RMII_CRS_DV
W19
I
CP[26]
C
EMAC RMII carrier sense data valid
RMII_TXEN
R14
O
CP[26]
C
EMAC RMII transmit enable
RMII_TXD[0]
V16
O
CP[26]
C
RMII_TXD[1]
U18
O
CP[26]
C
EMAC MII receive data
RMII
EMAC RMII receive data
EMAC RMII transmit data
MDIO
SPI0_SCS[0] / TM64P1_OUT12 / GP1[6] / MDIO /
TM64P1_IN12
D17
I/O
CP[10]
A
MDIO serial data
SPI0_SCS[1] / TM64P0_OUT12 / GP1[7] / MDCLK /
TM64P0_IN12
E16
O
CP[10]
A
MDIO clock
(1)
(2)
(3)
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
29
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
3.7.15 Multimedia Card/Secure Digital (MMC/SD)
Table 3-17. Multimedia Card/Secure Digital (MMC/SD) Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
MMCSD0
MMCSD0_CLK / GP4[7]
E9
O
CP[18]
B
MMCSD0 Clock
EMA_A[22] / MMCSD0_CMD / GP4[6]
A10
I/O
CP[18]
B
MMCSD0 Command
EMA_A[14] / MMCSD0_DAT[7] / GP5[14]
A12
I/O
CP[19]
B
EMA_A[15] / MMCSD0_DAT[6] / GP5[15]
C11
I/O
CP[19]
B
EMA_A[16] / MMCSD0_DAT[5] / GP4[0]
E12
I/O
CP[18]
B
EMA_A[17] / MMCSD0_DAT[4] / GP4[1]
B11
I/O
CP[18]
B
EMA_A[18] / MMCSD0_DAT[3] / GP4[2]
E11
I/O
CP[18]
B
EMA_A[19] / MMCSD0_DAT[2] / GP4[3]
C10
I/O
CP[18]
B
EMA_A[20] / MMCSD0_DAT[1] / GP4[4]
A11
I/O
CP[18]
B
EMA_A[21] / MMCSD0_DAT[0] / GP4[5]
B10
I/O
CP[18]
B
(1)
(2)
(3)
30
MMC/SD0 data
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.16 General Purpose Input Output
Table 3-18. General Purpose Input Output Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
GP0
ACLKR / GP0[15]
A1
I/O
CP[0]
A
ACLKX / GP0[14]
B1
I/O
CP[0]
A
AFSR / GP0[13]
C2
I/O
CP[0]
A
AFSX / GP0[12]
B2
I/O
CP[0]
A
AHCLKR / UART1_RTS / GP0[11]
A2
I/O
CP[0]
A
AHCLKX / USB_REFCLKIN / UART1_CTS / GP0[10]
A3
I/O
CP[0]
A
AMUTE / UART2_RTS / GP0[9]
D5
I/O
CP[0]
A
RTC_ALARM / UART2_CTS / GP0[8] / DEEPSLEEP
F4
I/O
CP[0]
A
AXR15 / GP0[7]
A4
I/O
CP[1]
A
AXR14 / GP0[6]
B4
I/O
CP[2]
A
AXR13 / GP0[5]
B3
I/O
CP[2]
A
AXR12 / GP0[4]
C4
I/O
CP[2]
A
AXR11 / GP0[3]
C5
I/O
CP[2]
A
AXR10 / GP0[2]
D4
I/O
CP[2]
A
AXR9 / GP0[1]
C3
I/O
CP[2]
A
AXR8 / GP0[0]
E4
I/O
CP[3]
A
(1)
(2)
(3)
GPIO Bank 0
I = Input, O = Output, I/O = Bidirectional, Z = High impedance, PWR = Supply voltage, GND = Ground, A = Analog signal.
Note: The pin type shown refers to the input, output or high-impedance state of the pin function when configured as the signal name
highlighted in bold. All multiplexed signals may enter a high-impedance state when the configured function is input-only or the configured
function supports high-Z operation. All GPIO signals can be used as input or output. For multiplexed pins where functions have different
types (ie., input versus output), the table reflects the pin function direction for that particular peripheral.
IPD = Internal Pulldown resistor; IPU = Internal Pullup resistor; CP[n] = configurable pull-up/pull-down (where n is the pin group) using
the PUPDENA and PUPDSEL registers in the System Module. The pull-up and pull-down control of these pins is not active until the
device is out of reset. During reset, all of the pins associated with these registers are pulled down. If the application requires a pull-up,
an external pull-up can be used. For more detailed information on pullup/pulldown resistors and situations where external
pullup/pulldown resistors are required, see the Device Configuration section. For electrical specifications on pullup and internal pulldown
circuits, see the Device Operating Conditions section.
This signal is part of a dual-voltage IO group (A, B or C). These groups can be operated at 3.3V or 1.8V nominal. The three groups can
be operated at independent voltages but all pins withina group will operate at the same voltage. Group A operates at the voltage of
power supply DVDD3318_A. Group B operates at the voltage of power supply DVDD3318_B. Group C operates at the voltage of power
supply DVDD3318_C.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
31
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 3-18. General Purpose Input Output Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
GP1
AXR7 / GP1[15]
D2
I/O
CP[4]
A
AXR6 / GP1[14] / MII_TXEN
C1
I/O
CP[5]
A
AXR5 / GP1[13] / MII_TXCLK
D3
I/O
CP[5]
A
AXR4 / GP1[12] / MII_COL
D1
I/O
CP[5]
A
AXR3 / GP1[11] / MII_TXD[3]
E3
I/O
CP[5]
A
AXR2 / GP1[10] / MII_TXD[2]
E2
I/O
CP[5]
A
AXR1 / GP1[9] / MII_TXD[1]
E1
I/O
CP[5]
A
SPI0_CLK / GP1[8] / MII_RXCLK
D19
I/O
CP[7]
A
SPI0_SCS[1] / TM64P0_OUT12 / GP1[7] / MDCLK / TM64P0_IN12
E16
I/O
CP[10]
A
SPI0_SCS[0] / TM64P1_OUT12 / GP1[6] / MDIO / TM64P1_IN12
D17
I/O
CP[10]
A
SPI1_SCS[7] / I2C0_SCL / TM64P2_OUT12 / GP1[5]
G16
I/O
CP[11]
A
SPI1_SCS[6] / I2C0_SDA / TM64P3_OUT12 / GP1[4]
G18
I/O
CP[11]
A
SPI1_SCS[5] / UART2_RXD / GP1[3]
F17
I/O
CP[12]
A
SPI1_SCS[4] / UART2_TXD / GP1[2]
F16
I/O
CP[12]
A
SPI1_SCS[3] / UART1_RXD / GP1[1]
E18
I/O
CP[13]
A
F19
I/O
CP[13]
A
SPI1_SCS[2] / UART1_TXD / GP1[0]
GPIO Bank 1
GP2
SPI1_SCS[1] / GP2[15] / TM64P2_IN12
F18
I/O
CP[14]
A
SPI1_SCS[0] / GP2[14] / TM64P3_IN12
E19
I/O
CP[14]
A
SPI1_CLK / GP2[13]
G19
I/O
CP[15]
A
SPI1_ENA / GP2[12]
H16
I/O
CP[15]
A
SPI1_SOMI / GP2[11]
H17
I/O
CP[15]
A
SPI1_SIMO / GP2[10]
G17
I/O
CP[15]
A
EMA_BA[1] / GP2[9]
A15
I/O
CP[16]
B
EMA_BA[0] / GP2[8]
C15
I/O
CP[16]
B
EMA_CLK / GP2[7]
B7
I/O
CP[16]
B
EMA_SDCKE / GP2[6]
D8
I/O
CP[16]
B
EMA_RAS / GP2[5]
A16
I/O
CP[16]
B
EMA_CAS / GP2[4]
A9
I/O
CP[16]
B
EMA_WEN_DQM[0] / GP2[3]
C8
I/O
CP[16]
B
EMA_WEN_DQM[1] / GP2[2]
A5
I/O
CP[16]
B
EMA_WAIT[1] / GP2[1]
B19
I/O
CP[16]
B
EMA_CS[0] / GP2[0]
A18
I/O
CP[16]
B
32
Device Overview
GPIO Bank 2
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 3-18. General Purpose Input Output Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
GP3
EMA_CS[2] / GP3[15]
B17
I/O
CP[16]
B
EMA_CS[3] / GP3[14]
A17
I/O
CP[16]
B
EMA_CS[4] / GP3[13]
F9
I/O
CP[16]
B
EMA_CS[5] / GP3[12]
B16
I/O
CP[16]
B
EMA_WE / GP3[11]
B9
I/O
CP[16]
B
EMA_OE / GP3[10]
B15
I/O
CP[16]
B
EMA_A_RW / GP3[9]
D10
I/O
CP[16]
B
EMA_WAIT[0] / GP3[8]
B18
I/O
CP[16]
B
EMA_D[15] / GP3[7]
E6
I/O
CP[17]
B
EMA_D[14] / GP3[6]
C7
I/O
CP[17]
B
EMA_D[13] / GP3[5]
B6
I/O
CP[17]
B
EMA_D[12] / GP3[4]
A6
I/O
CP[17]
B
EMA_D[11] / GP3[3]
D6
I/O
CP[17]
B
EMA_D[10] / GP3[2]
A7
I/O
CP[17]
B
EMA_D[9] / GP3[1]
D9
I/O
CP[17]
B
E10
I/O
CP[17]
B
EMA_D[8] / GP3[0]
GPIO Bank 3
GP4
EMA_D[7] / GP4[15]
D7
I/O
CP[17]
B
EMA_D[6] / GP4[14]
C6
I/O
CP[17]
B
EMA_D[5] / GP4[13]
E7
I/O
CP[17]
B
EMA_D[4] / GP4[12]
B5
I/O
CP[17]
B
EMA_D[3] / GP4[11]
E8
I/O
CP[17]
B
EMA_D[2] / GP4[10]
B8
I/O
CP[17]
B
EMA_D[1] / GP4[9]
A8
I/O
CP[17]
B
EMA_D[0] / GP4[8]
C9
I/O
CP[17]
B
MMCSD0_CLK / GP4[7]
E9
I/O
CP[18]
B
EMA_A[22] / MMCSD0_CMD / GP4[6]
A10
I/O
CP[18]
B
EMA_A[21] / MMCSD0_DAT[0] / GP4[5]
B10
I/O
CP[18]
B
EMA_A[20] / MMCSD0_DAT[1] / GP4[4]
A11
I/O
CP[18]
B
EMA_A[19] / MMCSD0_DAT[2] / GP4[3]
C10
I/O
CP[18]
B
EMA_A[18] / MMCSD0_DAT[3] / GP4[2]
E11
I/O
CP[18]
B
EMA_A[17] / MMCSD0_DAT[4] / GP4[1]
B11
I/O
CP[18]
B
EMA_A[16] / MMCSD0_DAT[5] / GP4[0]
E12
I/O
CP[18]
B
GPIO Bank 4
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
33
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 3-18. General Purpose Input Output Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
GP5
EMA_A[15] / MMCSD0_DAT[6] / GP5[15]
C11
I/O
CP[19]
B
EMA_A[14] / MMCSD0_DAT[7] / GP5[14]
A12
I/O
CP[19]
B
EMA_A[13] / GP5[13]
D11
I/O
CP[19]
B
EMA_A[12] / GP5[12]
D13
I/O
CP[19]
B
EMA_A[11] / GP5[11]
B12
I/O
CP[19]
B
EMA_A[10] / GP5[10]
C12
I/O
CP[19]
B
EMA_A[9] / GP5[9]
D12
I/O
CP[19]
B
EMA_A[8] / GP5[8]
A13
I/O
CP[19]
B
EMA_A[7] / GP5[7]
B13
I/O
CP[20]
B
EMA_A[6] / GP5[6]
E13
I/O
CP[20]
B
EMA_A[5] / GP5[5]
C13
I/O
CP[20]
B
EMA_A[4] / GP5[4]
A14
I/O
CP[20]
B
EMA_A[3] / GP5[3]
D14
I/O
CP[20]
B
EMA_A[2] / GP5[2]
B14
I/O
CP[20]
B
EMA_A[1] / GP5[1]
D15
I/O
CP[20]
B
C14
I/O
CP[20]
B
EMA_A[0] / GP5[0]
GPIO Bank 5
GP6
RESETOUT / GP6[15]
T17
I/O
CP[21]
C
CLKOUT / GP6[14]
T18
I/O
CP[22]
C
GP6[13]
R17
I/O
CP[23]
C
GP6[12]
R16
I/O
CP[23]
C
GP6[11]
U17
I/O
CP[24]
C
GP6[10]
W15
I/O
CP[24]
C
GP6[9]
U16
I/O
CP[24]
C
GP6[8]
T15
I/O
CP[24]
C
GP6[7]
W14
I/O
CP[25]
C
GP6[6]
V15
I/O
CP[25]
C
GP6[5]
P17
I/O
CP[27]
C
GP6[4]
H3
I/O
CP[30]
C
GP6[3]
K3
I/O
CP[30]
C
GP6[2]
J3
I/O
CP[30]
C
GP6[1]
K4
I/O
CP[30]
C
GP6[0]
R5
I/O
CP[31]
C
34
Device Overview
GPIO Bank 6
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 3-18. General Purpose Input Output Terminal Functions (continued)
SIGNAL
NAME
NO.
TYPE (1)
PULL (2)
POWER
GROUP (3)
DESCRIPTION
GP7
GP7[15]
U2
I/O
CP[28]
C
GP7[14]
U1
I/O
CP[28]
C
GP7[13]
V3
I/O
CP[28]
C
GP7[12]
V2
I/O
CP[28]
C
GP7[11]
V1
I/O
CP[28]
C
GP7[10]
W3
I/O
CP[28]
C
GP7[9]
W2
I/O
CP[28]
C
GP7[8]
W1
I/O
CP[28]
C
GP7[7] / BOOT[7]
P4
I/O
CP[29]
C
GP7[6] / BOOT[6]
R3
I/O
CP[29]
C
GP7[5] / BOOT[5]
R2
I/O
CP[29]
C
GP7[4] / BOOT[4]
R1
I/O
CP[29]
C
GP7[3] / BOOT[3]
T3
I/O
CP[29]
C
GP7[2] / BOOT[2]
T2
I/O
CP[29]
C
GP7[1] / BOOT[1]
T1
I/O
CP[29]
C
U3
I/O
CP[29]
C
GP7[0] / BOOT[0]
GPIO Bank 7
GP8
GP8[15]
G1
I/O
CP30]
C
GP8[14]
G2
I/O
CP[30]
C
GP8[13]
J4
I/O
CP[30]
C
GP8[12]
G3
I/O
CP[30]
C
GP8[11]
F1
I/O
CP[31]
C
GP8[10]
F2
I/O
CP[31]
C
GP8[9]
H4
I/O
CP[31]
C
GP8[8]
G4
I/O
CP[31]
C
AXR0 / GP8[7] / MII_TXD[0]
F3
I/O
CP[6]
A
SPI0_SOMI / GP8[6] / MII_RXER
C16
I/O
CP[7]
A
SPI0_SIMO / GP8[5] / MII_CR
C18
I/O
CP[7]
A
SPI0_SCS[5] / UART0_RXD / GP8[4] / MII_RXD[3]
C19
I/O
CP[8]
A
SPI0_SCS[4] / UART0_TXD / GP8[3] / MII_RXD[2]
D18
I/O
CP[8]
A
SPI0_SCS[3] / UART0_CTS / GP8[2] / MII_RXD[1]
E17
I/O
CP[9]
A
SPI0_SCS[2] / UART0_RTS / GP8[1] / MII_RXD[0]
D16
I/O
CP[9]
A
K17
I/O
IPD
B
RTCK / GP8[0]
(1)
(1)
GPIO Bank 8
GP8[0] is initially configured as a reserved function after reset and will not be in a predictable state. This signal will only be stable after
the GPIO configuration for this pin has been completed. Users should carefully consider the system implications of this pin being in an
unknown state after reset.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
35
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
3.7.17 Reserved and No Connect
Table 3-19. Reserved and No Connect Terminal Functions
SIGNAL
NAME
NO.
TYPE (1)
RSV2
T19
PWR
NC_J1
J1
—
NC_J2
J2
—
NC_L1
L1
—
NC_L2
L2
—
NC_M2
M2
—
NC_M3
M3
—
NC_M14
M14
—
NC_N1
N1
—
NC_N2
N2
—
NC_N3
N3
—
NC_N4
N4
—
NC_N16
N16
—
NC_P1
P1
—
NC_P2
P2
—
NC_P3
P3
—
NC_P14
P14
—
NC_P15
P15
—
NC_P18
P18
—
NC_P19
P19
—
NC_R15
R15
—
NC_R18
R18
—
NC_R19
R19
—
NC_T16
T16
—
NC_U19
U19
—
NC_V18
V18
—
NC_V19
V19
—
RSVDN
J17
I
(1)
PWR = Supply voltage.
36
Device Overview
DESCRIPTION
Reserved. For proper device operation, this pin must be tied either directly to
CVDD or left unconnected (do not connect to ground).
These signals should be left unconnected (do not connect to connect to power
or ground).
Reserved. For proper device operation, the pin must be pulled up to supply
DVDD3318_B.
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
3.7.18 Supply and Ground
Table 3-20. Supply and Ground Terminal Functions
SIGNAL
NAME
TYPE (1)
NO.
DESCRIPTION
CVDD (Core supply)
E15, G7, G8,
G13, H6, H7,
H10, H11,
H12, H13, J6,
J12, K6, K12,
L12, M8, M9,
N8
PWR
Variable (1.2V - 1.0V) core supply voltage pins
RVDD (Internal RAM supply)
E5, H14, N7
PWR
1.2V internal ram supply voltage pins
DVDD18 (I/O supply)
F14, G6, G10,
G11, G12,
J13, K5, L6,
P13, R13
PWR
1.8V I/O supply voltage pins. DVDD18 must be powered even if all of
the DVDD3318_x supplies are operated at 3.3V.
DVDD3318_A (I/O supply)
F5, F15, G5,
G14, G15, H5
PWR
1.8V or 3.3-V dual-voltage LVCMOS I/O supply voltage pins, Group A
DVDD3318_B (I/O supply)
E14, F6, F7,
F8, F10, F11,
F12, F13, G9,
J14, K15
PWR
1.8V or 3.3-V dual-voltage LVCMOS I/O supply voltage pins, Group B
DVDD3318_C (I/O supply)
J5, K13, L4,
L13, M13,
N13, P5, P6,
P12, R4
PWR
1.8V or 3.3-V dual-voltage LVCMOS I/O supply voltage pins, Group C
VSS (Ground)
A19, H1, H2,
H8, H9, H15,
J7, J8, J9, J10,
J11, K1, K2,
K7, K8, K9,
K10, K11, L3,
L5, L7, L8, L9,
L10, L11, M1,
M4, M5, M6,
M7, M10, M11,
N5, N11, N12,
P11
GND
Ground pins.
USB0_VDDA33
N18
PWR
USB0 PHY 3.3-V supply
USB0_VDDA18
N14
PWR
USB0 PHY 1.8-V supply input
USB0_VDDA12
N17
A
USB_CVDD
M12
PWR
USB0 core logic 1.2-V supply input
DDR_DVDD18
N10, P10, N9,
P9, R9, P8,
R8, P7, R7, N6
PWR
DDR PHY 1.8V power supply pins
(1)
3.8
USB0 PHY 1.2-V LDO output for bypass cap
PWR = Supply voltage, GND - Ground.
Unused Pin Configurations
All signals multiplexed with multiple functions may be used as an alternate function if a given peripheral is
not used. Unused non-multiplexed signals and some other specific signals should be handled as specified
in the tables below.
Table 3-21. Unused USB0 Signal Configurations
SIGNAL NAME
Configuration (When USB0 is not used)
USB0_DM
No Connect
USB0_DP
No Connect
USB0_ID
No Connect
USB0_VBUS
No Connect
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
37
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 3-21. Unused USB0 Signal Configurations (continued)
SIGNAL NAME
Configuration (When USB0 is not used)
USB0_DRVVBUS
No Connect
USB0_VDDA33
No Connect
USB0_VDDA18
No Connect
USB0_VDDA12
Internal USB PHY output connected to an external 0.22-μF filter capacitor
USB_REFCLKIN
No Connect or other peripheral function
USB_CVDD
1.2V
Table 3-22. Unused RTC Signal Configuration
SIGNAL NAME
Configuration
RTC_XI
May be held high (CVDD) or low
RTC_XO
No Connect
RTC_ALARM
May be used as GPIO or other peripheral function
RTC_CVDD
Connect to CVDD
RTC_VSS
VSS
Table 3-23. Unused DDR2/mDDR Controller Signal Configuration
SIGNAL NAME
(1)
38
Configuration
DDR_D[15:0]
No Connect
DDR_A[13:0]
No Connect
DDR_CLKP
No Connect
DDR_CLKN
No Connect
DDR_CKE
No Connect
DDR_WE
No Connect
DDR_RAS
No Connect
DDR_CAS
No Connect
DDS_CS
No Connect
DDR_DQM[1:0]
No Connect
DDR_DQS[1:0]
No Connect
DDR_BA[2:0]
No Connect
DDR_DQGATE0
No Connect
DDR_DQGATE1
No Connect
DDR_ZP
No Connect
DDR_VREF
No Connect
DDR_DVDD18
No Connect
(1)
The DDR2/mDDR input buffers are enabled by default on device power up and a maximum current draw of 25mA can result on the 1.8V
supply. To minimize power consumption, the DDR2/mDDR controller input receivers should be placed in power-down mode by setting
VTPIO[14] = 1.
Device Overview
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
4 Device Configuration
4.1
Boot Modes
This device supports a variety of boot modes through an internal ARM ROM bootloader. This device does
not support dedicated hardware boot modes. The input states of the BOOT pins are sampled and latched
into the BOOTCFG register, which is part of the system configuration (SYSCFG) module, when device
reset is deasserted. Boot mode selection is determined by the values of the BOOT pins.
See Using the OMAP-L1x8 Bootloader Application Report (SPRAB41) for more details on the ROM Boot
Loader.
The following boot modes are supported:
• NAND Flash boot
– 8-bit NAND
– 16-bit NAND (supported on ROM revisions after d800k002 -- see the bootloader documents
mentioned above to determine the ROM revision)
• NOR Flash boot
– NOR Direct boot (8-bit or 16-bit)
– NOR Legacy boot (8-bit or 16-bit)
– NOR AIS boot (8-bit or 16-bit)
• I2C0 Boot
– EEPROM (Master Mode)
– External Host (Slave Mode)
• SPI0/ SPI1 Boot
– Serial Flash (Master Mode)
– SERIAL EEPROM (Master Mode)
– External Host (Slave Mode)
• UART0/UART1/UART2 Boot
– External Host
• MMC/SD0 Boot
4.2
SYSCFG Module
The following system level features of the chip are controlled by the SYSCFG peripheral:
• Readable Device, Die, and Chip Revision ID
• Control of Pin Multiplexing
• Priority of bus accesses different bus masters in the system
• Capture at power on reset the chip BOOT pin values and make them available to software
• Control of the DeepSleep power management function
• Enable and selection of the programmable pin pullups and pulldowns
• Special case settings for peripherals:
– Locking of PLL controller settings
– Default burst sizes for EDMA3 transfer controllers
– McASP AMUTEIN selection and clearing of AMUTE status for the McASP
– Control of the reference clock source and other side-band signals for both of the integrated USB
PHYs
– Clock source selection for EMIFA
– DDR2 Controller PHY settings
• Selects the source of emulation suspend signal (from ARM) of peripherals supporting this function.
Device Configuration
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
39
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Many registers are accessible only by a host (ARM) when it is operating in its privileged mode. (ex. from
the kernel, but not from user space code).
Table 4-1. System Configuration (SYSCFG) Module Register Access
Register Address
Register Name
Register Description
Register Access
0x01C1 4000
REVID
Revision Identification Register
—
0x01C14008
DIEIDR0
Device Identification Register 0
—
0x01C1400C
DIEIDR1
Device Identification Register 1
—
0x01C14010
DIEIDR2
Device Identification Register 2
—
0x01C14014
DIEIDR3
Device Identification Register 3
0x01C1 4020
BOOTCFG
Boot Configuration Register
Privileged mode
0x01C1 4038
KICK0R
Kick 0 Register
Privileged mode
0x01C1 403C
KICK1R
Kick 1 Register
Privileged mode
0x01C1 4040
HOST0CFG
Host 0 Configuration Register
0x01C1 4044
HOST1CFG
Host 1 Configuration Register
0x01C1 40E0
IRAWSTAT
Interrupt Raw Status/Set Register
Privileged mode
0x01C1 40E4
IENSTAT
Interrupt Enable Status/Clear Register
Privileged mode
0x01C1 40E8
IENSET
Interrupt Enable Register
Privileged mode
0x01C1 40EC
IENCLR
Interrupt Enable Clear Register
Privileged mode
0x01C1 40F0
EOI
End of Interrupt Register
Privileged mode
0x01C1 40F4
FLTADDRR
Fault Address Register
Privileged mode
0x01C1 40F8
FLTSTAT
Fault Status Register
0x01C1 4110
MSTPRI0
Master Priority 0 Registers
Privileged mode
0x01C1 4114
MSTPRI1
Master Priority 1 Registers
Privileged mode
0x01C1 4118
MSTPRI2
Master Priority 2 Registers
Privileged mode
0x01C1 4120
PINMUX0
Pin Multiplexing Control 0 Register
Privileged mode
0x01C1 4124
PINMUX1
Pin Multiplexing Control 1 Register
Privileged mode
0x01C1 4128
PINMUX2
Pin Multiplexing Control 2 Register
Privileged mode
0x01C1 412C
PINMUX3
Pin Multiplexing Control 3 Register
Privileged mode
0x01C1 4130
PINMUX4
Pin Multiplexing Control 4 Register
Privileged mode
0x01C1 4134
PINMUX5
Pin Multiplexing Control 5 Register
Privileged mode
0x01C1 4138
PINMUX6
Pin Multiplexing Control 6 Register
Privileged mode
0x01C1 413C
PINMUX7
Pin Multiplexing Control 7 Register
Privileged mode
0x01C1 4140
PINMUX8
Pin Multiplexing Control 8 Register
Privileged mode
0x01C1 4144
PINMUX9
Pin Multiplexing Control 9 Register
Privileged mode
0x01C1 4148
PINMUX10
Pin Multiplexing Control 10 Register
Privileged mode
0x01C1 414C
PINMUX11
Pin Multiplexing Control 11 Register
Privileged mode
0x01C1 4150
PINMUX12
Pin Multiplexing Control 12 Register
Privileged mode
0x01C1 4154
PINMUX13
Pin Multiplexing Control 13 Register
Privileged mode
0x01C1 4158
PINMUX14
Pin Multiplexing Control 14 Register
Privileged mode
0x01C1 415C
PINMUX15
Pin Multiplexing Control 15 Register
Privileged mode
0x01C1 4160
PINMUX16
Pin Multiplexing Control 16 Register
Privileged mode
0x01C1 4164
PINMUX17
Pin Multiplexing Control 17 Register
Privileged mode
0x01C1 4168
PINMUX18
Pin Multiplexing Control 18 Register
Privileged mode
0x01C1 416C
PINMUX19
Pin Multiplexing Control 19 Register
Privileged mode
0x01C1 4170
SUSPSRC
Suspend Source Register
Privileged mode
0x01C1 4174
Reserved
—
0x01C1 4178
Reserved
—
0x01C1 417C
CFGCHIP0
40
Chip Configuration 0 Register
Device Configuration
—
—
—
—
Privileged mode
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 4-1. System Configuration (SYSCFG) Module Register Access (continued)
Register Address
Register Name
Register Description
Register Access
0x01C1 4180
CFGCHIP1
Chip Configuration 1 Register
Privileged mode
0x01C1 4184
CFGCHIP2
Chip Configuration 2 Register
Privileged mode
0x01C1 4188
CFGCHIP3
Chip Configuration 3 Register
Privileged mode
0x01C1 418C
CFGCHIP4
Chip Configuration 4 Register
Privileged mode
0x01E2 C000
VTPIO_CTL
VTPIO COntrol Register
Privileged mode
0x01E2 C004
DDR_SLEW
DDR Slew Register
Privileged mode
0x01E2 C008
DeepSleep
DeepSleep Register
Privileged mode
0x01E2 C00C
PUPD_ENA
Pullup / Pulldown Enable Register
Privileged mode
0x01E2 C010
PUPD_SEL
Pullup / Pulldown Selection Register
Privileged mode
0x01E2 C014
RXACTIVE
RXACTIVE Control Register
Privileged mode
0x01E2 C018
PWRDN
PWRDN Control Register
Privileged mode
Device Configuration
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
41
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
4.3
www.ti.com
Pullup/Pulldown Resistors
Proper board design should ensure that input pins to the device always be at a valid logic level and not
floating. This may be achieved via pullup/pulldown resistors. The device features internal pullup (IPU) and
internal pulldown (IPD) resistors on most pins to eliminate the need, unless otherwise noted, for external
pullup/pulldown resistors.
An external pullup/pulldown resistor needs to be used in the following situations:
• Boot and Configuration Pins: If the pin is both routed out and 3-stated (not driven), an external
pullup/pulldown resistor is strongly recommended, even if the IPU/IPD matches the desired value/state.
• Other Input Pins: If the IPU/IPD does not match the desired value/state, use an external
pullup/pulldown resistor to pull the signal to the opposite rail.
For the boot and configuration pins, if they are both routed out and 3-stated (not driven), it is strongly
recommended that an external pullup/pulldown resistor be implemented. Although, internal
pullup/pulldown resistors exist on these pins and they may match the desired configuration value,
providing external connectivity can help ensure that valid logic levels are latched on these device boot and
configuration pins. In addition, applying external pullup/pulldown resistors on the boot and configuration
pins adds convenience to the user in debugging and flexibility in switching operating modes.
Tips for choosing an external pullup/pulldown resistor:
• Consider the total amount of current that may pass through the pullup or pulldown resistor. Make sure
to include the leakage currents of all the devices connected to the net, as well as any internal pullup or
pulldown resistors.
• Decide a target value for the net. For a pulldown resistor, this should be below the lowest VIL level of
all inputs connected to the net. For a pullup resistor, this should be above the highest VIH level of all
inputs on the net. A reasonable choice would be to target the VOL or VOH levels for the logic family of
the limiting device; which, by definition, have margin to the VIL and VIH levels.
• Select a pullup/pulldown resistor with the largest possible value; but, which can still ensure that the net
will reach the target pulled value when maximum current from all devices on the net is flowing through
the resistor. The current to be considered includes leakage current plus, any other internal and
external pullup/pulldown resistors on the net.
• For bidirectional nets, there is an additional consideration which sets a lower limit on the resistance
value of the external resistor. Verify that the resistance is small enough that the weakest output buffer
can drive the net to the opposite logic level (including margin).
• Remember to include tolerances when selecting the resistor value.
• For pullup resistors, also remember to include tolerances on the IO supply rail.
• For most systems, a 1-kΩ resistor can be used to oppose the IPU/IPD while meeting the above
criteria. Users should confirm this resistor value is correct for their specific application.
• For most systems, a 20-kΩ resistor can be used to compliment the IPU/IPD on the boot and
configuration pins while meeting the above criteria. Users should confirm this resistor value is correct
for their specific application.
• For more detailed information on input current (II), and the low-/high-level input voltages (VIL and VIH)
for the device, see Section 5.3, Recommended Operating Conditions.
• For the internal pullup/pulldown resistors for all device pins, see the peripheral/system-specific terminal
functions table.
42
Device Configuration
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
5 Specifications
5.1 Absolute Maximum Ratings Over Operating Junction Temperature Range
(Unless Otherwise Noted) (1)
Core Logic, Variable and Fixed
(CVDD, RVDD, RTC_CVDD, PLL0_VDDA , PLL1_VDDA ,
USB_CVDD ) (2)
Supply voltage ranges
I/O, 1.8V
(USB0_VDDA18, DDR_DVDD18)
-0.5 V to 1.4 V
-0.5 V to 2 V
(2)
I/O, 3.3V
(DVDD3318_A, DVDD3318_B, DVDD3318_C, USB0_VDDA33)
Input voltage (VI) ranges
Oscillator inputs (OSCIN, RTC_XI), 1.2V
-0.3 V to CVDD + 0.3V
Dual-voltage LVCMOS inputs, 3.3V or 1.8V (Steady State)
-0.3V to DVDD + 0.3V
Dual-voltage LVCMOS inputs, operated as 3.3V
(Transient Overshoot/Undershoot)
DVDD + 20%
up to 20% of Signal
Period
Dual-voltage LVCMOS inputs, operated as 1.8V
(Transient Overshoot/Undershoot)
DVDD + 30%
up to 30% of Signal
Period
USB 5V Tolerant IOs:
(USB0_DM, USB0_DP, USB0_ID)
5.25V (3)
USB0 VBUS Pin
5.50V (3)
Dual-voltage LVCMOS outputs, 3.3V or 1.8V
(Steady State)
Output voltage (VO) ranges
Operating Junction Temperature ranges,
TJ
(2)
(3)
5.2
DVDD + 20%
up to 20% of Signal
Period
Dual-voltage LVCMOS outputs, operated as 1.8V
(Transient Overshoot/Undershoot)
DVDD + 30%
up to 30% of Signal
Period
±20mA
Industrial
-40°C to 90°C
Handling Ratings
ESD Stress Voltage, VESD
(3)
Dual-voltage LVCMOS outputs, operated as 3.3V
(Transient Overshoot/Undershoot)
Stresses beyond those listed under "absolute maximum ratings" may cause permanent damage to the device. These are stress ratings
only, and functional operation of the device at these or any other conditions beyond those indicated under "recommended operating
conditions" is not implied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability.
All voltage values are with respect to VSS, USB0_VSSA33, USB0_VSSA, PLL0_VSSA, OSCVSS, RTC_VSS
Up to a maximum of 24 hours.
Storage temperature range, Tstg
(1)
(2)
-0.3 V to DVDD + 0.3V
Input or Output Voltages 0.3V above or below their respective power
rails. Limit clamp current that flows through the I/O's internal diode
protection cells.
Clamp Current
(1)
-0.5 V to 3.8V
(2)
(1)
(default)
Human Body Model (HBM)
(2)
Charged Device Model (CDM)
(3)
MIN
MAX
UNIT
-55
150
°C
>1
>1
kV
>500
>500
V
Electrostatic discharge (ESD) to measure device sensitivity/immunity to damage caused by electrostatic discharges into the device.
Level listed above is the passing level per ANSI/ESDA/JEDEC JS-001-2010. JEDEC document JEP 155 states that 500V HBM allows
safe manufacturing with a standard ESD control process, and manufacturing with less than 500V HBM is possible if necessary
precautions are taken. Pins listed as 1000V may actually have higher performance.
Level listed above is the passing level per EIA-JEDEC JESD22-C101E. JEDEC document JEP 157 states that 250V CDM allows safe
manufacturing with a standard ESD control process. Pins listed as 250V may actually have higher performance.
Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
43
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
5.3
www.ti.com
Recommended Operating Conditions
NAME
MIN
NOM
MAX
UNIT
Core Logic Supply Voltage (variable) 1.2V operating point
DESCRIPTION
1.14
1.2
1.32
V
1.1V operating point
1.05
1.1
1.16
V
1.0V operating point
0.95
1.0
1.05
V
300 MHz versions
1.14
1.2
1.32
V
RTC Core Logic Supply Voltage
0.9
1.2
1.32
V
PLL0_VDDA
PLL0 Supply Voltage
1.14
1.2
1.32
V
PLL1_VDDA
PLL1 Supply Voltage
1.14
1.2
1.32
V
USB_CVDD
USB0 Core Logic Supply Voltage
1.14
1.2
1.32
V
USB0_VDDA18
USB0 PHY Supply Voltage
1.71
1.8
1.89
V
USB0_VDDA33
USB0 PHY Supply Voltage
3.15
3.3
3.45
V
1.8V Logic Supply
1.71
1.8
1.89
V
(2)
DDR2 PHY Supply Voltage
1.71
1.8
1.89
V
DDR_VREF
DDR2/mDDR reference voltage
0.49*
DDR_DVDD18
0.5*
DDR_DVDD18
0.51*
DDR_DVDD18
V
DDR_ZP
DDR2/mDDR impedance control,
connected via 50Ω resistor to Vss
DVDD3318_A
Power Group A Dual-voltage IO
Supply Voltage
1.8V operating point
1.71
1.8
1.89
V
3.3V operating point
3.15
3.3
3.45
V
DVDD3318_B
Power Group B Dual-voltage IO
Supply Voltage
1.8V operating point
1.71
1.8
1.89
V
3.3V operating point
3.15
3.3
3.45
V
DVDD3318_C
Power Group C Dual-voltage IO
Supply Voltage
1.8V operating point
1.71
1.8
1.89
V
3.3V operating point
3.15
3.3
3.45
V
VSS
Core Logic Digital Ground
V
PLL0_VSSA
PLL0 Ground
V
PLL1_VSSA
PLL1 Ground
V
OSCVSS (3)
Oscillator Ground
V
RTC_VSS (3)
RTC Oscillator Ground
V
USB0_VSSA
USB0 PHY Ground
V
USB0_VSSA33
USB0 PHY Ground
V
VIH
High-level input voltage, Dual-voltage I/O, 3.3V (4)
CVDD
RVDD
Internal RAM Supply Voltage
RTC_CVDD
Supply
Voltage
DVDD18
(1)
(2)
DDR_DVDD18
Supply
Ground
Voltage
Input High
CONDITION
Vss
High-level input voltage, Dual-voltage I/O, 1.8V
Voltage
Input Low
USB
Transition
Time
(1)
(2)
(3)
(4)
(5)
44
VIL
(4)
V
2
V
0.65*DVDD
V
High-level input voltage, RTC_XI
0.8*RTC_CVDD
V
High-level input voltage, OSCIN
0.8*CVDD
Low-level input voltage, Dual-voltage I/O, 3.3V (4)
V
0.8
V
0.35*DVDD
V
Low-level input voltage, RTC_XI
0.2*RTC_CVDD
V
Low-level input voltage, OSCIN
0.2*CVDD
V
Low-level input voltage, Dual-voltage I/O, 1.8V
(4)
USB0_VBUS
USB external charge pump input
tt
Transition time, 10%-90%, All Inputs (unless otherwise
specified in the electrical data sections)
0
5.25
0.25P or 10
V
(5)
ns
The RTC provides an option for isolating the RTC_CVDD from the CVDD to reduce current leakage when the RTC is powered
independently. If these power supplies are not isolated (CTRL.SPLITPOWER=0), RTC_CVDD must be equal to or greater than CVDD.
If these power supplies are isolated (CTRL.SPLITPOWER=1), RTC_CVDD may be lower than CVDD.
DVDD18 must be powered even if all of the DVDD3318_x supplies are operated at 3.3V.
When an external crystal is used oscillator (OSC_VSS, RTC_VSS) ground must be kept separate from other grounds and connected
directly to the crystal load capacitor ground. These pins are shorted to VSS on the device itself and should not be connected to VSS on
the circuit board. If a crystal is not used and the clock input is driven directly, then the oscillator VSS may be connected to board ground.
These IO specifications apply to the dual-voltage IOs only and do not apply to the DDR2/mDDR interfaces. DDR2/mDDR IOs are 1.8V
IOs and adhere to the JESD79-2A standard.
Whichever is smaller. Where P = the period of the applied signal. Maintaining transition times as fast as possible is recommended to
improve noise immunity on input signals.
Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Recommended Operating Conditions (continued)
NAME
Operating
Frequency
FPLL0_SYSCLK6
DESCRIPTION
Industrial temperature grade (D
suffix)
CONDITION
MIN
NOM
MAX
CVDD = 1.2V
operating point
0
300
CVDD = 1.1V
operating point
0
200
CVDD = 1.0V
operating point
0
100
Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
UNIT
MHz
45
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
5.4
www.ti.com
Notes on Recommended Power-On Hours (POH)
The information in the section below is provided solely for your convenience and does not extend or
modify the warranty provided under TI’s standard terms and conditions for TI semiconductor products.
To avoid significant degradation, the device power-on hours (POH) must be limited to the following:
Table 5-1. Recommended Power-On Hours
Silicon
Revision
Speed Grade
Operating Junction
Temperature (Tj)
Nominal CVDD Voltage (V)
Power-On Hours [POH]
(hours)
B/E
300 MHz
-40 to 90 °C
1.2V
100,000
Note: Logic functions and parameter values are not assured out of the range specified in the recommended
operating conditions.
The above notations cannot be deemed a warranty or deemed to extend or modify the warranty under
TI’s standard terms and conditions for TI semiconductor products.
46
Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
5.5
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Electrical Characteristics Over Recommended Ranges of Supply Voltage and
Operating Junction Temperature (Unless Otherwise Noted)
PARAMETER
High-level output voltage
(dual-voltage LVCMOS IOs at 3.3V) (1)
VOH
High-level output voltage
(dual-voltage LVCMOS IOs at 1.8V) (1)
Low-level output voltage
(dual-voltage LVCMOS I/Os at 3.3V)
VOL
Low-level output voltage
(dual-voltage LVCMOS I/Os at 1.8V)
TEST CONDITIONS
MIN
TYP
II
Input current
(dual-voltage LVCMOS I/Os)
(2)
Input current (DDR2/mDDR I/Os)
UNIT
2.4
V
DVDD= 3.15V, IOH = -100 μA
2.95
V
DVDD-0.45
V
DVDD= 1.71V, IOH = -2 mA
DVDD= 3.15V, IOL = 4mA
0.4
V
DVDD= 3.15V, IOL = 100 μA
0.2
V
DVDD= 1.71V, IOL = 2mA
0.45
V
±9
μA
VI = VSS to DVDD without opposing
internal resistor
(1)
MAX
DVDD= 3.15V, IOH = -4 mA
VI = VSS to DVDD with opposing
internal pullup resistor (3)
70
310
μA
VI = VSS to DVDD with opposing
internal pulldown resistor (3)
-75
-270
μA
VI = VSS to DVDD with opposing
internal pulldown resistor (3)
-77
-286
μA
IOH
High-level output current (1)
(dual-voltage LVCMOS I/Os)
-6
mA
IOL
Low-level output current (1)
(dual-voltage LVCMOS I/Os)
6
mA
Capacitance
(1)
(2)
(3)
Input capacitance (dual-voltage
LVCMOS)
3
pF
Output capacitance (dual-voltage
LVCMOS)
3
pF
These IO specifications apply to the dual-voltage IOs only and do not apply to the DDR2/mDDR interface. DDR2/mDDR IOs are 1.8V
IOs and adhere to the JESD79-2A standard. USB0 I/Os adhere to the USB2.0 standard.
II applies to input-only pins and bi-directional pins. For input-only pins, II indicates the input leakage current. For bi-directional pins, II
indicates the input leakage current and off-state (Hi-Z) output leakage current.
Applies only to pins with an internal pullup (IPU) or pulldown (IPD) resistor. The pull-up and pull-down strengths shown represent the
minimum and maximum strength across process variation.
Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
47
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6 Peripheral Information and Electrical Specifications
6.1
Parameter Information
6.1.1
Parameter Information Device-Specific Information
Tester Pin Electronics
42 Ω
3.5 nH
Transmission Line
Z0 = 50 Ω
(see note)
4.0 pF
A.
1.85 pF
Data Sheet Timing Reference Point
Output
Under
Test
Device Pin
(see note)
The data sheet provides timing at the device pin. For output timing analysis, the tester pin electronics and its
transmission line effects must be taken into account. A transmission line with a delay of 2 ns or longer can be used to
produce the desired transmission line effect. The transmission line is intended as a load only. It is not necessary to
add or subtract the transmission line delay (2 ns or longer) from the data sheet timings.
Input requirements in this data sheet are tested with an input slew rate of < 4 Volts per nanosecond (4 V/ns) at the
device pin and the input signals are driven between 0V and the appropriate IO supply rail for the signal.
Figure 6-1. Test Load Circuit for AC Timing Measurements
The load capacitance value stated is only for characterization and measurement of AC timing signals. This
load capacitance value does not indicate the maximum load the device is capable of driving.
6.1.1.1
Signal Transition Levels
All input and output timing parameters are referenced to Vref for both "0" and "1" logic levels.
For 3.3 V I/O, Vref = 1.65 V.
For 1.8 V I/O, Vref = 0.9 V.
For 1.2 V I/O, Vref = 0.6 V.
Vref
Figure 6-2. Input and Output Voltage Reference Levels for AC Timing Measurements
All rise and fall transition timing parameters are referenced to VIL MAX and VIH MIN for input clocks,
VOLMAX and VOH MIN for output clocks.
Vref = VIH MIN (or V OH MIN)
Vref = VIL MAX (or V OL MAX)
Figure 6-3. Rise and Fall Transition Time Voltage Reference Levels
48
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.2
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Recommended Clock and Control Signal Transition Behavior
All clocks and control signals must transition between VIH and VIL (or between VIL and VIH) in a monotonic
manner.
6.3
6.3.1
Power Supplies
Power-On Sequence
The device should be powered-on in the following order:
1. RTC (RTC_CVDD) may be powered from an external device (such as a battery) prior to all other
supplies being applied or powered-up at the same time as CVDD. If the RTC is not used, RTC_CVDD
should be connected to CVDD. RTC_CVDD should not be left unpowered while CVDD is powered.
2. Core logic supplies:
(a) All variable 1.2V - 1.0V core logic supplies (CVDD)
(b) All static core logic supplies (RVDD, PLL0_VDDA, PLL1_VDDA, USB_CVDD). If voltage scaling is
not used on the device, groups 2a) and 2b) can be controlled from the same power supply and
powered up together.
3. All static 1.8V IO supplies (DVDD18, DDR_DVDD18, USB0_VDDA18) and any of the LVCMOS IO
supply groups used at 1.8V nominal (DVDD3318_A, DVDD3318_B, or DVDD3318_C).
4. All analog 3.3V PHY supplies (USB0_VDDA33; this is not required if USB0 is not used) and any of the
LVCMOS IO supply groups used at 3.3V nominal (DVDD3318_A, DVDD3318_B, or DVDD3318_C).
There is no specific required voltage ramp rate for any of the supplies as long as the LVCMOS supplies
operated at 3.3V (DVDD3318_A, DVDD3318_B, or DVDD3318_C) never exceed the STATIC 1.8V
supplies by more than 2 volts.
RESET must be maintained active until all power supplies have reached their nominal values.
6.3.2
Power-Off Sequence
The power supplies can be powered-off in any order as long as LVCMOS supplies operated at 3.3V
(DVDD3318_A, DVDD3318_B, or DVDD3318_C) never exceed static 1.8V supplies by more than 2 volts.
There is no specific required voltage ramp down rate for any of the supplies (except as required to meet
the above mentioned voltage condition).
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
49
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.4
6.4.1
www.ti.com
Reset
Power-On Reset (POR)
A power-on reset (POR) is required to place the device in a known good state after power-up. Power-On
Reset is initiated by bringing RESET and TRST low at the same time. POR sets all of the device internal
logic to its default state. All pins are tri-stated with the exception of RESETOUT which remains active
through the reset sequence, and RTCK/GP8[0]. If an emulator is driving TCK into the device during reset,
then RTCK/GP8[0] will drive out RTCK. If TCK is not being driven into the device during reset, then
RTCK/GP8[0] will drive low. RESETOUT in an output for use by other controllers in the system that
indicates the device is currently in reset.
While both TRST and RESET need to be asserted upon power up, only RESET needs to be released for
the device to boot properly. TRST may be asserted indefinitely for normal operation, keeping the JTAG
port interface and device's emulation logic in the reset state.
TRST only needs to be released when it is necessary to use a JTAG controller to debug the device or
exercise the device's boundary scan functionality. Note: TRST is synchronous and must be clocked by
TCK; otherwise, the boundary scan logic may not respond as expected after TRST is asserted.
RESET must be released only in order for boundary-scan JTAG to read the variant field of IDCODE
correctly. Other boundary-scan instructions work correctly independent of current state of RESET. For
maximum reliability, the device includes an internal pulldown on the TRST pin to ensure that TRST will
always be asserted upon power up and the device's internal emulation logic will always be properly
initialized.
JTAG controllers from Texas Instruments actively drive TRST high. However, some third-party JTAG
controllers may not drive TRST high but expect the use of a pullup resistor on TRST. When using this type
of JTAG controller, assert TRST to intialize the device after powerup and externally drive TRST high
before attempting any emulation or boundary scan operations.
RTCK/GP8[0] is maintained active through a POR.
A
•
•
•
•
•
summary of the effects of Power-On Reset is given below:
All internal logic (including emulation logic and the PLL logic) is reset to its default state
Internal memory is not maintained through a POR
RESETOUT goes active
All device pins go to a high-impedance state
The RTC peripheral is not reset during a POR. A software sequence is required to reset the RTC
CAUTION: A watchdog reset triggers a POR.
6.4.2
Warm Reset
A warm reset provides a limited reset to the device. Warm Reset is initiated by bringing only RESET low
(TRST is maintained high through a warm reset). Warm reset sets certain portions of the device to their
default state while leaving others unaltered. All pins are tri-stated with the exception of RESETOUT which
remains active through the reset sequence, and RTCK/GP8[0]. If an emulator is driving TCK into the
device during reset, then RTCK/GP8[0] will drive out RTCK. If TCK is not being driven into the device
during reset, then RTCK/GP8[0] will drive low. RESETOUT is an output for use by other controllers in the
system that indicates the device is currently in reset.
During an emulation, the emulator will maintain TRST high and hence only warm reset (not POR) is
available during emulation debug and development.
RTCK/GP8[0] is maintained active through a warm reset.
A summary of the effects of Warm Reset is given below:
• All internal logic (except for the emulation logic and the PLL logic) is reset to its default state
50
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
•
•
•
•
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Internal memory is maintained through a warm reset
RESETOUT goes active
All device pins go to a high-impedance state
The RTC peripheral is not reset during a warm reset. A software sequence is required to reset the
RTC
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
51
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.4.3
www.ti.com
Reset Electrical Data Timings
Table 6-1 assumes testing over the recommended operating conditions.
Table 6-1. Reset Timing Requirements ( (1),
(2)
)
1.2V
NO.
MIN
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
UNIT
1
tw(RSTL)
Pulse width, RESET/TRST low
100
100
100
ns
2
tsu(BPV-RSTH)
Setup time, boot pins valid before RESET/TRST high
20
20
20
ns
3
th(RSTH-BPV)
Hold time, boot pins valid after RESET/TRST high
20
20
20
ns
td(RSTH-
RESET high to RESETOUT high; Warm reset
4096
4096
4096
cycles (3)
RESETOUTH)
RESET high to RESETOUT high; Power-on Reset
6169
6169
6169
td(RSTL-
Delay time, RESET/TRST low to RESETOUT low
4
5
14
16
20
ns
RESETOUTL)
(1)
(2)
(3)
RESETOUT is multiplexed with other pin functions. See the Terminal Functions table, Table 3-3 for details.
For power-on reset (POR), the reset timings in this table refer to RESET and TRST together. For warm reset, the reset timings in this
table refer to RESET only (TRST is held high).
OSCIN cycles.
Power
Supplies
Ramping
Power Supplies Stable
Clock Source Stable
OSCIN
1
RESET
TRST
4
RESETOUT
3
2
Boot Pins
Config
Figure 6-4. Power-On Reset (RESET and TRST active) Timing
52
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Power Supplies Stable
OSCIN
TRST
1
RESET
5
4
RESETOUT
3
2
Boot Pins
Driven or Hi-Z
Config
Figure 6-5. Warm Reset (RESET active, TRST high) Timing
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
53
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.5
www.ti.com
Crystal Oscillator or External Clock Input
The device includes two choices to provide an external clock input, which is fed to the on-chip PLLs to
generate high-frequency system clocks. These options are illustrated in Figure 6-6 and Figure 6-7. For
input clock frequencies between 12 and 20 MHz, a crystal with 80 ohm max ESR is recommended. For
input clock frequencies between 20 and 30 MHz, a crystal with 60 ohm max ESR is recommended.
Typical load capacitance values are 10-20 pF, where the load capacitance is the series combination of C1
and C2.
The CLKMODE bit in the PLLCTL register must be 0 to use the on-chip oscillator. If CLKMODE is set to 1,
the internal oscillator is disabled.
Figure 6-6 illustrates the option that uses on-chip 1.2V oscillator with external crystal circuit. Figure 6-7
illustrates the option that uses an external 1.2V clock input.
C2
OSCIN
Clock Input
to PLL
X1
OSCOUT
C1
OSCVSS
Figure 6-6. On-Chip Oscillator
Table 6-2. Oscillator Timing Requirements
fosc
54
Oscillator frequency range (OSCIN/OSCOUT)
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
MIN
MAX
UNIT
12
30
MHz
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Clock
Input
to PLL
OSCIN
NC
OSCOUT
OSCVSS
Figure 6-7. External 1.2V Clock Source
Table 6-3. OSCIN Timing Requirements for an Externally Driven Clock
MIN
MAX
UNIT
fOSCIN
OSCIN frequency range
12
50
MHz
tc(OSCIN)
Cycle time, external clock driven on OSCIN
20
ns
tw(OSCINH)
Pulse width high, external clock on OSCIN
0.4 tc(OSCIN)
ns
tw(OSCINL)
Pulse width low, external clock on OSCIN
0.4 tc(OSCIN)
tt(OSCIN)
Transition time, OSCIN
tj(OSCIN)
Period jitter, OSCIN
(1)
6.6
ns
0.25P or 10
(1)
0.02P
ns
ns
Whichever is smaller. P = the period of the applied signal. Maintaining transition times as fast as possible is recommended to improve
noise immunity on input signals.
Clock PLLs
The device has two PLL controllers that provide clocks to different parts of the system. PLL0 provides
clocks (though various dividers) to most of the components of the device. PLL1 provides clocks to the
mDDR/DDR2 Controller and provides an alternate clock source for the ASYNC3 clock domain. This allows
the peripherals on the ASYNC3 clock domain to be immune to frequency scaling operation on PLL0.
The PLL controller provides the following:
• Glitch-Free Transitions (on changing clock settings)
• Domain Clocks Alignment
• Clock Gating
• PLL power down
The various clock outputs given by the controller are as follows:
• Domain Clocks: SYSCLK [1:n]
• Auxiliary Clock from reference clock source: AUXCLK
Various dividers that can be used are as follows:
• Post-PLL Divider: POSTDIV
• SYSCLK Divider: D1, ¼, Dn
Various other controls supported are as follows:
• PLL Multiplier Control: PLLM
• Software programmable PLL Bypass: PLLEN
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
55
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.6.1
www.ti.com
PLL Device-Specific Information
The PLL requires some external filtering components to reduce power supply noise as shown in Figure 68.
1.14V - 1.32V
PLL0_VDDA
50R
0.1
µF
0.01
µF
VSS
50R
PLL0_VSSA
1.14V - 1.32V
50R
PLL1_VDDA
0.1
µF
VSS
0.01
µF
50R
PLL1_VSSA
Ferrite Bead: Murata BLM31PG500SN1L or Equivalent
Figure 6-8. PLL External Filtering Components
The external filtering components shown above provide noise immunity for the PLLs. PLL0_VDDA and
PLL1_VDDA should not be connected together to provide noise immunity between the two PLLs.
Likewise, PLL0_VSSA and PLL1_VSSA should not be connected together.
The input to the PLL is either from the on-chip oscillator or from an external clock on the OSCIN pin. PLL0
outputs seven clocks that have programmable divider options. PLL1 outputs three clocks that have
programmable divider options. Figure 6-9 illustrates the high-level view of the PLL Topology.
The PLLs are disabled by default after a device reset. They must be configured by software according to
the allowable operating conditions listed in Table 6-4 before enabling the device to run from the PLL by
setting PLLEN = 1.
56
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
PLL Controller 0
PLLCTL[EXTCLKSRC]
PLL1_SYSCLK3
PLLCTL[CLKMODE]
1
PLLCTL[PLLEN]
0
OSCIN
0
Square
Wave
1
Crystal
0
PREDIV
POSTDIV
PLL
1
PLLM
DEEPSLEEP
Enable
PLLDIV1 (/1)
SYSCLK1
PLLDIV2 (/2)
SYSCLK2
PLLDIV4 (/4)
SYSCLK4
PLLDIV5 (/3)
SYSCLK5
PLLDIV6 (/1)
SYSCLK6
PLLDIV7 (/6)
SYSCLK7
PLLDIV3 (/3)
SYSCLK3
EMIFA
Internal
Clock
Source
0
1
DIV4.5
CFGCHIP3[EMA_CLKSRC]
AUXCLK
PLLC0 OBSCLK
(CLKOUT Pin)
DIV4.5
OSCDIV
14h
17h
18h
19h
1Ah
1Bh
1Ch
1Dh
1Eh
SYSCLK1
SYSCLK2
SYSCLK3
SYSCLK4
SYSCLK5
SYSCLK6
SYSCLK7
PLLC1 OBSCLK
OCSEL[OCSRC]
PLLCTL[PLLEN]
0
1
POSTDIV
PLL
PLLM
SYSCLK1
SYSCLK2
SYSCLK3
PLL Controller 1
PLLDIV2 (/2)
SYSCLK2
PLLDIV3 (/3)
SYSCLK3
PLLDIV1 (/1)
SYSCLK1
DDR2/mDDR
Internal
Clock
Source
14h
17h
18h
19h
OSCDIV
PLLC1 OBSCLK
OCSEL[OCSRC]
Figure 6-9. PLL Topology
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
57
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-4. Allowed PLL Operating Conditions (PLL0 and PLL1)
NO.
1
PARAMETER
PLLRST: Assertion time during initialization
Lock time: The time that the application has to wait for
the PLL to acquire lock before setting PLLEN, after
changing PREDIV, PLLM, or OSCIN
2
3
PREDIV: Pre-divider value
Default
Value
MIN
MAX
UNIT
N/A
1000
N/A
ns
N/A
2000 N
Max PLL Lock Time =
m
where N = Pre-Divider Ratio
OSCIN
cycles
N/A
M = PLL Multiplier
/1
/1
/32
12
30 (if internal oscillator is used)
50 (if external clock source is used)
4
PLLREF: PLL input frequency
5
PLLM: PLL multiplier values (1)
x20
x4
x32
6
PLLOUT: PLL output frequency
N/A
300
600
7
POSTDIV: Post-divider value
/1
/1
/32
(1)
MHz
MHz
The multiplier values must be chosen such that the PLL output frequency (at PLLOUT) is between 300 and 600 MHz, but the frequency
going into the SYSCLK dividers (after the post divider) cannot exceed the maximum clock frequency defined for the device at a given
voltage operating point.
6.6.2
Device Clock Generation
PLL0 is controlled by PLL Controller 0 and PLL1 is controlled by PLL Controller 1. PLLC0 and PLLC1
manage the clock ratios, alignment, and gating for the system clocks to the chip. The PLLCs are
responsible for controlling all modes of the PLL through software, in terms of pre-division of the clock
inputs (PLLC0 only), multiply factors within the PLLs, and post-division for each of the chip-level clocks
from the PLLs outputs. PLLC0 also controls reset propagation through the chip, clock alignment, and test
points.
PLLC0 provides clocks for the majority of the system but PLLC1 provides clocks to the mDDR/DDR2
Controller and the ASYNC3 clock domain to provide frequency scaling immunity to a defined set or
peripherals. The ASYNC3 clock domain can either derive its clock from PLL1_SYSCLK2 (for frequency
scaling immunity from PLL0) or from PLL0_SYSCLK2 (for synchronous timing with PLL0) depending on
the application requirements. In addition, some peripherals have specific clock options independent of the
ASYNC clock domain.
6.6.3
Dynamic Voltage and Frequency Scaling (DVFS)
The processor supports multiple operating points by scaling voltage and frequency to minimize power
consumption for a given level of processor performance.
Frequency scaling is achieved by modifying the setting of the PLL controllers’ multipliers, post-dividers
(POSTDIV), and system clock dividers (SYSCLKn). Modification of the POSTDIV and SYSCLK values
does not require relocking the PLL and provides lower latency to switch between operating points, but at
the expense of the frequencies being limited by the integer divide values (only the divide values are
altered the PLL multiplier is left unmodified). Non integer divide frequency values can be achieved by
changing both the multiplier and the divide values, but when the PLL multiplier is changed the PLL must
relock, incurring additional latency to change between operating points. Detailed information on modifying
the PLL Controller settings can be found in the AM1802 ARM Microprocessor System Reference Guide
(literature number SPRUGX5).
Voltage scaling is enabled from outside the device by controlling an external voltage regulator. The
processor may communicate with the regulator using GPIOs, I2C or some other interface. When switching
between voltage-frequency operating points, the voltage must always support the desired frequency.
When moving from a high-performance operating point to a lower performance operating point, the
frequency should be lowered first followed by the voltage. When moving from a low-performance operating
point to a higher performance operating point, the voltage should be raised first followed by the frequency.
Voltage operating points refer to the CVdd voltage at that point. Other static supplies must be maintained
at their nominal voltages at all operating points.
58
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
The maximum voltage slew rate for CVdd supply changes is 1 mV/us.
For additional information on power management solutions from TI for this processor, follow the Power
Management link in the Product Folder on www.ti.com for this processor.
The processor supports multiple clock domains some of which have clock ratio requirements to each
other. PLL0_SYSCLK2:PLL0_SYSCLK4:PLL0_SYSCLK6 are synchronous to each other and the
SYSCLKn dividers must always be configured such that the ratio between these domains is 2:4:1. The
ASYNC and ASYNC3 clock domains are asynchronous to the other clock domains and have no specific
ratio requirement.
The table below summarizes the maximum internal clock frequencies at each of the voltage operating
points.
Table 6-5. Maximum Internal Clock Frequencies at Each Voltage Operating Point
CLOCK SOURCE
CLOCK DOMAIN
1.2V NOM
1.1V NOM
1.0V NOM
-
-
-
150 MHz
100 MHz
50 MHz
75 MHz
50 MHz
25 MHz
PLL0_SYSCLK1
Not used on this processor
PLL0_SYSCLK2
SYSCLK2 clock domain peripherals and optional clock source for ASYNC3
clock domain peripherals
PLL0_SYSCLK3
Optional clock for ASYNC1 clock domain
PLL0_SYSCLK4
SYSCLK4 domain peripherals
PLL0_SYSCLK5
Not used on this processor
-
-
-
PLL0_SYSCLK6
ARM subsystem
300 MHz
200 MHz
100 MHz
PLL0_SYSCLK7
Optional 50 MHz clock source for EMAC RMII interface
50 MHz
-
-
PLL1_SYSCLK1
DDR2/mDDR Interface clock source (memory interface clock is one-half of
the value shown)
312 MHz
300 MHz
266 MHz
PLL1_SYSCLK2
Optional clock source for ASYNC3 clock domain peripherals
150 MHz
100 MHz
75 MHz
PLL1_SYSCLK3
Alternate clock source input to PLL Controller 0
75 MHz
75 MHz
75 MHz
McASP AUXCLK
Bypass clock source for the McASP
50 MHz
50 MHz
50 MHz
Bypass clock source for the USB0
48 MHz
48 MHz
48 MHz
Async Mode
148 MHz
75 MHz
50 MHz
SDRAM Mode
100 MHz
66.6 MHz
50 MHz
50 MHz
50 MHz
50 MHz
PLL0_AUXCLK
ASYNC1
ASYNC1 Clock Domain (EMIFA)
ASYNC2
ASYNC2 Clock Domain (multiple peripherals)
Some interfaces have specific limitations on supported modes/speeds at each operating point. See the
corresponding peripheral sections of this document for more information.
TI provides software components (called the Power Manager) to perform DVFS and abstract the task from
the user. The Power Manager controls changing operating points (both frequency and voltage) and
handles the related tasks involved such as informing/controlling peripherals to provide graceful transitions
between operating points.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
59
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.7
www.ti.com
Interrupts
6.7.1
ARM CPU Interrupts
The ARM9 CPU core supports 2 direct interrupts: FIQ and IRQ. The ARM Interrupt Controller (AINTC)
extends the number of interrupts to 100, and provides features like programmable masking, priority,
hardware nesting support, and interrupt vector generation.
6.7.1.1
ARM Interrupt Controller (AINTC) Interrupt Signal Hierarchy
The ARM Interrupt controller organizes interrupts into the following hierarchy:
• Peripheral Interrupt Requests
– Individual Interrupt Sources from Peripherals
• 101 System Interrupts
– One or more Peripheral Interrupt Requests are combined (fixed configuration) to generate a
System Interrupt.
– After prioritization, the AINTC will provide an interrupt vector based unique to each System Interrupt
• 32 Interrupt Channels
– Each System Interrupt is mapped to one of the 32 Interrupt Channels
– Channel Number determines the first level of prioritization, Channel 0 is highest priority and 31
lowest.
– If more than one system interrupt is mapped to a channel, priority within the channel is determined
by system interrupt number (0 highest priority)
• Host Interrupts (FIQ and IRQ)
– Interrupt Channels 0 and 1 generate the ARM FIQ interrupt
– Interrupt Channels 2 through 31 Generate the ARM IRQ interrupt
• Debug Interrupts
– Two Debug Interrupts are supported and can be used to trigger events in the debug subsystem
– Sources can be selected from any of the System Interrupts or Host Interrupts
6.7.1.2
AINTC Hardware Vector Generation
The AINTC also generates an interrupt vector in hardware for both IRQ and FIQ host interrupts. This may
be used to accelerate interrupt dispatch. A unique vector is generated for each of the 100 system
interrupts. The vector is computed in hardware as:
VECTOR = BASE + (SYSTEM INTERRUPT NUMBER × SIZE)
Where BASE and SIZE are programmable. The computed vector is a 32-bit address which may
dispatched to using a single instruction of type LDR PC, [PC, #-<offset_12>] at the FIQ and IRQ vector
locations (0xFFFF0018 and 0xFFFF001C respectively).
6.7.1.3
AINTC Hardware Interrupt Nesting Support
Interrupt nesting occurs when an interrupt service routine re-enables interrupts, to allow the CPU to
interrupt the ISR if a higher priority event occurs. The AINTC provides hardware support to facilitate
interrupt nesting. It supports both global and per host interrupt (FIQ and IRQ in this case) automatic
nesting. If enabled, the AINTC will automatically update an internal nesting register that temporarily masks
interrupts at and below the priority of the current interrupt channel. Then if the ISR re-enables interrupts;
only higher priority channels will be able to interrupt it. The nesting level is restored by the ISR by writing
to the nesting level register on completion. Support for nesting can be enabled/disabled by software, with
the option of automatic nesting on a global or per host interrupt basis; or manual nesting.
60
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.7.1.4
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
AINTC System Interrupt Assignments
Table 6-6. AINTC System Interrupt Assignments
System Interrupt
Interrupt Name
Source
0
COMMTX
ARM
1
COMMRX
ARM
2
NINT
ARM
3
-
Reserved
4
-
Reserved
5
-
Reserved
6
-
Reserved
7
-
Reserved
8
-
Reserved
9
-
Reserved
10
-
Reserved
11
EDMA3_0_CC0_INT0
EDMA3_0 Channel Controller 0 Shadow Region 0 Transfer
Completion Interrupt
12
EDMA3_0_CC0_ERRINT
EDMA3_0 Channel Controller 0 Error Interrupt
13
EDMA3_0_TC0_ERRINT
EDMA3_0 Transfer Controller 0 Error Interrupt
14
EMIFA_INT
EMIFA
15
IIC0_INT
I2C0
16
MMCSD0_INT0
MMCSD0 MMC/SD Interrupt
17
MMCSD0_INT1
MMCSD0 SDIO Interrupt
18
PSC0_ALLINT
PSC0
19
RTC_IRQS[1:0]
RTC
20
SPI0_INT
SPI0
21
T64P0_TINT12
Timer64P0 Interrupt 12
22
T64P0_TINT34
Timer64P0 Interrupt 34
23
T64P1_TINT12
Timer64P1 Interrupt 12
24
T64P1_TINT34
Timer64P1 Interrupt 34
25
UART0_INT
UART0
26
-
Reserved
27
MPU_BOOTCFG_ERR
Shared MPU and SYSCFG Address/Protection Error Interrupt
-
Reserved
32
EDMA3_0_TC1_ERRINT
EDMA3_0 Transfer Controller 1 Error Interrupt
33
EMAC_C0RXTHRESH
EMAC - Core 0 Receive Threshold Interrupt
34
EMAC_C0RX
EMAC - Core 0 Receive Interrupt
35
EMAC_C0TX
EMAC - Core 0 Transmit Interrupt
36
EMAC_C0MISC
EMAC - Core 0 Miscellaneous Interrupt
37
EMAC_C1RXTHRESH
EMAC - Core 1 Receive Threshold Interrupt
38
EMAC_C1RX
EMAC - Core 1 Receive Interrupt
39
EMAC_C1TX
EMAC - Core 1 Transmit Interrupt
40
EMAC_C1MISC
EMAC - Core 1 Miscellaneous Interrupt
41
DDR2_MEMERR
DDR2 Controller
42
GPIO_B0INT
GPIO Bank 0 Interrupt
43
GPIO_B1INT
GPIO Bank 1 Interrupt
44
GPIO_B2INT
GPIO Bank 2 Interrupt
45
GPIO_B3INT
GPIO Bank 3 Interrupt
46
GPIO_B4INT
GPIO Bank 4 Interrupt
47
GPIO_B5INT
GPIO Bank 5 Interrupt
28-31
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
61
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-6. AINTC System Interrupt Assignments (continued)
System Interrupt
Source
GPIO_B6INT
GPIO Bank 6 Interrupt
49
GPIO_B7INT
GPIO Bank 7 Interrupt
50
GPIO_B8INT
GPIO Bank 8 Interrupt
-
Reserved
53
UART_INT1
UART1
54
MCASP_INT
McASP0 Combined RX / TX Interrupts
55
PSC1_ALLINT
PSC1
56
SPI1_INT
SPI1
57
-
Reserved
58
USB0_INT
USB0 Interrupt
-
Reserved
UART2_INT
UART2
-
Reserved
T64P2_ALL
Timer64P2 - Combined TINT12 and TINT34
51-52
59-60
61
62-67
68
69-73
-
Reserved
74
T64P2_CMPINT0
Timer64P2 - Compare 0
75
T64P2_CMPINT1
Timer64P2 - Compare 1
76
T64P2_CMPINT2
Timer64P2 - Compare 2
77
T64P2_CMPINT3
Timer64P2 - Compare 3
78
T64P2_CMPINT4
Timer64P2 - Compare 4
79
T64P2_CMPINT5
Timer64P2 - Compare 5
80
T64P2_CMPINT6
Timer64P2 - Compare 6
81
T64P2_CMPINT7
Timer64P2 - Compare 7
82
T64P3_CMPINT0
Timer64P3 - Compare 0
83
T64P3_CMPINT1
Timer64P3 - Compare 1
84
T64P3_CMPINT2
Timer64P3 - Compare 2
85
T64P3_CMPINT3
Timer64P3 - Compare 3
86
T64P3_CMPINT4
Timer64P3 - Compare 4
87
T64P3_CMPINT5
Timer64P3 - Compare 5
88
T64P3_CMPINT6
Timer64P3 - Compare 6
89
T64P3_CMPINT7
Timer64P3 - Compare 7
90
ARMCLKSTOPREQ
PSC0
-
Reserved
93
EDMA3_1_CC0_INT0
EDMA3_1 Channel Controller 0 Shadow Region 0 Transfer
Completion Interrupt
94
EDMA3_1_CC0_ERRINT
EDMA3_1Channel Controller 0 Error Interrupt
95
EDMA3_1_TC0_ERRINT
EDMA3_1 Transfer Controller 0 Error Interrupt
96
T64P3_ALL
Timer64P 3 - Combined TINT12 and TINT34
-
Reserved
91-92
97-100
62
Interrupt Name
48
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.7.1.5
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
AINTC Memory Map
Table 6-7. AINTC Memory Map
BYTE ADDRESS
ACRONYM
0xFFFE E000
REV
0xFFFE E004
CR
0xFFFE E008 - 0xFFFE E00F
0xFFFE E010
0xFFFE E014 - 0xFFFE E01B
GER
-
DESCRIPTION
Revision Register
Control Register
Reserved
Global Enable Register
Reserved
0xFFFE E01C
GNLR
Global Nesting Level Register
0xFFFE E020
SISR
System Interrupt Status Indexed Set Register
0xFFFE E024
SICR
System Interrupt Status Indexed Clear Register
0xFFFE E028
EISR
System Interrupt Enable Indexed Set Register
0xFFFE E02C
EICR
System Interrupt Enable Indexed Clear Register
0xFFFE E030
-
Reserved
0xFFFE E034
HIEISR
Host Interrupt Enable Indexed Set Register
0xFFFE E038
HIDISR
Host Interrupt Enable Indexed Clear Register
0xFFFE E03C - 0xFFFE E04F
-
Reserved
0xFFFE E050
VBR
Vector Base Register
0xFFFE E054
VSR
Vector Size Register
0xFFFE E058
VNR
Vector Null Register
0xFFFE E05C - 0xFFFE E07F
-
Reserved
0xFFFE E080
GPIR
Global Prioritized Index Register
0xFFFE E084
GPVR
Global Prioritized Vector Register
0xFFFE E088 - 0xFFFE E1FF
-
0xFFFE E200
SRSR[0]
0xFFFE E204
SRSR[1]
0xFFFE E208
SRSR[2]
0xFFFE E20C
SRSR[3]
0xFFFE E210- 0xFFFE E27F
-
0xFFFE E280
SECR[0]
0xFFFE E284
SECR[1]
0xFFFE E288
SECR[2]
0xFFFE E28C
SECR[3]
0xFFFE E290 - 0xFFFE E2FF
-
0xFFFE E300
ESR[0]
0xFFFE E304
ESR[1]
0xFFFE E308
ESR[2]
0xFFFE E30C
ESR[3]
0xFFFE E310 - 0xFFFE E37F
-
0xFFFE E380
ECR[0]
0xFFFE E384
ECR[1]
0xFFFE E388
ECR[2]
0xFFFE E38C
ECR[3]
0xFFFE E390 - 0xFFFE E3FF
Copyright © 2010–2014, Texas Instruments Incorporated
-
Reserved
System Interrupt Status Raw / Set Registers
Reserved
System Interrupt Status Enabled / Clear Registers
Reserved
System Interrupt Enable Set Registers
Reserved
System Interrupt Enable Clear Registers
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
63
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-7. AINTC Memory Map (continued)
BYTE ADDRESS
ACRONYM
0xFFFE E400 - 0xFFFE E45B
CMR[0]
0xFFFE E404
CMR[1]
0xFFFE E408
CMR[2]
0xFFFE E40C
CMR[3]
0xFFFE E410
CMR[4]
0xFFFE E414
CMR[5]
0xFFFE E418
CMR[6]
0xFFFE E41C
CMR[7]
0xFFFE E420
CMR[8]
0xFFFE E424
CMR[9]
0xFFFE E428
CMR[10]
0xFFFE E42C
CMR[11]
0xFFFE E430
CMR[12]
0xFFFE E434
CMR[13]
0xFFFE E438
CMR[14]
0xFFFE E43C
CMR[15]
0xFFFE E440
CMR[16]
0xFFFE E444
CMR[17]
0xFFFE E448
CMR[18]
0xFFFE E44C
CMR[19]
0xFFFE E450
CMR[20]
0xFFFE E454
CMR[21]
0xFFFE E458
CMR[22]
0xFFFE E45C
CMR[23]
0xFFFE E460
CMR[24]
0xFFFE E464
CMR[25]
0xFFFE E468 - 0xFFFE E8FF
-
0xFFFE E900
HIPIR[0]
0xFFFE E904
HIPIR[1]
0xFFFE E908 - 0xFFFE EEFF
-
0xFFFE EF00
DSR[0]
0xFFFE EF04
DSR[1]
0xFFFE EF08 - 0xFFFE F0FF
-
0xFFFE F100
HINLR[0]
0xFFFE F104
HINLR[1]
0xFFFE F108 - 0xFFFE F4FF
0xFFFE F500
0xFFFE F504 - 0xFFFE F5FF
HIER[0]
-
0xFFFE F600
HIPVR[0] -
0xFFFE F604
HIPVR[1]
0xFFFE F608 - 0xFFFE FFFF
64
-
DESCRIPTION
Channel Map Registers
Reserved
Host Interrupt Prioritized Index Registers
Reserved
Debug Select Registers
Reserved
Host Interrupt Nesting Level Registers
Reserved
Host Interrupt Enable Register
Reserved
Host Interrupt Prioritized Vector Registers
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.8
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Power and Sleep Controller (PSC)
The Power and Sleep Controllers (PSC) are responsible for managing transitions of system power on/off,
clock on/off, resets (device level and module level). It is used primarily to provide granular power control
for on chip modules (peripherals and CPU). A PSC module consists of a Global PSC (GPSC) and a set of
Local PSCs (LPSCs). The GPSC contains memory mapped registers, PSC interrupts, a state machine for
each peripheral/module it controls. An LPSC is associated with every module that is controlled by the PSC
and provides clock and reset control.
The PSC includes the following features:
• Provides a software interface to:
– Control module clock enable/disable
– Control module reset
– Control CPU local reset
• Supports IcePick emulation features: power, clock and reset
PSC0 controls 16 local PSCs.
PSC1 controls 32 local PSCs.
Table 6-8. Power and Sleep Controller (PSC) Registers
PSC0 BYTE
ADDRESS
PSC1 BYTE
ADDRESS
0x01C1 0000
0x01E2 7000
REVID
0x01C1 0018
0x01E2 7018
INTEVAL
0x01C1 0040
0x01E2 7040
MERRPR0
ACRONYM
REGISTER DESCRIPTION
Peripheral Revision and Class Information Register
Interrupt Evaluation Register
Module Error Pending Register 0 (module 0-15) (PSC0)
Module Error Pending Register 0 (module 0-31) (PSC1)
0x01C1 0050
0x01E2 7050
MERRCR0
Module Error Clear Register 0 (module 0-15) (PSC0)
0x01C1 0060
0x01E2 7060
PERRPR
Power Error Pending Register
0x01C1 0068
0x01E2 7068
PERRCR
Power Error Clear Register
0x01C1 0120
0x01E2 7120
PTCMD
Power Domain Transition Command Register
0x01C1 0128
0x01E2 7128
PTSTAT
Power Domain Transition Status Register
0x01C1 0200
0x01E2 7200
PDSTAT0
Power Domain 0 Status Register
0x01C1 0204
0x01E2 7204
PDSTAT1
Power Domain 1 Status Register
0x01C1 0300
0x01E2 7300
PDCTL0
Power Domain 0 Control Register
0x01C1 0304
0x01E2 7304
PDCTL1
Power Domain 1 Control Register
0x01C1 0400
0x01E2 7400
PDCFG0
Power Domain 0 Configuration Register
0x01C1 0404
0x01E2 7404
PDCFG1
Power Domain 1 Configuration Register
0x01C1 0800
0x01E2 7800
MDSTAT0
Module 0 Status Register
0x01C1 0804
0x01E2 7804
MDSTAT1
Module 1 Status Register
0x01C1 0808
0x01E2 7808
MDSTAT2
Module 2 Status Register
0x01C1 080C
0x01E2 780C
MDSTAT3
Module 3 Status Register
0x01C1 0810
0x01E2 7810
MDSTAT4
Module 4 Status Register
0x01C1 0814
0x01E2 7814
MDSTAT5
Module 5 Status Register
0x01C1 0818
0x01E2 7818
MDSTAT6
Module 6 Status Register
0x01C1 081C
0x01E2 781C
MDSTAT7
Module 7 Status Register
0x01C1 0820
0x01E2 7820
MDSTAT8
Module 8 Status Register
0x01C1 0824
0x01E2 7824
MDSTAT9
Module 9 Status Register
0x01C1 0828
0x01E2 7828
MDSTAT10
Module 10 Status Register
0x01C1 082C
0x01E2 782C
MDSTAT11
Module 11 Status Register
0x01C1 0830
0x01E2 7830
MDSTAT12
Module 12 Status Register
0x01C1 0834
0x01E2 7834
MDSTAT13
Module 13 Status Register
Module Error Clear Register 0 (module 0-31) (PSC1)
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
65
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-8. Power and Sleep Controller (PSC) Registers (continued)
PSC0 BYTE
ADDRESS
66
PSC1 BYTE
ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01C1 0838
0x01E2 7838
MDSTAT14
Module 14 Status Register
0x01C1 083C
0x01E2 783C
MDSTAT15
Module 15 Status Register
-
0x01E2 7840
MDSTAT16
Module 16 Status Register
-
0x01E2 7844
MDSTAT17
Module 17 Status Register
-
0x01E2 7848
MDSTAT18
Module 18 Status Register
-
0x01E2 784C
MDSTAT19
Module 19 Status Register
-
0x01E2 7850
MDSTAT20
Module 20 Status Register
-
0x01E2 7854
MDSTAT21
Module 21 Status Register
-
0x01E2 7858
MDSTAT22
Module 22 Status Register
-
0x01E2 785C
MDSTAT23
Module 23 Status Register
-
0x01E2 7860
MDSTAT24
Module 24 Status Register
-
0x01E2 7864
MDSTAT25
Module 25 Status Register
-
0x01E2 7868
MDSTAT26
Module 26 Status Register
-
0x01E2 786C
MDSTAT27
Module 27 Status Register
-
0x01E2 7870
MDSTAT28
Module 28 Status Register
-
0x01E2 7874
MDSTAT29
Module 29 Status Register
-
0x01E2 7878
MDSTAT30
Module 30 Status Register
-
0x01E2 787C
MDSTAT31
Module 31 Status Register
0x01C1 0A00
0x01E2 7A00
MDCTL0
Module 0 Control Register
0x01C1 0A04
0x01E2 7A04
MDCTL1
Module 1 Control Register
0x01C1 0A08
0x01E2 7A08
MDCTL2
Module 2 Control Register
0x01C1 0A0C
0x01E2 7A0C
MDCTL3
Module 3 Control Register
0x01C1 0A10
0x01E2 7A10
MDCTL4
Module 4 Control Register
0x01C1 0A14
0x01E2 7A14
MDCTL5
Module 5 Control Register
0x01C1 0A18
0x01E2 7A18
MDCTL6
Module 6 Control Register
0x01C1 0A1C
0x01E2 7A1C
MDCTL7
Module 7 Control Register
0x01C1 0A20
0x01E2 7A20
MDCTL8
Module 8 Control Register
0x01C1 0A24
0x01E2 7A24
MDCTL9
Module 9 Control Register
0x01C1 0A28
0x01E2 7A28
MDCTL10
Module 10 Control Register
0x01C1 0A2C
0x01E2 7A2C
MDCTL11
Module 11 Control Register
0x01C1 0A30
0x01E2 7A30
MDCTL12
Module 12 Control Register
0x01C1 0A34
0x01E2 7A34
MDCTL13
Module 13 Control Register
0x01C1 0A38
0x01E2 7A38
MDCTL14
Module 14 Control Register
0x01C1 0A3C
0x01E2 7A3C
MDCTL15
Module 15 Control Register
-
0x01E2 7A40
MDCTL16
Module 16 Control Register
-
0x01E2 7A44
MDCTL17
Module 17 Control Register
-
0x01E2 7A48
MDCTL18
Module 18 Control Register
-
0x01E2 7A4C
MDCTL19
Module 19 Control Register
-
0x01E2 7A50
MDCTL20
Module 20 Control Register
-
0x01E2 7A54
MDCTL21
Module 21 Control Register
-
0x01E2 7A58
MDCTL22
Module 22 Control Register
-
0x01E2 7A5C
MDCTL23
Module 23 Control Register
-
0x01E2 7A60
MDCTL24
Module 24 Control Register
-
0x01E2 7A64
MDCTL25
Module 25 Control Register
-
0x01E2 7A68
MDCTL26
Module 26 Control Register
-
0x01E2 7A6C
MDCTL27
Module 27 Control Register
-
0x01E2 7A70
MDCTL28
Module 28 Control Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-8. Power and Sleep Controller (PSC) Registers (continued)
PSC0 BYTE
ADDRESS
PSC1 BYTE
ADDRESS
ACRONYM
-
0x01E2 7A74
MDCTL29
Module 29 Control Register
-
0x01E2 7A78
MDCTL30
Module 30 Control Register
-
0x01E2 7A7C
MDCTL31
Module 31 Control Register
6.8.1
REGISTER DESCRIPTION
Power Domain and Module Topology
The device includes two PSC modules.
Each PSC module controls clock states for several of the on chip modules, controllers and interconnect
components. Table 6-9 and Table 6-10 lists the set of peripherals/modules that are controlled by the PSC,
the power domain they are associated with, the LPSC assignment and the default (power-on reset)
module states. See the device-specific data manual for the peripherals available on a given device. The
module states and terminology are defined in Section 6.8.1.1.
Table 6-9. PSC0 Default Module Configuration
LPSC
Number
Module Name
Power Domain
Default Module State
Auto Sleep/Wake Only
0
EDMA3 Channel Controller 0
AlwaysON (PD0)
SwRstDisable
—
1
EDMA3 Transfer Controller 0
AlwaysON (PD0)
SwRstDisable
—
2
EDMA3 Transfer Controller 1
AlwaysON (PD0)
SwRstDisable
—
3
EMIFA (Br7)
AlwaysON (PD0)
SwRstDisable
—
4
SPI 0
AlwaysON (PD0)
SwRstDisable
—
5
MMC/SD 0
AlwaysON (PD0)
SwRstDisable
—
6
ARM Interrupt Controller
AlwaysON (PD0)
SwRstDisable
—
7
ARM RAM/ROM
AlwaysON (PD0)
Enable
Yes
8
—
—
—
—
9
UART 0
AlwaysON (PD0)
SwRstDisable
—
10
SCR0 (Br 0, Br 1, Br 2, Br 8)
AlwaysON (PD0)
Enable
Yes
11
SCR1 (Br 4)
AlwaysON (PD0)
Enable
Yes
12
SCR2 (Br 3, Br 5, Br 6)
AlwaysON (PD0)
Enable
Yes
13
—
—
—
—
14
ARM
AlwaysON (PD0)
SwRstDisable
—
15
—
—
—
—
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
67
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-10. PSC1 Default Module Configuration
LPSC
Number
Module Name
Power Domain
Default Module State
Auto Sleep/Wake Only
0
EDMA3 Channel Controller 1
AlwaysON (PD0)
SwRstDisable
—
1
USB0 (USB2.0)
AlwaysON (PD0)
SwRstDisable
—
2
—
—
—
—
3
GPIO
AlwaysON (PD0)
SwRstDisable
—
4
—
AlwaysON (PD0)
SwRstDisable
—
5
EMAC
AlwaysON (PD0)
SwRstDisable
—
6
DDR2 (and SCR_F3)
AlwaysON (PD0)
SwRstDisable
—
7
McASP0 ( + McASP0 FIFO)
AlwaysON (PD0)
SwRstDisable
—
—
—
—
AlwaysON (PD0)
SwRstDisable
—
—
—
—
8-9
—
10
SPI 1
11
—
12
UART 1
AlwaysON (PD0)
SwRstDisable
—
13
UART 2
AlwaysON (PD0)
SwRstDisable
—
—
—
—
AlwaysON (PD0)
SwRstDisable
—
14-20
21
EDMA3 Transfer Controller 2
22-23
68
—
—
—
—
24
—
SCR_F0 (and bridge F0)
AlwaysON (PD0)
Enable
Yes
25
SCR_F1 (and bridge F1)
AlwaysON (PD0)
Enable
Yes
26
SCR_F2 (and bridge F2)
AlwaysON (PD0)
Enable
Yes
27
SCR_F6 (and bridge F3)
AlwaysON (PD0)
Enable
Yes
28
SCR_F7 (and bridge F4)
AlwaysON (PD0)
Enable
Yes
29
SCR_F8 (and bridge F5)
AlwaysON (PD0)
Enable
Yes
30
Bridge F7 (DDR Controller
path)
AlwaysON (PD0)
Enable
Yes
31
On-chip RAM (including
SCR_F4 and bridge F6)
PD_SHRAM
Enable
—
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.8.1.1
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Module States
The PSC defines several possible states for a module. This states are essentially a combination of the
module reset asserted or de-asserted and module clock on/enabled or off/disabled. The module states are
defined in Table 6-11.
Table 6-11. Module States
Module State
Module Reset
Module
Clock
Module State Definition
Enable
De-asserted
On
A module in the enable state has its module reset de-asserted and it has its clock on.
This is the normal operational state for a given module
Disable
De-asserted
Off
A module in the disabled state has its module reset de-asserted and it has its module
clock off. This state is typically used for disabling a module clock to save power. The
device is designed in full static CMOS, so when you stop a module clock, it retains the
module’s state. When the clock is restarted, the module resumes operating from the
stopping point.
SyncReset
Asserted
On
A module state in the SyncReset state has its module reset asserted and it has its
clock on. Generally, software is not expected to initiate this state
SwRstDisable
Asserted
Off
A module in the SwResetDisable state has its module reset asserted and it has its
clock disabled. After initial power-on, several modules come up in the SwRstDisable
state. Generally, software is not expected to initiate this state
Auto Sleep
De-asserted
Off
A module in the Auto Sleep state also has its module reset de-asserted and its module
clock disabled, similar to the Disable state. However this is a special state, once a
module is configured in this state by software, it can “automatically” transition to
“Enable” state whenever there is an internal read/write request made to it, and after
servicing the request it will “automatically” transition into the sleep state (with module
reset re de-asserted and module clock disabled), without any software intervention.
The transition from sleep to enabled and back to sleep state has some cycle latency
associated with it. It is not envisioned to use this mode when peripherals are fully
operational and moving data.
Auto Wake
De-asserted
Off
A module in the Auto Wake state also has its module reset de-asserted and its module
clock disabled, similar to the Disable state. However this is a special state, once a
module is configured in this state by software, it will “automatically” transition to
“Enable” state whenever there is an internal read/write request made to it, and will
remain in the “Enabled” state from then on (with module reset re de-asserted and
module clock on), without any software intervention. The transition from sleep to
enabled state has some cycle latency associated with it. It is not envisioned to use this
mode when peripherals are fully operational and moving data.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
69
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.9
www.ti.com
EDMA
The EDMA controller handles all data transfers between memories and the device slave peripherals on
the device. These data transfers include cache servicing, non-cacheable memory accesses, userprogrammed data transfers, and host accesses.
6.9.1
EDMA3 Channel Synchronization Events
Each EDMA channel controller supports up to 32 channels which service peripherals and memory.
Table 6-12lists the source of the EDMA synchronization events associated with each of the programmable
EDMA channels.
Table 6-12. EDMA Synchronization Events
EDMA0 Channel Controller 0
Event
Event Name / Source
Event
Event Name / Source
0
McASP0 Receive
16
MMCSD0 Receive
1
McASP0 Transmit
17
MMCSD0 Transmit
2
Reserved
18
SPI1 Receive
3
Reserved
19
SPI1 Transmit
4
Reserved
20
Reserved
5
Reserved
21
Reserved
6
GPIO Bank 0 Interrupt
22
GPIO Bank 2 Interrupt
7
GPIO Bank 1 Interrupt
23
GPIO Bank 3 Interrupt
8
UART0 Receive
24
I2C0 Receive
9
UART0 Transmit
25
I2C0 Transmit
10
Timer64P0 Event Out 12
26
Reserved
11
Timer64P0 Event Out 34
27
Reserved
12
UART1 Receive
28
GPIO Bank 4 Interrupt
13
UART1 Transmit
29
GPIO Bank 5 Interrupt
14
SPI0 Receive
30
UART2 Receive
15
SPI0 Transmit
31
UART2 Transmit
EDMA1 Channel Controller 1
70
Event
Event Name / Source
Event
Event Name / Source
0
Timer64P2 Compare Event 0
16
GPIO Bank 6 Interrupt
1
Timer64P2 Compare Event 1
17
GPIO Bank 7 Interrupt
2
Timer64P2 Compare Event 2
18
GPIO Bank 8 Interrupt
3
Timer64P2 Compare Event 3
19
Reserved
4
Timer64P2 Compare Event 4
20
Reserved
5
Timer64P2 Compare Event 5
21
Reserved
6
Timer64P2 Compare Event 6
22
Reserved
7
Timer64P2 Compare Event 7
23
Reserved
8
Timer64P3 Compare Event 0
24
Timer64P2 Event Out 12
9
Timer64P3 Compare Event 1
25
Timer64P2 Event Out 34
10
Timer64P3 Compare Event 2
26
Timer64P3 Event Out 12
11
Timer64P3 Compare Event 3
27
Timer64P3 Event Out 34
12
Timer64P3 Compare Event 4
28
Reserved
13
Timer64P3 Compare Event 5
29
Reserved
14
Timer64P3 Compare Event 6
30
Reserved
15
Timer64P3 Compare Event 7
31
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.9.2
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
EDMA Peripheral Register Descriptions
Table 6-13 is the list of EDMA3 Channel Controller Registers and Table 6-14 is the list of EDMA3 Transfer
Controller registers.
Table 6-13. EDMA3 Channel Controller (EDMA3CC) Registers
EDMA0 Channel Controller 0 EDMA1 Channel Controller 0
BYTE ADDRESS
BYTE ADDRESS
0x01C0 0000
0x01E3 0000
0x01C0 0004
0x01E3 0004
ACRONYM
PID
CCCFG
REGISTER DESCRIPTION
Peripheral Identification Register
EDMA3CC Configuration Register
Global Registers
0x01C0 0200
0x01E3 0200
QCHMAP0
QDMA Channel 0 Mapping Register
0x01C0 0204
0x01E3 0204
QCHMAP1
QDMA Channel 1 Mapping Register
0x01C0 0208
0x01E3 0208
QCHMAP2
QDMA Channel 2 Mapping Register
0x01C0 020C
0x01E3 020C
QCHMAP3
QDMA Channel 3 Mapping Register
0x01C0 0210
0x01E3 0210
QCHMAP4
QDMA Channel 4 Mapping Register
0x01C0 0214
0x01E3 0214
QCHMAP5
QDMA Channel 5 Mapping Register
0x01C0 0218
0x01E3 0218
QCHMAP6
QDMA Channel 6 Mapping Register
0x01C0 021C
0x01E3 021C
QCHMAP7
QDMA Channel 7 Mapping Register
0x01C0 0240
0x01E3 0240
DMAQNUM0
DMA Channel Queue Number Register 0
0x01C0 0244
0x01E3 0244
DMAQNUM1
DMA Channel Queue Number Register 1
0x01C0 0248
0x01E3 0248
DMAQNUM2
DMA Channel Queue Number Register 2
0x01C0 024C
0x01E3 024C
DMAQNUM3
DMA Channel Queue Number Register 3
0x01C0 0260
0x01E3 0260
QDMAQNUM
QDMA Channel Queue Number Register
0x01C0 0284
0x01E3 0284
QUEPRI
0x01C0 0300
0x01E3 0300
EMR
0x01C0 0308
0x01E3 0308
EMCR
Event Missed Clear Register
0x01C0 0310
0x01E3 0310
QEMR
QDMA Event Missed Register
0x01C0 0314
0x01E3 0314
QEMCR
QDMA Event Missed Clear Register
EDMA3CC Error Register
Queue Priority Register (1)
Event Missed Register
0x01C0 0318
0x01E3 0318
CCERR
0x01C0 031C
0x01E3 031C
CCERRCLR
0x01C0 0320
0x01E3 0320
EEVAL
Error Evaluate Register
0x01C0 0340
0x01E3 0340
DRAE0
DMA Region Access Enable Register for Region 0
0x01C0 0348
0x01E3 0348
DRAE1
DMA Region Access Enable Register for Region 1
0x01C0 0350
0x01E3 0350
DRAE2
DMA Region Access Enable Register for Region 2
0x01C0 0358
0x01E3 0358
DRAE3
DMA Region Access Enable Register for Region 3
0x01C0 0380
0x01E3 0380
QRAE0
QDMA Region Access Enable Register for Region 0
0x01C0 0384
0x01E3 0384
QRAE1
QDMA Region Access Enable Register for Region 1
0x01C0 0388
0x01E3 0388
QRAE2
QDMA Region Access Enable Register for Region 2
QDMA Region Access Enable Register for Region 3
EDMA3CC Error Clear Register
0x01C0 038C
0x01E3 038C
QRAE3
0x01C0 0400 - 0x01C0 043C
0x01E3 0400 - 0x01E3 043C
Q0E0-Q0E15
Event Queue Entry Registers Q0E0-Q0E15
0x01C0 0440 - 0x01C0 047C
0x01E3 0440 - 0x01E3 047C
Q1E0-Q1E15
Event Queue Entry Registers Q1E0-Q1E15
0x01C0 0600
0x01E3 0600
QSTAT0
Queue 0 Status Register
0x01C0 0604
0x01E3 0604
QSTAT1
Queue 1 Status Register
0x01C0 0620
0x01E3 0620
QWMTHRA
0x01C0 0640
0x01E3 0640
CCSTAT
0x01C0 1000
0x01E3 1000
Queue Watermark Threshold A Register
EDMA3CC Status Register
Global Channel Registers
(1)
ER
Event Register
On previous architectures, the EDMA3TC priority was controlled by the queue priority register (QUEPRI) in the EDMA3CC memorymap. However for this device, the priority control for the transfer controllers is controlled by the chip-level registers in the System
Configuration Module. You should use the chip-level registers and not QUEPRI to configure the TC priority.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
71
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-13. EDMA3 Channel Controller (EDMA3CC) Registers (continued)
EDMA0 Channel Controller 0 EDMA1 Channel Controller 0
BYTE ADDRESS
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01C0 1008
0x01E3 1008
ECR
Event Clear Register
0x01C0 1010
0x01E3 1010
ESR
Event Set Register
0x01C0 1018
0x01E3 1018
CER
Chained Event Register
0x01C0 1020
0x01E3 1020
EER
Event Enable Register
0x01C0 1028
0x01E3 1028
EECR
Event Enable Clear Register
0x01C0 1030
0x01E3 1030
EESR
Event Enable Set Register
0x01C0 1038
0x01E3 1038
SER
Secondary Event Register
0x01C0 1040
0x01E3 1040
SECR
0x01C0 1050
0x01E3 1050
IER
0x01C0 1058
0x01E3 1058
IECR
Interrupt Enable Clear Register
0x01C0 1060
0x01E3 1060
IESR
Interrupt Enable Set Register
0x01C0 1068
0x01E3 1068
IPR
Interrupt Pending Register
0x01C0 1070
0x01E3 1070
ICR
Interrupt Clear Register
0x01C0 1078
0x01E3 1078
IEVAL
0x01C0 1080
0x01E3 1080
QER
0x01C0 1084
0x01E3 1084
QEER
0x01C0 1088
0x01E3 1088
QEECR
QDMA Event Enable Clear Register
0x01C0 108C
0x01E3 108C
QEESR
QDMA Event Enable Set Register
0x01C0 1090
0x01E3 1090
QSER
QDMA Secondary Event Register
0x01C0 1094
0x01E3 1094
QSECR
0x01C0 2000
0x01E3 2000
ER
0x01C0 2008
0x01E3 2008
ECR
Event Clear Register
0x01C0 2010
0x01E3 2010
ESR
Event Set Register
0x01C0 2018
0x01E3 2018
CER
Chained Event Register
0x01C0 2020
0x01E3 2020
EER
Event Enable Register
0x01C0 2028
0x01E3 2028
EECR
Event Enable Clear Register
0x01C0 2030
0x01E3 2030
EESR
Event Enable Set Register
0x01C0 2038
0x01E3 2038
SER
Secondary Event Register
0x01C0 2040
0x01E3 2040
SECR
0x01C0 2050
0x01E3 2050
IER
0x01C0 2058
0x01E3 2058
IECR
Interrupt Enable Clear Register
0x01C0 2060
0x01E3 2060
IESR
Interrupt Enable Set Register
0x01C0 2068
0x01E3 2068
IPR
Interrupt Pending Register
0x01C0 2070
0x01E3 2070
ICR
Interrupt Clear Register
0x01C0 2078
0x01E3 2078
IEVAL
0x01C0 2080
0x01E3 2080
QER
0x01C0 2084
0x01E3 2084
QEER
0x01C0 2088
0x01E3 2088
QEECR
QDMA Event Enable Clear Register
0x01C0 208C
0x01E3 208C
QEESR
QDMA Event Enable Set Register
0x01C0 2090
0x01E3 2090
QSER
QDMA Secondary Event Register
0x01C0 2094
0x01E3 2094
QSECR
Secondary Event Clear Register
Interrupt Enable Register
Interrupt Evaluate Register
QDMA Event Register
QDMA Event Enable Register
QDMA Secondary Event Clear Register
Shadow Region 0 Channel Registers
Event Register
Secondary Event Clear Register
Interrupt Enable Register
Interrupt Evaluate Register
QDMA Event Register
QDMA Event Enable Register
QDMA Secondary Event Clear Register
Shadow Region 1 Channel Registers
72
0x01C0 2200
0x01E3 2200
ER
0x01C0 2208
0x01E3 2208
ECR
Event Register
Event Clear Register
0x01C0 2210
0x01E3 2210
ESR
Event Set Register
0x01C0 2218
0x01E3 2218
CER
Chained Event Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-13. EDMA3 Channel Controller (EDMA3CC) Registers (continued)
EDMA0 Channel Controller 0 EDMA1 Channel Controller 0
BYTE ADDRESS
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01C0 2220
0x01E3 2220
EER
0x01C0 2228
0x01E3 2228
EECR
Event Enable Register
Event Enable Clear Register
0x01C0 2230
0x01E3 2230
EESR
Event Enable Set Register
0x01C0 2238
0x01E3 2238
SER
Secondary Event Register
0x01C0 2240
0x01E3 2240
SECR
0x01C0 2250
0x01E3 2250
IER
0x01C0 2258
0x01E3 2258
IECR
Interrupt Enable Clear Register
0x01C0 2260
0x01E3 2260
IESR
Interrupt Enable Set Register
0x01C0 2268
0x01E3 2268
IPR
Interrupt Pending Register
0x01C0 2270
0x01E3 2270
ICR
Interrupt Clear Register
0x01C0 2278
0x01E3 2278
IEVAL
0x01C0 2280
0x01E3 2280
QER
0x01C0 2284
0x01E3 2284
QEER
Secondary Event Clear Register
Interrupt Enable Register
Interrupt Evaluate Register
QDMA Event Register
QDMA Event Enable Register
0x01C0 2288
0x01E3 2288
QEECR
QDMA Event Enable Clear Register
0x01C0 228C
0x01E3 228C
QEESR
QDMA Event Enable Set Register
0x01C0 2290
0x01E3 2290
QSER
QDMA Secondary Event Register
0x01C0 2294
0x01E3 2294
QSECR
0x01C0 4000 - 0x01C0 4FFF
0x01E3 4000 - 0x01E3 4FFF
—
QDMA Secondary Event Clear Register
Parameter RAM (PaRAM)
Table 6-14. EDMA3 Transfer Controller (EDMA3TC) Registers
EDMA0
Transfer Controller
0
BYTE ADDRESS
EDMA0
Transfer Controller
1
BYTE ADDRESS
EDMA1
Transfer Controller
0
BYTE ADDRESS
0x01C0 8000
0x01C0 8400
0x01E3 8000
PID
Peripheral Identification Register
0x01C0 8004
0x01C0 8404
0x01E3 8004
TCCFG
EDMA3TC Configuration Register
0x01C0 8100
0x01C0 8500
0x01E3 8100
TCSTAT
EDMA3TC Channel Status Register
0x01C0 8120
0x01C0 8520
0x01E3 8120
ERRSTAT
Error Status Register
0x01C0 8124
0x01C0 8524
0x01E3 8124
ERREN
Error Enable Register
0x01C0 8128
0x01C0 8528
0x01E3 8128
ERRCLR
Error Clear Register
0x01C0 812C
0x01C0 852C
0x01E3 812C
ERRDET
Error Details Register
0x01C0 8130
0x01C0 8530
0x01E3 8130
ERRCMD
Error Interrupt Command Register
0x01C0 8140
0x01C0 8540
0x01E3 8140
RDRATE
Read Command Rate Register
0x01C0 8240
0x01C0 8640
0x01E3 8240
SAOPT
Source Active Options Register
0x01C0 8244
0x01C0 8644
0x01E3 8244
SASRC
Source Active Source Address Register
0x01C0 8248
0x01C0 8648
0x01E3 8248
SACNT
Source Active Count Register
0x01C0 824C
0x01C0 864C
0x01E3 824C
SADST
Source Active Destination Address Register
0x01C0 8250
0x01C0 8650
0x01E3 8250
SABIDX
Source Active B-Index Register
0x01C0 8254
0x01C0 8654
0x01E3 8254
SAMPPRXY
Source Active Memory Protection Proxy Register
0x01C0 8258
0x01C0 8658
0x01E3 8258
SACNTRLD
Source Active Count Reload Register
0x01C0 825C
0x01C0 865C
0x01E3 825C
SASRCBREF
Source Active Source Address B-Reference Register
0x01C0 8260
0x01C0 8660
0x01E3 8260
SADSTBREF
Source Active Destination Address B-Reference
Register
0x01C0 8280
0x01C0 8680
0x01E3 8280
DFCNTRLD
0x01C0 8284
0x01C0 8684
0x01E3 8284
DFSRCBREF
Destination FIFO Set Source Address B-Reference
Register
0x01C0 8288
0x01C0 8688
0x01E3 8288
DFDSTBREF
Destination FIFO Set Destination Address BReference Register
Copyright © 2010–2014, Texas Instruments Incorporated
ACRONYM
REGISTER DESCRIPTION
Destination FIFO Set Count Reload Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
73
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-14. EDMA3 Transfer Controller (EDMA3TC) Registers (continued)
EDMA0
Transfer Controller
0
BYTE ADDRESS
EDMA0
Transfer Controller
1
BYTE ADDRESS
EDMA1
Transfer Controller
0
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01C0 8300
0x01C0 8700
0x01E3 8300
DFOPT0
Destination FIFO Options Register 0
0x01C0 8304
0x01C0 8704
0x01E3 8304
DFSRC0
Destination FIFO Source Address Register 0
0x01C0 8308
0x01C0 8708
0x01E3 8308
DFCNT0
Destination FIFO Count Register 0
0x01C0 830C
0x01C0 870C
0x01E3 830C
DFDST0
Destination FIFO Destination Address Register 0
0x01C0 8310
0x01C0 8710
0x01E3 8310
DFBIDX0
Destination FIFO B-Index Register 0
0x01C0 8314
0x01C0 8714
0x01E3 8314
DFMPPRXY0
0x01C0 8340
0x01C0 8740
0x01E3 8340
DFOPT1
Destination FIFO Options Register 1
0x01C0 8344
0x01C0 8744
0x01E3 8344
DFSRC1
Destination FIFO Source Address Register 1
0x01C0 8348
0x01C0 8748
0x01E3 8348
DFCNT1
Destination FIFO Count Register 1
0x01C0 834C
0x01C0 874C
0x01E3 834C
DFDST1
Destination FIFO Destination Address Register 1
0x01C0 8350
0x01C0 8750
0x01E3 8350
DFBIDX1
Destination FIFO B-Index Register 1
0x01C0 8354
0x01C0 8754
0x01E3 8354
DFMPPRXY1
0x01C0 8380
0x01C0 8780
0x01E3 8380
DFOPT2
Destination FIFO Options Register 2
0x01C0 8384
0x01C0 8784
0x01E3 8384
DFSRC2
Destination FIFO Source Address Register 2
0x01C0 8388
0x01C0 8788
0x01E3 8388
DFCNT2
Destination FIFO Count Register 2
0x01C0 838C
0x01C0 878C
0x01E3 838C
DFDST2
Destination FIFO Destination Address Register 2
0x01C0 8390
0x01C0 8790
0x01E3 8390
DFBIDX2
Destination FIFO B-Index Register 2
0x01C0 8394
0x01C0 8794
0x01E3 8394
DFMPPRXY2
0x01C0 83C0
0x01C0 87C0
0x01E3 83C0
DFOPT3
Destination FIFO Options Register 3
0x01C0 83C4
0x01C0 87C4
0x01E3 83C4
DFSRC3
Destination FIFO Source Address Register 3
0x01C0 83C8
0x01C0 87C8
0x01E3 83C8
DFCNT3
Destination FIFO Count Register 3
0x01C0 83CC
0x01C0 87CC
0x01E3 83CC
DFDST3
Destination FIFO Destination Address Register 3
0x01C0 83D0
0x01C0 87D0
0x01E3 83D0
DFBIDX3
Destination FIFO B-Index Register 3
0x01C0 83D4
0x01C0 87D4
0x01E3 83D4
DFMPPRXY3
Destination FIFO Memory Protection Proxy Register
0
Destination FIFO Memory Protection Proxy Register
1
Destination FIFO Memory Protection Proxy Register
2
Destination FIFO Memory Protection Proxy Register
3
Table 6-15 shows an abbreviation of the set of registers which make up the parameter set for each of 128
EDMA events. Each of the parameter register sets consist of 8 32-bit word entries. Table 6-16 shows the
parameter set entry registers with relative memory address locations within each of the parameter sets.
Table 6-15. EDMA Parameter Set RAM
74
EDMA0
Channel Controller 0
BYTE ADDRESS RANGE
EDMA1
Channel Controller 0
BYTE ADDRESS RANGE
0x01C0 4000 - 0x01C0 401F
0x01E3 4000 - 0x01E3 401F
Parameters Set 0 (8 32-bit words)
DESCRIPTION
0x01C0 4020 - 0x01C0 403F
0x01E3 4020 - 0x01E3 403F
Parameters Set 1 (8 32-bit words)
0x01C0 4040 - 0x01CC0 405F
0x01E3 4040 - 0x01CE3 405F
Parameters Set 2 (8 32-bit words)
0x01C0 4060 - 0x01C0 407F
0x01E3 4060 - 0x01E3 407F
Parameters Set 3 (8 32-bit words)
0x01C0 4080 - 0x01C0 409F
0x01E3 4080 - 0x01E3 409F
Parameters Set 4 (8 32-bit words)
0x01C0 40A0 - 0x01C0 40BF
0x01E3 40A0 - 0x01E3 40BF
Parameters Set 5 (8 32-bit words)
...
...
0x01C0 4FC0 - 0x01C0 4FDF
0x01E3 4FC0 - 0x01E3 4FDF
Parameters Set 126 (8 32-bit words)
0x01C0 4FE0 - 0x01C0 4FFF
0x01E3 4FE0 - 0x01E3 4FFF
Parameters Set 127 (8 32-bit words)
...
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-16. Parameter Set Entries
OFFSET BYTE ADDRESS
WITHIN THE PARAMETER SET
ACRONYM
PARAMETER ENTRY
0x0000
OPT
Option
0x0004
SRC
Source Address
0x0008
A_B_CNT
0x000C
DST
0x0010
SRC_DST_BIDX
Source B Index, Destination B Index
0x0014
LINK_BCNTRLD
Link Address, B Count Reload
0x0018
SRC_DST_CIDX
Source C Index, Destination C Index
0x001C
CCNT
Copyright © 2010–2014, Texas Instruments Incorporated
A Count, B Count
Destination Address
C Count
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
75
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.10 External Memory Interface A (EMIFA)
EMIFA is one of two external memory interfaces supported on the device. It is primarily intended to
support asynchronous memory types, such as NAND and NOR flash and Asynchronous SRAM. However
on this device, EMIFA also provides a secondary interface to SDRAM.
6.10.1 EMIFA Asynchronous Memory Support
EMIFA supports asynchronous:
• SRAM memories
• NAND Flash memories
• NOR Flash memories
The EMIFA data bus width is up to 16-bits.The device supports up to 23 address lines and two external
wait/interrupt inputs. Up to four asynchronous chip selects are supported by EMIFA (EMA_CS[5:2]).
Each chip select has the following individually programmable attributes:
• Data Bus Width
• Read cycle timings: setup, hold, strobe
• Write cycle timings: setup, hold, strobe
• Bus turn around time
• Extended Wait Option With Programmable Timeout
• Select Strobe Option
• NAND flash controller supports 1-bit and 4-bit ECC calculation on blocks of 512 bytes.
6.10.2 EMIFA Synchronous DRAM Memory Support
The device supports 16-bit SDRAM in addition to the asynchronous memories listed in Section 6.10.1. It
has a single SDRAM chip select (EMA_CS[0]). SDRAM configurations that are supported are:
• One, Two, and Four Bank SDRAM devices
• Devices with Eight, Nine, Ten, and Eleven Column Address
• CAS Latency of two or three clock cycles
• Sixteen Bit Data Bus Width
Additionally, the SDRAM interface of EMIFA supports placing the SDRAM in Self Refresh and Powerdown
Modes. Self Refresh mode allows the SDRAM to be put into a low power state while still retaining memory
contents; since the SDRAM will continue to refresh itself even without clocks from the device. Powerdown
mode achieves even lower power, except the device must periodically wake the SDRAM up and issue
refreshes if data retention is required.
Finally, note that the EMIFA does not support Mobile SDRAM devices.
Table 6-17 shows the supported SDRAM configurations for EMIFA.
76
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-17. EMIFA Supported SDRAM Configurations (1)
SDRAM
Memory
Data Bus
Width (bits)
16
8
(1)
Number of
Memories
EMIFA Data
Bus Size
(bits)
Rows
Columns
Banks
Total
Memory
(Mbits)
Total
Memory
(Mbytes)
Memory
Density
(Mbits)
1
16
16
8
1
256
32
256
1
16
16
8
2
512
64
512
1
16
16
8
4
1024
128
1024
1
16
16
9
1
512
64
512
1
16
16
9
2
1024
128
1024
1
16
16
9
4
2048
256
2048
1
16
16
10
1
1024
128
1024
1
16
16
10
2
2048
256
2048
1
16
16
10
4
4096
512
4096
1
16
16
11
1
2048
256
2048
1
16
16
11
2
4096
512
4096
1
16
15
11
4
4096
512
4096
2
16
16
8
1
256
32
128
2
16
16
8
2
512
64
256
2
16
16
8
4
1024
128
512
2
16
16
9
1
512
64
256
2
16
16
9
2
1024
128
512
2
16
16
9
4
2048
256
1024
2
16
16
10
1
1024
128
512
2
16
16
10
2
2048
256
1024
2
16
16
10
4
4096
512
2048
2
16
16
11
1
2048
256
1024
2
16
16
11
2
4096
512
2048
2
16
15
11
4
4096
512
2048
The shaded cells indicate configurations that are possible on the EMIFA interface but as of this writing SDRAM memories capable of
supporting these densities are not available in the market.
6.10.3 EMIFA SDRAM Loading Limitations
EMIFA supports SDRAM up to 100 MHz with up to two SDRAM or asynchronous memory loads.
Additional loads will limit the SDRAM operation to lower speeds and the maximum speed should be
confirmed by board simulation using IBIS models.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
77
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.10.4 External Memory Interface Register Descriptions
Table 6-18. External Memory Interface (EMIFA) Registers
BYTE ADDRESS
78
ACRONYM
REGISTER DESCRIPTION
0x6800 0000
MIDR
Module ID Register
0x6800 0004
AWCC
Asynchronous Wait Cycle Configuration Register
0x6800 0008
SDCR
SDRAM Configuration Register
0x6800 000C
SDRCR
SDRAM Refresh Control Register
0x6800 0010
CE2CFG
Asynchronous 1 Configuration Register
0x6800 0014
CE3CFG
Asynchronous 2 Configuration Register
0x6800 0018
CE4CFG
Asynchronous 3 Configuration Register
0x6800 001C
CE5CFG
Asynchronous 4 Configuration Register
0x6800 0020
SDTIMR
SDRAM Timing Register
0x6800 003C
SDSRETR
0x6800 0040
INTRAW
EMIFA Interrupt Raw Register
0x6800 0044
INTMSK
EMIFA Interrupt Mask Register
0x6800 0048
INTMSKSET
EMIFA Interrupt Mask Set Register
0x6800 004C
INTMSKCLR
EMIFA Interrupt Mask Clear Register
0x6800 0060
NANDFCR
NAND Flash Control Register
0x6800 0064
NANDFSR
NAND Flash Status Register
0x6800 0070
NANDF1ECC
NAND Flash 1 ECC Register (CS2 Space)
0x6800 0074
NANDF2ECC
NAND Flash 2 ECC Register (CS3 Space)
0x6800 0078
NANDF3ECC
NAND Flash 3 ECC Register (CS4 Space)
0x6800 007C
NANDF4ECC
NAND Flash 4 ECC Register (CS5 Space)
0x6800 00BC
NAND4BITECCLOAD
0x6800 00C0
NAND4BITECC1
NAND Flash 4-Bit ECC Register 1
0x6800 00C4
NAND4BITECC2
NAND Flash 4-Bit ECC Register 2
0x6800 00C8
NAND4BITECC3
NAND Flash 4-Bit ECC Register 3
0x6800 00CC
NAND4BITECC4
NAND Flash 4-Bit ECC Register 4
0x6800 00D0
NANDERRADD1
NAND Flash 4-Bit ECC Error Address Register 1
0x6800 00D4
NANDERRADD2
NAND Flash 4-Bit ECC Error Address Register 2
0x6800 00D8
NANDERRVAL1
NAND Flash 4-Bit ECC Error Value Register 1
0x6800 00DC
NANDERRVAL2
NAND Flash 4-Bit ECC Error Value Register 2
SDRAM Self Refresh Exit Timing Register
NAND Flash 4-Bit ECC Load Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.10.5 EMIFA Electrical Data/Timing
Table 6-19 through Table 6-22 assume testing over recommended operating conditions.
Table 6-19. Timing Requirements for EMIFA SDRAM Interface
1.2V
NO.
MIN
19
tsu(EMA_DV-EM_CLKH)
Input setup time, read data valid on EMA_D[15:0] before
EMA_CLK rising
20
th(CLKH-DIV)
Input hold time, read data valid on EMA_D[15:0] after
EMA_CLK rising
1.1V
MAX
MIN
MAX
1.0V
MIN
MAX
UNIT
2
3
3
ns
1.6
1.6
1.6
ns
Table 6-20. Switching Characteristics for EMIFA SDRAM Interface
NO.
PARAMETER
1.2V
MIN
1
tc(CLK)
Cycle time, EMIF clock EMA_CLK
10
2
tw(CLK)
Pulse width, EMIF clock EMA_CLK high or low
3
3
td(CLKH-CSV)
Delay time, EMA_CLK rising to EMA_CS[0] valid
4
toh(CLKH-CSIV)
Output hold time, EMA_CLK rising to EMA_CS[0] invalid
5
td(CLKH-DQMV)
Delay time, EMA_CLK rising to EMA_WE_DQM[1:0] valid
6
toh(CLKH-DQMIV)
Output hold time, EMA_CLK rising to EMA_WE_DQM[1:0]
invalid
7
td(CLKH-AV)
Delay time, EMA_CLK rising to EMA_A[12:0] and
EMA_BA[1:0] valid
8
toh(CLKH-AIV)
Output hold time, EMA_CLK rising to EMA_A[12:0] and
EMA_BA[1:0] invalid
9
td(CLKH-DV)
Delay time, EMA_CLK rising to EMA_D[15:0] valid
10
toh(CLKH-DIV)
Output hold time, EMA_CLK rising to EMA_D[15:0] invalid
11
td(CLKH-RASV)
Delay time, EMA_CLK rising to EMA_RAS valid
12
toh(CLKH-RASIV)
Output hold time, EMA_CLK rising to EMA_RAS invalid
13
td(CLKH-CASV)
Delay time, EMA_CLK rising to EMA_CAS valid
14
toh(CLKH-CASIV)
Output hold time, EMA_CLK rising to EMA_CAS invalid
15
td(CLKH-WEV)
Delay time, EMA_CLK rising to EMA_WE valid
16
toh(CLKH-WEIV)
Output hold time, EMA_CLK rising to EMA_WE invalid
17
tdis(CLKH-DHZ)
Delay time, EMA_CLK rising to EMA_D[15:0] tri-stated
18
tena(CLKH-DLZ)
Output hold time, EMA_CLK rising to EMA_D[15:0] driving
Copyright © 2010–2014, Texas Instruments Incorporated
1.1V
MAX
MIN
15
1
7
1
7
7
1
7
1
1
7
1
ns
ns
13
1
9.5
ns
ns
13
9.5
ns
ns
13
1
1
1
13
9.5
ns
ns
1
9.5
7
1
13
9.5
ns
ns
1
1
1
13
9.5
ns
ns
1
1
1
ns
1
1
UNIT
ns
13
9.5
7
MAX
8
9.5
1
1
1.0V
MIN
20
5
7
1
MAX
ns
ns
13
1
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
ns
ns
79
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
1
BASIC SDRAM
WRITE OPERATION
2
2
EMA_CLK
3
4
EMA_CS[0]
5
6
EMA_WE_DQM[1:0]
7
8
7
8
EMA_BA[1:0]
EMA_A[12:0]
9
10
EMA_D[15:0]
11
12
EMA_RAS
13
EMA_CAS
15
16
EMA_WE
Figure 6-10. EMIFA Basic SDRAM Write Operation
BASIC SDRAM
READ OPERATION
1
2
2
EMA_CLK
3
4
EMA_CS[0]
5
6
EMA_WE_DQM[1:0]
7
8
7
8
EMA_BA[1:0]
EMA_A[12:0]
19
17
2 EM_CLK Delay
20
18
EMA_D[15:0]
11
12
EMA_RAS
13
14
EMA_CAS
EMA_WE
Figure 6-11. EMIFA Basic SDRAM Read Operation
80
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-21. Timing Requirements for EMIFA Asynchronous Memory Interface
1.2V
NO.
MIN
MAX
(1)
1.1V
MIN
MAX
1.0V
MIN
MAX
UNIT
READS and WRITES
6.75
13.3
3
20
ns
2E
2E
2E
ns
Setup time, EM_D[15:0] valid before EM_OE high
3
5
7
ns
th(EMOEH-EMDIV)
Hold time, EM_D[15:0] valid after EM_OE high
0
0
0
ns
tsu (EMOEL-EMWAIT)
Setup Time, EM_WAIT asserted before end of Strobe
Phase (2)
4E+3
4E+3
4E+3
ns
tsu (EMWEL-EMWAIT)
Setup Time, EM_WAIT asserted before end of Strobe
Phase (2)
4E+3
4E+3
4E+3
ns
E
tc(CLK)
Cycle time, EMIFA module clock
2
tw(EM_WAIT)
Pulse duration, EM_WAIT assertion and deassertion
12
tsu(EMDV-EMOEH)
13
14
READS
WRITES
28
(1)
(2)
E = EMA_CLK period or in ns. EMA_CLK is selected either as SYSCLK3 or the PLL0 output clock divided by 4.5. As an example, when
SYSCLK3 is selected and set to 100MHz, E=10ns
Setup before end of STROBE phase (if no extended wait states are inserted) by which EM_WAIT must be asserted to add extended
wait states. Figure 6-14 and Figure 6-15 describe EMIF transactions that include extended wait states inserted during the STROBE
phase. However, cycles inserted as part of this extended wait period should not be counted; the 4E requirement is to the start of where
the HOLD phase would begin if there were no extended wait cycles.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
81
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-22. Switching Characteristics for EMIFA Asynchronous Memory Interface (1) (2) (3)
NO.
1.2V, 1.1V, 1.0V
PARAMETER
MIN
Nom
UNIT
MAX
READS and WRITES
1
td(TURNAROUND)
Turn around time
(TA)*E - 3
(TA)*E
(TA)*E + 3
ns
READS
3
4
tc(EMRCYCLE)
tsu(EMCEL-EMOEL)
EMIF read cycle time (EW = 0)
(RS+RST+RH)*E - 3
(RS+RST+RH)*E
(RS+RST+RH)*E + 3
ns
EMIF read cycle time (EW = 1)
(RS+RST+RH+EWC)*E - 3
(RS+RST+RH+EWC)*E
(RS+RST+RH+EWC)*E + 3
ns
Output setup time, EMA_CE[5:2] low to EMA_OE low (SS = 0)
(RS)*E-3
(RS)*E
(RS)*E+3
ns
Output setup time, EMA_CE[5:2] low to EMA_OE low (SS = 1)
-3
0
+3
ns
Output hold time, EMA_OE high to EMA_CE[5:2] high (SS = 0)
(RH)*E - 3
(RH)*E
(RH)*E + 3
ns
Output hold time, EMA_OE high to EMA_CE[5:2] high (SS = 1)
-3
0
+3
ns
5
th(EMOEH-EMCEH)
6
tsu(EMBAV-EMOEL)
Output setup time, EMA_BA[1:0] valid to EMA_OE low
(RS)*E-3
(RS)*E
(RS)*E+3
ns
7
th(EMOEH-EMBAIV)
Output hold time, EMA_OE high to EMA_BA[1:0] invalid
(RH)*E-3
(RH)*E
(RH)*E+3
ns
8
tsu(EMBAV-EMOEL)
Output setup time, EMA_A[13:0] valid to EMA_OE low
(RS)*E-3
(RS)*E
(RS)*E+3
ns
9
th(EMOEH-EMAIV)
Output hold time, EMA_OE high to EMA_A[13:0] invalid
(RH)*E-3
(RH)*E
(RH)*E+3
ns
EMA_OE active low width (EW = 0)
(RST)*E-3
(RST)*E
(RST)*E+3
ns
EMA_OE active low width (EW = 1)
(RST+EWC)*E-3
(RST+EWC)*E
(RST+EWC)*E+3
ns
3E-3
4E
4E+3
ns
(RS)*E-3
(RS)*E
(RS)*E+3
ns
(RH)*E-3
(RH)*E
(RH)*E+3
ns
10
tw(EMOEL)
11
td(EMWAITH-EMOEH)
Delay time from EMA_WAIT deasserted to EMA_OE high
28
tsu(EMARW-EMOEL)
Output setup time, EMA_A_RW valid to EMA_OE low
29
th(EMOEH-EMARW)
Output hold time, EMA_OE high to EMA_A_RW invalid
WRITES
15
16
(1)
(2)
(3)
82
tc(EMWCYCLE)
tsu(EMCEL-EMWEL)
EMIF write cycle time (EW = 0)
(WS+WST+WH)*E-3
(WS+WST+WH)*E
(WS+WST+WH)*E+3
ns
EMIF write cycle time (EW = 1)
(WS+WST+WH+EWC)*E - 3
(WS+WST+WH+EWC)*E
(WS+WST+WH+EWC)*E + 3
ns
Output setup time, EMA_CE[5:2] low to EMA_WE low (SS = 0)
(WS)*E - 3
(WS)*E
(WS)*E + 3
ns
Output setup time, EMA_CE[5:2] low to EMA_WE low (SS = 1)
-3
0
+3
ns
Output hold time, EMA_WE high to EMA_CE[5:2] high (SS = 0)
(WH)*E-3
(WH)*E
(WH)*E+3
ns
Output hold time, EMA_WE high to EMA_CE[5:2] high (SS = 1)
-3
0
+3
ns
17
th(EMWEH-EMCEH)
18
tsu(EMDQMV-EMWEL)
Output setup time, EMA_BA[1:0] valid to EMA_WE low
(WS)*E-3
(WS)*E
(WS)*E+3
ns
19
th(EMWEH-EMDQMIV)
Output hold time, EMA_WE high to EMA_BA[1:0] invalid
(WH)*E-3
(WH)*E
(WH)*E+3
ns
20
tsu(EMBAV-EMWEL)
Output setup time, EMA_BA[1:0] valid to EMA_WE low
(WS)*E-3
(WS)*E
(WS)*E+3
ns
21
th(EMWEH-EMBAIV)
Output hold time, EMA_WE high to EMA_BA[1:0] invalid
(WH)*E-3
(WH)*E
(WH)*E+3
ns
22
tsu(EMAV-EMWEL)
Output setup time, EMA_A[13:0] valid to EMA_WE low
(WS)*E-3
(WS)*E
(WS)*E+3
ns
TA = Turn around, RS = Read setup, RST = Read strobe, RH = Read hold, WS = Write setup, WST = Write strobe, WH = Write hold, MEWC = Maximum external wait cycles. These
parameters are programmed via the Asynchronous Bank and Asynchronous Wait Cycle Configuration Registers. These support the following range of values: TA[4-1], RS[16-1], RST[641], RH[8-1], WS[16-1], WST[64-1], WH[8-1], and MEW[1-256].
E = EMA_CLK period or in ns. EMA_CLK is selected either as SYSCLK3 or the PLL0 output clock divided by 4.5. As an example, when SYSCLK3 is selected and set to 100MHz,
E=10ns.
EWC = external wait cycles determined by EMA_WAIT input signal. EWC supports the following range of values EWC[256-1]. Note that the maximum wait time before timeout is specified
by bit field MEWC in the Asynchronous Wait Cycle Configuration Register.
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-22. Switching Characteristics for EMIFA Asynchronous Memory Interface(1)(2)(3) (continued)
NO.
23
PARAMETER
th(EMWEH-EMAIV)
Output hold time, EMA_WE high to EMA_A[13:0] invalid
1.2V, 1.1V, 1.0V
MIN
Nom
UNIT
MAX
(WH)*E-3
(WH)*E
(WH)*E+3
ns
EMA_WE active low width (EW = 0)
(WST)*E-3
(WST)*E
(WST)*E+3
ns
EMA_WE active low width (EW = 1)
(WST+EWC)*E-3
(WST+EWC)*E
(WST+EWC)*E+3
ns
3E-3
4E
4E+3
ns
24
tw(EMWEL)
25
td(EMWAITH-EMWEH)
Delay time from EMA_WAIT deasserted to EMA_WE high
26
tsu(EMDV-EMWEL)
Output setup time, EMA_D[15:0] valid to EMA_WE low
(WS)*E-3
(WS)*E
(WS)*E+3
ns
27
th(EMWEH-EMDIV)
Output hold time, EMA_WE high to EMA_D[15:0] invalid
(WH)*E-3
(WH)*E
(WH)*E+3
ns
30
tsu(EMARW-EMWEL)
Output setup time, EMA_A_RW valid to EMA_WE low
(WS)*E-3
(WS)*E
(WS)*E+3
ns
31
th(EMWEH-EMARW)
Output hold time, EMA_WE high to EMA_A_RW invalid
(WH)*E-3
(WH)*E
(WH)*E+3
ns
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
83
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
SETUP
www.ti.com
STROBE
HOLD
3
1
EMA_CS[5:2]
EMA_BA[1:0]
EMA_A[22:0]
EMA_WE_DQM[1:0]
1
EMA_A_RW
4
8
6
28
5
9
7
29
10
EMA_OE
13
12
EMA_D[15:0]
EMA_WE
Figure 6-12. Asynchronous Memory Read Timing for EMIFA
SETUP
STROBE
HOLD
15
1
EMA_CS[5:2]
EMA_BA[1:0]
EMA_A[22:0]
EMA_WE_DQM[1:0]
EMA_A_RW
EMA_WE
16
17
18
19
20
21
22
30
23
31
24
26
1
27
EMA_D[15:0]
EMA_OE
Figure 6-13. Asynchronous Memory Write Timing for EMIFA
84
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
EMA_CS[5:2]
SETUP
STROBE
Extended Due to EMA_WAIT
STROBE HOLD
EMA_BA[1:0]
EMA_A[22:0]
EMA_D[15:0]
EMA_A_RW
14
11
EMA_OE
2
EMA_WAIT
Asserted
2
Deasserted
Figure 6-14. EMA_WAIT Read Timing Requirements
EMA_CS[5:2]
EMA_BA[1:0]
EMA_A[22:0]
EMA_D[15:0]
EMA_A_RW
EMA_WE
EMA_WAIT
Figure 6-15. EMA_WAIT Write Timing Requirements
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
85
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.11 DDR2/mDDR Controller
The DDR2/mDDR Memory Controller is a dedicated interface to DDR2/mDDR SDRAM. It supports
JESD79-2A standard compliant DDR2 SDRAM devices and compliant Mobile DDR SDRAM devices.
The DDR2/mDDR Memory Controller support the following features:
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
JESD79-2A standard compliant DDR2 SDRAM
Mobile DDR SDRAM
256-MB memory space for DDR2
256-MB memory space for mDDR
CAS latencies:
– DDR2: 2, 3, 4 and 5
– mDDR: 2 and 3
Internal banks:
– DDR2: 1, 2, 4 and 8
– mDDR:1, 2 and 4
Burst length: 8
Burst type: sequential
1 chip select (CS) signal
Page sizes: 256, 512, 1024 and 2048
SDRAM autoinitialization
Self-refresh mode
Partial array self-refresh (for mDDR)
Power down mode
Prioritized refresh
Programmable refresh rate and backlog counter
Programmable timing parameters
Little endian
6.11.1 DDR2/mDDR Memory Controller Electrical Data/Timing
Table 6-23. Switching Characteristics Over Recommended Operating Conditions for DDR2/mDDR
Memory Controller
No.
1
(1)
86
PARAMETER
tc(DDR_CLK)
Cycle time,
DDR_CLKP / DDR_CLKN
1.2V
1.1V
1.0V
UNIT
MIN
MAX
MIN
MAX
MIN
MAX
DDR2
125
156
125
150
— (1)
— (1)
mDDR
105
150
100
133
95
133
MHz
DDR2 is not supported at this voltage operating point.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.11.2 DDR2/mDDR Controller Register Description(s)
Table 6-24. DDR2/mDDR Controller Registers
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0xB000 0000
REVID
0xB000 0004
SDRSTAT
Revision ID Register
0xB000 0008
SDCR
0xB000 000C
SDRCR
0xB000 0010
SDTIMR1
SDRAM Timing Register 1
0xB000 0014
SDTIMR2
SDRAM Timing Register 2
0xB000 001C
SDCR2
SDRAM Configuration Register 2
0xB000 0020
PBBPR
Peripheral Bus Burst Priority Register
0xB000 0040
PC1
Performance Counter 1 Registers
0xB000 0044
PC2
Performance Counter 2 Register
Performance Counter Configuration Register
SDRAM Status Register
SDRAM Configuration Register
SDRAM Refresh Control Register
0xB000 0048
PCC
0xB000 004C
PCMRS
0xB000 0050
PCT
Performance Counter Time Register
0xB000 00C0
IRR
Interrupt Raw Register
0xB000 00C4
IMR
Interrupt Mask Register
0xB000 00C8
IMSR
Interrupt Mask Set Register
0xB000 00CC
IMCR
Interrupt Mask Clear Register
0xB000 00E4
DRPYC1R
DDR PHY Control Register 1
0x01E2 C000
VTPIO_CTL
Performance Counter Master Region Select Register
VTP IO Control Register
6.11.3 DDR2/mDDR Interface
This section provides the timing specification for the DDR2/mDDR interface as a PCB design and
manufacturing specification. The design rules constrain PCB trace length, PCB trace skew, signal
integrity, cross-talk, and signal timing. These rules, when followed, result in a reliable DDR2/mDDR
memory system without the need for a complex timing closure process. For more information regarding
guidelines for using this DDR2/mDDR specification, Understanding TI's PCB Routing Rule-Based DDR2
Timing Specification (SPRAAV0).
6.11.3.1 DDR2/mDDR Interface Schematic
Figure 6-16 shows the DDR2/mDDR interface schematic for a single-memory DDR2/mDDR system. The
dual-memory system shown in Figure 6-17. Pin numbers for the device can be obtained from the pin
description section.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
87
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
DDR2/mDDR Memory Controller
DDR2/mDDR
ODT
DDR_D[0]
T
DQ0
DDR_D[7]
T
DQ7
DDR_DQM[0]
DDR_DQS[0]
T
T
LDM
LDQS
DDR_D[8]
T
LDQS
DQ8
DDR_D[15]
T
DQ15
DDR_DQM[1]
DDR_DQS[1]
T
UDM
UDQS
NC
T
UDQS
50 Ω 5%
NC
DDR_BA[0]
T
BA0
DDR_BA[2]
T
BA2
DDR_A[0]
T
A0
DDR_A[13]
DDR_CS
DDR_CAS
DDR_RAS
DDR_WE
DDR_CKE
DDR_CLKP
DDR_CLKN
T
A13
T
CS
CAS
RAS
WE
CKE
CK
CK
DDR_DQGATE0
DDR_DQGATE1
T
T
T
T
T
T
T
DDR_ZP
(1)
VREF
T
DDR_DVDD18
(3)
0.1 μF
1 K Ω 1%
DDR_VREF
VREF
(2)
0.1 μF
T
(1)
(2)
(3)
(2)
0.1 μF
(2)
0.1 μF
0.1 μF
1 K Ω 1%
Terminator, if desired. See terminator comments.
See Figure 6-23 for DQGATE routing specifications.
For DDR2, one of these capacitors can be eliminated if the divider and its capacitors are placed near a device VREF pin. For mDDR,
these capacitors can be eliminated completely.
VREF applies in the case of DDR2 memories. For mDDR, the DDR_VREF pin still needs to be connected to the divider circuit.
Figure 6-16. DDR2/mDDR Single-Memory High Level Schematic
88
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
DDR2/mDDR Memory Controller
ODT
T
DQ0 - DQ7
BA0-BA2
A0-A13
DDR_DQM[0]
DDR_DQS[0]
T
DM
DQS
DQS
T
NC
Lower Byte
DDR2/mDDR
DDR_D[0:7]
CK
CK
CS
CAS
RAS
WE
CKE
VREF
T
DDR_CLKP
DDR_CLKN
DDR_CS
DDR_CAS
DDR_RAS
DDR_WE
DDR_CKE
T
BA0-BA2
A0-A13
T
CK
CK
CS
CAS
RAS
WE
CKE
T
T
T
T
T
T
DDR_DQM1
DDR_DQS1
T
T
NC
50 Ω 5%
DDR_D[8:15]
T
DDR_ZP
DM
DQS
DQS
DQ0 - DQ7
DDR_DVDD18
ODT
(1)
DDR_DQGATE0
DDR_DQGATE1
Upper Byte
DDR2/mDDR
DDR_BA[0:2]
DDR_A[0:13]
T
VREF
T
(3)
0.1 μF
1 K Ω 1%
DDR_VREF
VREF
(2)
0.1 μF
T
(1)
(2)
(3)
(2)
0.1 μF
(2)
0.1 μF
0.1 μF
1 K Ω 1%
Terminator, if desired. See terminator comments.
See Figure 6-23 for DQGATE routing specifications.
For DDR2, one of these capacitors can be eliminated if the divider and its capacitors are placed near a device VREF pin. For mDDR,
these capacitors can be eliminated completely.
VREF applies in the case of DDR2 memories. For mDDR, the DDR_VREF pin still needs to be connected to the divider circuit.
Figure 6-17. DDR2/mDDR Dual-Memory High Level Schematic
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
89
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.11.3.2 Compatible JEDEC DDR2/mDDR Devices
Table 6-25 shows the parameters of the JEDEC DDR2/mDDR devices that are compatible with this
interface. Generally, the DDR2/mDDR interface is compatible with x16 DDR2-400/mDDR-200 speed
grade DDR2/mDDR devices.
The device also supports JEDEC DDR2/mDDR x8 devices in the dual chip configuration. In this case, one
chip supplies the upper byte and the second chip supplies the lower byte. Addresses and most control
signals are shared just like regular dual chip memory configurations.
Table 6-25. Compatible JEDEC DDR2/mDDR Devices
NO.
PARAMETER
MIN
MAX
UNIT
1
JEDEC DDR2/mDDR Device Speed Grade (1)
2
JEDEC DDR2/mDDR Device Bit Width
x8
x16
Bits
3
JEDEC DDR2/mDDR Device Count (2)
1
2
Devices
(1)
(2)
DDR2-400/mDDR200
Higher DDR2/mDDR speed grades are supported due to inherent JEDEC DDR2/mDDR backwards compatibility.
Supported configurations are one 16-bit DDR2/mDDR memory or two 8-bit DDR2/mDDR memories
6.11.3.3 PCB Stackup
The minimum stackup required for routing the device is a six layer stack as shown in Table 6-26.
Additional layers may be added to the PCB stack up to accommodate other circuitry or to reduce the size
of the PCB footprint.Complete stack up specifications are provided in Table 6-27.
Table 6-26. Device Minimum PCB Stack Up
LAYER
TYPE
DESCRIPTION
1
Signal
Top Routing Mostly Horizontal
2
Plane
Ground
3
Plane
Power
4
Signal
Internal Routing
5
Plane
Ground
6
Signal
Bottom Routing Mostly Vertical
Table 6-27. PCB Stack Up Specifications
NO.
(1)
(2)
(3)
90
PARAMETER
MIN
TYP
MAX
UNIT
1
PCB Routing/Plane Layers
6
2
Signal Routing Layers
3
3
Full ground layers under DDR2/mDDR routing region
2
4
Number of ground plane cuts allowed within DDR routing region
5
Number of ground reference planes required for each DDR2/mDDR routing layer
6
Number of layers between DDR2/mDDR routing layer and reference ground plane
7
PCB Routing Feature Size
4
Mils
8
PCB Trace Width w
4
Mils
8
PCB BGA escape via pad size
18
Mils
8
Mils
0
1
0
9
PCB BGA escape via hole size
10
Device BGA pad size (1)
11
DDR2/mDDR Device BGA pad size (2)
12
Single Ended Impedance, Zo
50
13
Impedance Control (3)
Z-5
Z
75
Ω
Z+5
Ω
Please refer to the Flip Chip Ball Grid Array Package Reference Guide (SPRU811) for device BGA pad size.
Please refer to the DDR2/mDDR device manufacturer documentation for the DDR2/mDDR device BGA pad size.
Z is the nominal singled ended impedance selected for the PCB specified by item 12.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.11.3.4 Placement
Figure 6-17 shows the required placement for the device as well as the DDR2/mDDR devices. The
dimensions for Figure 6-18 are defined in Table 6-28. The placement does not restrict the side of the PCB
that the devices are mounted on. The ultimate purpose of the placement is to limit the maximum trace
lengths and allow for proper routing space. For single-memory DDR2/mDDR systems, the second
DDR2/mDDR device is omitted from the placement.
X
Y
OFFSET
Y
DDR2/mDDR
Device
Y
OFFSET
DDR2/mDDR
Controller
A1
A1
Recommended DDR2/mDDR
Device Orientation
Figure 6-18. Device and DDR2/mDDR Device Placement
Table 6-28. Placement Specifications (1) (2)
NO.
(1)
(2)
(3)
(4)
(5)
MAX
UNIT
1
PARAMETER
X
MIN
1750
Mils
2
Y
1280
Mils
3
Y Offset
(3)
Mils
4
Clearance from non-DDR2/mDDR signal to DDR2/mDDR Keepout Region (4)
650
4
w (5)
See Figure 6-18 for dimension definitions.
Measurements from center of device to center of DDR2/mDDR device.
For single memory systems it is recommended that Y Offset be as small as possible.
Non-DDR2/mDDR signals allowed within DDR2/mDDR keepout region provided they are separated from DDR2/mDDR routing layers by
a ground plane.
w = PCB trace width as defined in Table 6-27.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
91
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.11.3.5 DDR2/mDDR Keep Out Region
The region of the PCB used for the DDR2/mDDR circuitry must be isolated from other signals. The
DDR2/mDDR keep out region is defined for this purpose and is shown in Figure 6-19. The size of this
region varies with the placement and DDR routing. Additional clearances required for the keep out region
are shown in Table 6-28.
DDR2/mDDR
Device
DDR2/mDDR
Controller
A1
A1
Region should encompass all DDR2/mDDR circuitry and varies
depending on placement. Non-DDR2/mDDR signals should not be
routed on the DDR signal layers within the DDR2/mDDR keep out
region. Non-DDR2/mDDR signals may be routed in the region
provided they are routed on layers separated from DDR2/mDDR
signal layers by a ground layer. No breaks should be allowed in the
reference ground layers in this region. In addition, the 1.8 V power
plane should cover the entire keep out region.
Figure 6-19. DDR2/mDDR Keepout Region
92
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.11.3.6 Bulk Bypass Capacitors
Bulk bypass capacitors are required for moderate speed bypassing of the DDR2/mDDR and other
circuitry. Table 6-29 contains the minimum numbers and capacitance required for the bulk bypass
capacitors. Note that this table only covers the bypass needs of the device and DDR2/mDDR interfaces.
Additional bulk bypass capacitance may be needed for other circuitry.
Table 6-29. Bulk Bypass Capacitors
NO.
PARAMETER
MIN
MAX
UNIT
1
DDR_DVDD18 Supply Bulk Bypass Capacitor Count (1)
3
2
DDR_DVDD18 Supply Bulk Bypass Total Capacitance
30
μF
3
DDR#1 Bulk Bypass Capacitor Count (1)
1
Devices
4
DDR#1 Bulk Bypass Total Capacitance
22
μF
5
DDR#2 Bulk Bypass Capacitor Count (1) (2)
1
Devices
6
DDR#2 Bulk Bypass Total Capacitance (2)
22
μF
(1)
Devices
These devices should be placed near the device they are bypassing, but preference should be given to the placement of the high-speed
(HS) bypass caps.
Only used on dual-memory systems.
(2)
6.11.3.7 High-Speed Bypass Capacitors
High-speed (HS) bypass capacitors are critical for proper DDR2/mDDR interface operation. It is
particularly important to minimize the parasitic series inductance of the HS bypass cap,
device/DDR2/mDDR power, and device/DDR2/mDDR ground connections. Table 6-30 contains the
specification for the HS bypass capacitors as well as for the power connections on the PCB.
Table 6-30. High-Speed Bypass Capacitors
NO.
(1)
(2)
(3)
(4)
PARAMETER
MIN
(1)
1
HS Bypass Capacitor Package Size
2
Distance from HS bypass capacitor to device being bypassed
3
Number of connection vias for each HS bypass capacitor
4
Trace length from bypass capacitor contact to connection via
1
5
Number of connection vias for each DDR2/mDDR device power or ground balls
1
6
Trace length from DDR2/mDDR device power ball to connection via
(3)
7
DDR_DVDD18 Supply HS Bypass Capacitor Count
8
DDR_DVDD18 Supply HS Bypass Capacitor Total Capacitance
9
DDR#1 HS Bypass Capacitor Count (3)
10
DDR#1 HS Bypass Capacitor Total Capacitance
(3) (4)
11
DDR#2 HS Bypass Capacitor Count
12
DDR#2 HS Bypass Capacitor Total Capacitance (4)
MAX
UNIT
0402
10 Mils
250
2 (2)
Mils
Vias
30
Mils
Vias
35
10
Mils
Devices
0.6
μF
8
Devices
0.4
μF
8
Devices
0.4
μF
LxW, 10 mil units, i.e., a 0402 is a 40x20 mil surface mount capacitor
An additional HS bypass capacitor can share the connection vias only if it is mounted on the opposite side of the board.
These devices should be placed as close as possible to the device being bypassed.
Only used on dual-memory systems.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
93
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.11.3.8 Net Classes
Table 6-31 lists the clock net classes for the DDR2/mDDR interface. Table 6-32 lists the signal net
classes, and associated clock net classes, for the signals in the DDR2/mDDR interface. These net classes
are used for the termination and routing rules that follow.
Table 6-31. Clock Net Class Definitions
CLOCK NET CLASS
PIN NAMES
CK
DDR_CLKP / DDR_CLKN
DQS0
DDR_DQS[0]
DQS1
DDR_DQS[1]
Table 6-32. Signal Net Class Definitions
SIGNAL NET CLASS
ASSOCIATED CLOCK
NET CLASS
ADDR_CTRL
CK
D0
DQS0
DDR_D[7:0], DDR_DQM0
D1
DQS1
DDR_D[15:8], DDR_DQM1
DQGATE
CK, DQS0, DQS1
PIN NAMES
DDR_BA[2:0], DDR_A[13:0], DDR_CS, DDR_CAS, DDR_RAS, DDR_WE,
DDR_CKE
DDR_DQGATE0, DDR_DQGATE1
6.11.3.9 DDR2/mDDR Signal Termination
No terminations of any kind are required in order to meet signal integrity and overshoot requirements.
Serial terminators are permitted, if desired, to reduce EMI risk; however, serial terminations are the only
type permitted. Table 6-33 shows the specifications for the series terminators.
Table 6-33. DDR2/mDDR Signal Terminations (1) (2) (3)
NO.
PARAMETER
MIN
1
CK Net Class
0
2
ADDR_CTRL Net Class
0
3
Data Byte Net Classes (DQS[0], DQS[1], D0, D1) (4)
0
4
DQGATE Net Class (DQGATE)
0
(1)
(2)
(3)
(4)
94
TYP
MAX
UNIT
10
Ω
22
Zo
Ω
22
Zo
Ω
10
Zo
Ω
Only series termination is permitted, parallel or SST specifically disallowed.
Terminator values larger than typical only recommended to address EMI issues.
Termination value should be uniform across net class.
When no termination is used on data lines (0 Ω), the DDR2/mDDR devices must be programmed to operate in 60% strength mode.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.11.3.10 VREF Routing
VREF is used as a reference by the input buffers of the DDR2/mDDR memories as well as the device.
VREF is intended to be half the DDR2/mDDR power supply voltage and should be created using a
resistive divider as shown in Figure 6-16. Other methods of creating VREF are not recommended.
Figure 6-20 shows the layout guidelines for VREF.
VREF Bypass Capacitor
DDR2/mDDR Device
A1
VREF Nominal Minimum
Trace Width is 20 Mils
DDR2/mDDR
A1
Neck down to minimum in BGA escape
regions is acceptable. Narrowing to
accomodate via congestion for short
distances is also acceptable. Best
performance is obtained if the width
of VREF is maximized.
Figure 6-20. VREF Routing and Topology
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
95
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.11.3.11 DDR2/mDDR CK and ADDR_CTRL Routing
Figure 6-21 shows the topology of the routing for the CK and ADDR_CTRL net classes. The route is a
balanced T as it is intended that the length of segments B and C be equal. In addition, the length of A
should be maximized.
B
DDR2/mDDR
Controller
A1
T
C
A
A1
Figure 6-21. CK and ADDR_CTRL Routing and Topology
Table 6-34. CK and ADDR_CTRL Routing Specification
NO.
(1)
(2)
(3)
(4)
96
PARAMETER
MIN
TYP
(1)
MAX
2w
UNIT
(2)
1
Center to Center CK-CKN Spacing
2
CK A to B/A to C Skew Length Mismatch (3)
25
Mils
3
CK B to C Skew Length Mismatch
25
Mils
4
Center to center CK to other DDR2/mDDR trace spacing (1)
5
CK/ADDR_CTRL nominal trace length (4)
CACLM+50
Mils
6
ADDR_CTRL to CK Skew Length Mismatch
100
Mils
7
ADDR_CTRL to ADDR_CTRL Skew Length Mismatch
100
Mils
100
Mils
100
Mils
4w (2)
CACLM-50
8
Center to center ADDR_CTRL to other DDR2/mDDR trace spacing
(1)
4w
(2)
9
Center to center ADDR_CTRL to other ADDR_CTRL trace spacing (1)
3w
(2)
10
ADDR_CTRL A to B/A to C Skew Length Mismatch
11
ADDR_CTRL B to C Skew Length Mismatch
(3)
CACLM
Center to center spacing is allowed to fall to minimum (w) for up to 500 mils of routed length to accommodate BGA escape and routing
congestion.
w = PCB trace width as defined in Table 6-27.
Series terminator, if used, should be located closest to device.
CACLM is the longest Manhattan distance of the CK and ADDR_CTRL net classes.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Figure 6-22 shows the topology and routing for the DQS and D net class; the routes are point to point.
Skew matching across bytes is not needed nor recommended.
E0
A1
T
DDR2/mDDR
Controller
T
E1
A1
Figure 6-22. DQS and D Routing and Topology
Table 6-35. DQS and D Routing Specification
NO.
(1)
(2)
(3)
(4)
(5)
(6)
PARAMETER
MIN
1
Center to center DQS to other DDR2/mDDR trace spacing (1)
4w (2)
2
DQS/D nominal trace length (3) (4)
3
D to DQS Skew Length Mismatch (4)
4
D to D Skew Length Mismatch (4)
5
Center to center D to other DDR2/mDDR trace spacing (1) (5)
4w (2)
6
Center to Center D to other D trace spacing (1) (6)
3w (2)
DQLM-50
TYP
MAX
UNIT
DQLM
DQLM+50
Mils
100
Mils
100
Mils
Center to center spacing is allowed to fall to minimum (w) for up to 500 mils of routed length to accommodate BGA escape and routing
congestion.
w = PCB trace width as defined in Table 6-27.
Series terminator, if used, should be located closest to DDR.
There is no need and it is not recommended to skew match across data bytes, i.e., from DQS0 and data byte 0 to DQS1 and data byte
1.
D's from other DQS domains are considered other DDR2/mDDR trace.
DQLM is the longest Manhattan distance of each of the DQS and D net class.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
97
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Figure 6-23 shows the routing for the DQGATE net class. Table 6-36 contains the routing specification.
A1
T
T
DDR2/mDDR
Controller
F
A1
Figure 6-23. DQGATE Routing
Table 6-36. DQGATE Routing Specification
NO.
(1)
(2)
(3)
PARAMETER
1
DQGATE Length F
2
Center to center DQGATE to any other trace spacing
3
DQS/D nominal trace length
4
DQGATE Skew (3)
MIN
TYP
CKB0B
MAX
UNIT
DQLM+50
Mils
100
Mils
(1)
4w (2)
DQLM-50
DQLM
CKB0B1 is the sum of the length of the CK net plus the average length of the DQS0 and DQS1 nets.
w = PCB trace width as defined in Table 6-27.
Skew from CKB0B1
6.11.3.12 MDDR/DDR2 Boundary Scan Limitations
Due to DDR implementation and timing restrictions, it was not possible to place boundary scan cells
between core logic and the IO like boundary scan cells for other IO. Instead, the boundary scan cells are
tapped-off to the DDR PHY and there is the equivalent of a multiplexer inside the DDR PHY which selects
between functional and boundary scan paths.
The implication for boundary scan is that the DDR pins will not support the SAMPLE function of the output
enable cells on the DDR pins and this is a violation of IEEE 1149.1. Full EXTEST and PRELOAD
capability is still available.
98
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.12 Memory Protection Units
The MPU performs memory protection checking. It receives requests from a bus master in the system and
checks the address against the fixed and programmable regions to see if the access is allowed. If allowed,
the transfer is passed unmodified to its output bus (to the targeted address). If the transfer is illegal (fails
the protection check) then the MPU does not pass the transfer to the output bus but rather services the
transfer internally back to the input bus (to prevent a hang) returning the fault status to the requestor as
well as generating an interrupt about the fault. The following features are supported by the MPU:
• Provides memory protection for fixed and programmable address ranges.
• Supports multiple programmable address region.
• Supports secure and debug access privileges.
• Supports read, write, and execute access privileges.
• Supports privid(8) associations with ranges.
• Generates an interrupt when there is a protection violation, and saves violating transfer parameters.
• MMR access is also protected.
Table 6-37. MPU1 Configuration Registers
MPU1
BYTE ADDRESS
ACRONYM
0x01E1 4000
REVID
0x01E1 4004
CONFIG
0x01E1 4010
IRAWSTAT
0x01E1 4014
IENSTAT
REGISTER DESCRIPTION
Revision ID
Configuration
Interrupt raw status/set
Interrupt enable status/clear
0x01E1 4018
IENSET
Interrupt enable
0x01E1 401C
IENCLR
Interrupt enable clear
0x01E1 4020 - 0x01E1 41FF
-
0x01E1 4200
PROG1_MPSAR
Programmable range 1, start address
0x01E1 4204
PROG1_MPEAR
Programmable range 1, end address
0x01E1 4208
PROG1_MPPA
Reserved
Programmable range 1, memory page protection attributes
0x01E1 420C - 0x01E1 420F
-
0x01E1 4210
PROG2_MPSAR
Reserved
Programmable range 2, start address
0x01E1 4214
PROG2_MPEAR
Programmable range 2, end address
0x01E1 4218
PROG2_MPPA
Programmable range 2, memory page protection attributes
0x01E1 421C - 0x01E1 421F
-
0x01E1 4220
PROG3_MPSAR
Reserved
Programmable range 3, start address
0x01E1 4224
PROG3_MPEAR
Programmable range 3, end address
0x01E1 4228
PROG3_MPPA
0x01E1 422C - 0x01E1 422F
-
0x01E1 4230
PROG4_MPSAR
Programmable range 4, start address
0x01E1 4234
PROG4_MPEAR
Programmable range 4, end address
0x01E1 4238
PROG4_MPPA
0x01E1 423C - 0x01E1 423F
-
0x01E1 4240
PROG5_MPSAR
Programmable range 5, start address
0x01E1 4244
PROG5_MPEAR
Programmable range 5, end address
0x01E1 4248
PROG5_MPPA
0x01E1 424C - 0x01E1 424F
-
0x01E1 4250
PROG6_MPSAR
Programmable range 6, start address
0x01E1 4254
PROG6_MPEAR
Programmable range 6, end address
0x01E1 4258
PROG6_MPPA
0x01E1 425C - 0x01E1 42FF
-
Copyright © 2010–2014, Texas Instruments Incorporated
Programmable range 3, memory page protection attributes
Reserved
Programmable range 4, memory page protection attributes
Reserved
Programmable range 5, memory page protection attributes
Reserved
Programmable range 6, memory page protection attributes
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
99
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-37. MPU1 Configuration Registers (continued)
MPU1
BYTE ADDRESS
ACRONYM
0x01E1 4300
FLTADDRR
0x01E1 4304
FLTSTAT
Fault status
0x01E1 4308
FLTCLR
Fault clear
0x01E1 430C - 0x01E1 4FFF
-
Reserved
REGISTER DESCRIPTION
Fault address
Table 6-38. MPU2 Configuration Registers
MPU2
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01E1 5000
REVID
0x01E1 5004
CONFIG
Revision ID
0x01E1 5010
IRAWSTAT
0x01E1 5014
IENSTAT
0x01E1 5018
IENSET
Interrupt enable
Interrupt enable clear
Configuration
Interrupt raw status/set
Interrupt enable status/clear
0x01E1 501C
IENCLR
0x01E1 5020 - 0x01E1 51FF
-
0x01E1 5200
PROG1_MPSAR
Programmable range 1, start address
0x01E1 5204
PROG1_MPEAR
Programmable range 1, end address
Reserved
0x01E1 5208
PROG1_MPPA
0x01E1 520C - 0x01E1 520F
-
Programmable range 1, memory page protection attributes
0x01E1 5210
PROG2_MPSAR
Programmable range 2, start address
0x01E1 5214
PROG2_MPEAR
Programmable range 2, end address
0x01E1 5218
PROG2_MPPA
0x01E1 521C - 0x01E1 521F
-
0x01E1 5220
PROG3_MPSAR
Programmable range 3, start address
0x01E1 5224
PROG3_MPEAR
Programmable range 3, end address
0x01E1 5228
PROG3_MPPA
0x01E1 522C - 0x01E1 522F
-
0x01E1 5230
PROG4_MPSAR
Programmable range 4, start address
0x01E1 5234
PROG4_MPEAR
Programmable range 4, end address
0x01E1 5238
PROG4_MPPA
Reserved
Programmable range 2, memory page protection attributes
Reserved
Programmable range 3, memory page protection attributes
Reserved
Programmable range 4, memory page protection attributes
0x01E1 523C - 0x01E1 523F
-
0x01E1 5240
PROG5_MPSAR
Reserved
Programmable range 5, start address
0x01E1 5244
PROG5_MPEAR
Programmable range 5, end address
0x01E1 5248
PROG5_MPPA
0x01E1 524C - 0x01E1 524F
-
Programmable range 5, memory page protection attributes
0x01E1 5250
PROG6_MPSAR
Programmable range 6, start address
0x01E1 5254
PROG6_MPEAR
Programmable range 6, end address
Reserved
0x01E1 5258
PROG6_MPPA
0x01E1 525C - 0x01E1 525F
-
0x01E1 5260
PROG7_MPSAR
Programmable range 7, start address
0x01E1 5264
PROG7_MPEAR
Programmable range 7, end address
0x01E1 5268
PROG7_MPPA
0x01E1 526C - 0x01E1 526F
-
0x01E1 5270
PROG8_MPSAR
Programmable range 8, start address
0x01E1 5274
PROG8_MPEAR
Programmable range 8, end address
0x01E1 5278
PROG8_MPPA
0x01E1 527C - 0x01E1 527F
-
100
Programmable range 6, memory page protection attributes
Reserved
Programmable range 7, memory page protection attributes
Reserved
Programmable range 8, memory page protection attributes
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-38. MPU2 Configuration Registers (continued)
MPU2
BYTE ADDRESS
ACRONYM
0x01E1 5280
PROG9_MPSAR
Programmable range 9, start address
0x01E1 5284
PROG9_MPEAR
Programmable range 9, end address
0x01E1 5288
PROG9_MPPA
REGISTER DESCRIPTION
Programmable range 9, memory page protection attributes
0x01E1 528C - 0x01E1 528F
-
0x01E1 5290
PROG10_MPSAR
Reserved
Programmable range 10, start address
0x01E1 5294
PROG10_MPEAR
Programmable range 10, end address
0x01E1 5298
PROG10_MPPA
Programmable range 10, memory page protection attributes
0x01E1 529C - 0x01E1 529F
-
0x01E1 52A0
PROG11_MPSAR
Reserved
Programmable range 11, start address
0x01E1 52A4
PROG11_MPEAR
Programmable range 11, end address
0x01E1 52A8
PROG11_MPPA
0x01E1 52AC - 0x01E1 52AF
-
0x01E1 52B0
PROG12_MPSAR
Programmable range 12, start address
0x01E1 52B4
PROG12_MPEAR
Programmable range 12, end address
0x01E1 52B8
PROG12_MPPA
0x01E1 52BC - 0x01E1 52FF
-
0x01E1 5300
FLTADDRR
0x01E1 5304
FLTSTAT
Fault status
0x01E1 5308
FLTCLR
Fault clear
0x01E1 530C - 0x01E1 5FFF
-
Reserved
Copyright © 2010–2014, Texas Instruments Incorporated
Programmable range 11, memory page protection attributes
Reserved
Programmable range 12, memory page protection attributes
Reserved
Fault address
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
101
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.13 MMC / SD / SDIO (MMCSD0)
6.13.1 MMCSD Peripheral Description
The device includes one MMCSD controller which is compliant with MMC V4.0, Secure Digital Part 1
Physical Layer Specification V1.1 and Secure Digital Input Output (SDIO) V2.0 specifications.
The MMC/SD Controller has following features:
• MultiMediaCard (MMC)
• Secure Digital (SD) Memory Card
• MMC/SD protocol support
• SD high capacity support
• SDIO protocol support
• Programmable clock frequency
• 512 bit Read/Write FIFO to lower system overhead
• Slave EDMA transfer capability
The device MMC/SD Controller does not support SPI mode.
6.13.2
MMCSD Peripheral Register Description(s)
Table 6-39. Multimedia Card/Secure Digital (MMC/SD) Card Controller Registers
MMCSD0
BYTE ADDRESS
102
ACRONYM
REGISTER DESCSRIPTION
0x01C4 0000
MMCCTL
MMC Control Register
0x01C4 0004
MMCCLK
MMC Memory Clock Control Register
0x01C4 0008
MMCST0
MMC Status Register 0
0x01C4 000C
MMCST1
MMC Status Register 1
0x01C4 0010
MMCIM
0x01C4 0014
MMCTOR
MMC Interrupt Mask Register
MMC Response Time-Out Register
0x01C4 0018
MMCTOD
MMC Data Read Time-Out Register
0x01C4 001C
MMCBLEN
MMC Block Length Register
0x01C4 0020
MMCNBLK
MMC Number of Blocks Register
0x01C4 0024
MMCNBLC
MMC Number of Blocks Counter Register
0x01C4 0028
MMCDRR
MMC Data Receive Register
0x01C4 002C
MMCDXR
MMC Data Transmit Register
0x01C4 0030
MMCCMD
MMC Command Register
0x01C4 0034
MMCARGHL
MMC Argument Register
0x01C4 0038
MMCRSP01
MMC Response Register 0 and 1
0x01C4 003C
MMCRSP23
MMC Response Register 2 and 3
0x01C4 0040
MMCRSP45
MMC Response Register 4 and 5
0x01C4 0044
MMCRSP67
MMC Response Register 6 and 7
0x01C4 0048
MMCDRSP
MMC Data Response Register
0x01C4 0050
MMCCIDX
MMC Command Index Register
0x01C4 0064
SDIOCTL
SDIO Control Register
0x01C4 0068
SDIOST0
SDIO Status Register 0
0x01C4 006C
SDIOIEN
SDIO Interrupt Enable Register
0x01C4 0070
SDIOIST
SDIO Interrupt Status Register
0x01C4 0074
MMCFIFOCTL
MMC FIFO Control Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.13.3 MMC/SD Electrical Data/Timing
Table 6-40 through Table 6-41 assume testing over recommended operating conditions.
Table 6-40. Timing Requirements for MMC/SD
(see Figure 6-25 and Figure 6-27)
1.2V
NO.
1
MIN
tsu(CMDV-
Setup time, MMCSD_CMD valid before MMCSD_CLK high
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
UNIT
4
4
6
ns
CLKH)
2
th(CLKH-CMDV)
2.5
2.5
2.5
ns
3
tsu(DATV-CLKH) Setup time, MMCSD_DATx valid before MMCSD_CLK high
Hold time, MMCSD_CMD valid after MMCSD_CLK high
4.5
5
6
ns
4
th(CLKH-DATV)
2.5
2.5
2.5
ns
Hold time, MMCSD_DATx valid after MMCSD_CLK high
Table 6-41. Switching Characteristics for MMC/SD (see Figure 6-24 through Figure 6-27)
NO.
1.2V
PARAMETER
1.1V
1.0V
UNIT
MIN
MAX
MIN
MAX
MIN
MAX
0
52
0
50
0
25
MHz
0
400
0
400
0
400
KHz
7
f(CLK)
Operating frequency, MMCSD_CLK
8
f(CLK_ID)
Identification mode frequency, MMCSD_CLK
9
tW(CLKL)
Pulse width, MMCSD_CLK low
6.5
6.5
10
ns
10
tW(CLKH)
Pulse width, MMCSD_CLK high
6.5
6.5
10
ns
11
tr(CLK)
Rise time, MMCSD_CLK
3
3
10
ns
12
tf(CLK)
Fall time, MMCSD_CLK
3
3
10
ns
13
td(CLKL-CMD)
Delay time, MMCSD_CLK low to MMCSD_CMD transition
-4
2.5
-4
3
-4
4
ns
14
td(CLKL-DAT)
Delay time, MMCSD_CLK low to MMCSD_DATx transition
-4
3.3
-4
3.5
-4
4
ns
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
103
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
10
9
7
MMCSD_CLK
13
13
START
MMCSD_CMD
13
XMIT
Valid
Valid
13
Valid
END
Figure 6-24. MMC/SD Host Command Timing
9
7
10
MMCSD_CLK
1
2
START
MMCSD_CMD
XMIT
Valid
Valid
Valid
END
Figure 6-25. MMC/SD Card Response Timing
10
9
7
MMCSD_CLK
14
14
START
MMCSD_DATx
14
D0
D1
14
Dx
END
Figure 6-26. MMC/SD Host Write Timing
9
10
7
MMCSD_CLK
4
4
3
MMCSD_DATx
Start
3
D0
D1
Dx
End
Figure 6-27. MMC/SD Host Read and Card CRC Status Timing
104
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.14 Multichannel Audio Serial Port (McASP)
The McASP serial port is specifically designed for multichannel audio applications. Its key features are:
• Flexible clock and frame sync generation logic and on-chip dividers
• Up to sixteen transmit or receive data pins and serializers
• Large number of serial data format options, including:
– TDM Frames with 2 to 32 time slots per frame (periodic) or 1 slot per frame (burst)
– Time slots of 8,12,16, 20, 24, 28, and 32 bits
– First bit delay 0, 1, or 2 clocks
– MSB or LSB first bit order
– Left- or right-aligned data words within time slots
• DIT Mode with 384-bit Channel Status and 384-bit User Data registers
• Extensive error checking and mute generation logic
• All unused pins GPIO-capable
•
•
Transmit & Receive FIFO Buffers allow the McASP to operate at a higher sample rate by making it
more tolerant to DMA latency.
Dynamic Adjustment of Clock Dividers
– Clock Divider Value may be changed without resetting the McASP
Pins
Peripheral
Configuration
Bus
GIO
Control
DIT RAM
384 C
384 U
Optional
Receive Logic
C lo ck /F ra m e G e n e ra to r
State Machine
Clock Check and
Error Detection
McASP
DMA Bus
(Dedicated)
Receive
F o rm a tte r
AHCLKRx
Receive Master Clock
ACLKRx
Receive Bit Clock
AFSRx
R e c e iv e L e ft/R ig h t C lo ck o r F ra m e S y n c
AMUTEINx
The McASP DOES NOT have a
AMUTEx
dedicated AMUTEIN pin.
AFSXx
AHCLKXx
Tra n s m it L e ft/R ig h t C lo ck o r F ra m e S y n c
Tra n s m it B it C lo ck
Tra n s m it M a s te r C lo ck
Serializer 0
AXRx[0]
Tra n s m it/R e c e iv e S e ria l D a ta P in
Serializer 1
AXRx[1]
Tra n s m it/R e c e iv e S e ria l D a ta P in
Serializer y
AXRx[y]
Tra n s m it/R e c e iv e S e ria l D a ta P in
Tra n s m it L o g ic
C lo ck /F ra m e G e n e ra to r
State Machine
Tra n s m it
F o rm a tte r
Function
ACLKXx
McASP
Figure 6-28. McASP Block Diagram
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
105
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.14.1 McASP Peripheral Registers Description(s)
Registers for the McASP are summarized in Table 6-42. The registers are accessed through the
peripheral configuration port. The receive buffer registers (RBUF) and transmit buffer registers (XBUF) can
also be accessed through the DMA port, as listed in Table 6-43
Registers for the McASP Audio FIFO (AFIFO) are summarized in Table 6-44. Note that the AFIFO Write
FIFO (WFIFO) and Read FIFO (RFIFO) have independent control and status registers. The AFIFO control
registers are accessed through the peripheral configuration port.
Table 6-42. McASP Registers Accessed Through Peripheral Configuration Port
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01D0 0000
REV
0x01D0 0010
PFUNC
Revision identification register
Pin function register
0x01D0 0014
PDIR
Pin direction register
0x01D0 0018
PDOUT
Pin data output register
0x01D0 001C
PDIN
0x01D0 001C
PDSET
Writes affect: Pin data set register (alternate write address: PDOUT)
0x01D0 0020
PDCLR
Pin data clear register (alternate write address: PDOUT)
0x01D0 0044
GBLCTL
Global control register
0x01D0 0048
AMUTE
Audio mute control register
0x01D0 004C
DLBCTL
Digital loopback control register
0x01D0 0050
DITCTL
DIT mode control register
0x01D0 0060
0x01D0 0064
RGBLCTL
RMASK
0x01D0 0068
RFMT
0x01D0 006C
AFSRCTL
0x01D0 0070
ACLKRCTL
0x01D0 0074
AHCLKRCTL
0x01D0 0078
RTDM
0x01D0 007C
RINTCTL
Read returns: Pin data input register
Receiver global control register: Alias of GBLCTL, only receive bits are affected - allows receiver to
be reset independently from transmitter
Receive format unit bit mask register
Receive bit stream format register
Receive frame sync control register
Receive clock control register
Receive high-frequency clock control register
Receive TDM time slot 0-31 register
Receiver interrupt control register
0x01D0 0080
RSTAT
Receiver status register
0x01D0 0084
RSLOT
Current receive TDM time slot register
0x01D0 0088
RCLKCHK
Receive clock check control register
0x01D0 008C
REVTCTL
Receiver DMA event control register
XGBLCTL
Transmitter global control register. Alias of GBLCTL, only transmit bits are affected - allows
transmitter to be reset independently from receiver
0x01D0 00A0
0x01D0 00A4
XMASK
0x01D0 00A8
XFMT
0x01D0 00AC
AFSXCTL
0x01D0 00B0
ACLKXCTL
0x01D0 00B4
AHCLKXCTL
Transmit format unit bit mask register
Transmit bit stream format register
Transmit frame sync control register
Transmit clock control register
Transmit high-frequency clock control register
0x01D0 00B8
XTDM
Transmit TDM time slot 0-31 register
0x01D0 00BC
XINTCTL
Transmitter interrupt control register
0x01D0 00C0
XSTAT
Transmitter status register
0x01D0 00C4
XSLOT
Current transmit TDM time slot register
0x01D0 00C8
XCLKCHK
Transmit clock check control register
0x01D0 00CC
XEVTCTL
Transmitter DMA event control register
0x01D0 0100
DITCSRA0
Left (even TDM time slot) channel status register (DIT mode) 0
0x01D0 0104
DITCSRA1
Left (even TDM time slot) channel status register (DIT mode) 1
0x01D0 0108
DITCSRA2
Left (even TDM time slot) channel status register (DIT mode) 2
106
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-42. McASP Registers Accessed Through Peripheral Configuration Port (continued)
BYTE ADDRESS
ACRONYM
0x01D0 010C
DITCSRA3
Left (even TDM time slot) channel status register (DIT mode) 3
REGISTER DESCRIPTION
0x01D0 0110
DITCSRA4
Left (even TDM time slot) channel status register (DIT mode) 4
0x01D0 0114
DITCSRA5
Left (even TDM time slot) channel status register (DIT mode) 5
0x01D0 0118
DITCSRB0
Right (odd TDM time slot) channel status register (DIT mode) 0
0x01D0 011C
DITCSRB1
Right (odd TDM time slot) channel status register (DIT mode) 1
0x01D0 0120
DITCSRB2
Right (odd TDM time slot) channel status register (DIT mode) 2
0x01D0 0124
DITCSRB3
Right (odd TDM time slot) channel status register (DIT mode) 3
0x01D0 0128
DITCSRB4
Right (odd TDM time slot) channel status register (DIT mode) 4
0x01D0 012C
DITCSRB5
Right (odd TDM time slot) channel status register (DIT mode) 5
0x01D0 0130
DITUDRA0
Left (even TDM time slot) channel user data register (DIT mode) 0
0x01D0 0134
DITUDRA1
Left (even TDM time slot) channel user data register (DIT mode) 1
0x01D0 0138
DITUDRA2
Left (even TDM time slot) channel user data register (DIT mode) 2
0x01D0 013C
DITUDRA3
Left (even TDM time slot) channel user data register (DIT mode) 3
0x01D0 0140
DITUDRA4
Left (even TDM time slot) channel user data register (DIT mode) 4
0x01D0 0144
DITUDRA5
Left (even TDM time slot) channel user data register (DIT mode) 5
0x01D0 0148
DITUDRB0
Right (odd TDM time slot) channel user data register (DIT mode) 0
0x01D0 014C
DITUDRB1
Right (odd TDM time slot) channel user data register (DIT mode) 1
0x01D0 0150
DITUDRB2
Right (odd TDM time slot) channel user data register (DIT mode) 2
0x01D0 0154
DITUDRB3
Right (odd TDM time slot) channel user data register (DIT mode) 3
0x01D0 0158
DITUDRB4
Right (odd TDM time slot) channel user data register (DIT mode) 4
0x01D0 015C
DITUDRB5
Right (odd TDM time slot) channel user data register (DIT mode) 5
0x01D0 0180
SRCTL0
Serializer control register 0
0x01D0 0184
SRCTL1
Serializer control register 1
0x01D0 0188
SRCTL2
Serializer control register 2
0x01D0 018C
SRCTL3
Serializer control register 3
0x01D0 0190
SRCTL4
Serializer control register 4
0x01D0 0194
SRCTL5
Serializer control register 5
0x01D0 0198
SRCTL6
Serializer control register 6
0x01D0 019C
SRCTL7
Serializer control register 7
0x01D0 01A0
SRCTL8
Serializer control register 8
0x01D0 01A4
SRCTL9
Serializer control register 9
0x01D0 01A8
SRCTL10
Serializer control register 10
0x01D0 01AC
SRCTL11
Serializer control register 11
0x01D0 01B0
SRCTL12
Serializer control register 12
0x01D0 01B4
SRCTL13
Serializer control register 13
0x01D0 01B8
SRCTL14
Serializer control register 14
0x01D0 01BC
SRCTL15
Serializer control register 15
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
107
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-42. McASP Registers Accessed Through Peripheral Configuration Port (continued)
BYTE ADDRESS
ACRONYM
0x01D0 0200
XBUF0 (1)
Transmit buffer register for serializer 0
0x01D0 0204
XBUF1 (1)
Transmit buffer register for serializer 1
0x01D0 0208
XBUF2 (1)
Transmit buffer register for serializer 2
0x01D0 020C
XBUF3 (1)
Transmit buffer register for serializer 3
0x01D0 0210
XBUF4
(1)
Transmit buffer register for serializer 4
0x01D0 0214
XBUF5 (1)
Transmit buffer register for serializer 5
0x01D0 0218
XBUF6 (1)
Transmit buffer register for serializer 6
0x01D0 021C
XBUF7
(1)
Transmit buffer register for serializer 7
0x01D0 0220
XBUF8 (1)
Transmit buffer register for serializer 8
0x01D0 0224
XBUF9 (1)
Transmit buffer register for serializer 9
0x01D0 0228
XBUF10 (1)
Transmit buffer register for serializer 10
0x01D0 022C
XBUF11
(1)
Transmit buffer register for serializer 11
0x01D0 0230
XBUF12 (1)
Transmit buffer register for serializer 12
0x01D0 0234
XBUF13 (1)
Transmit buffer register for serializer 13
0x01D0 0238
XBUF14
(1)
Transmit buffer register for serializer 14
0x01D0 023C
XBUF15 (1)
Transmit buffer register for serializer 15
0x01D0 0280
RBUF0 (2)
Receive buffer register for serializer 0
0x01D0 0284
RBUF1
(2)
Receive buffer register for serializer 1
0x01D0 0288
RBUF2 (2)
Receive buffer register for serializer 2
0x01D0 028C
RBUF3 (2)
Receive buffer register for serializer 3
0x01D0 0290
RBUF4 (2)
Receive buffer register for serializer 4
0x01D0 0294
RBUF5
(2)
Receive buffer register for serializer 5
0x01D0 0298
RBUF6 (2)
Receive buffer register for serializer 6
0x01D0 029C
RBUF7 (2)
Receive buffer register for serializer 7
0x01D0 02A0
RBUF8
(2)
Receive buffer register for serializer 8
0x01D0 02A4
RBUF9 (2)
Receive buffer register for serializer 9
0x01D0 02A8
RBUF10 (2)
Receive buffer register for serializer 10
0x01D0 02AC
RBUF11
(2)
Receive buffer register for serializer 11
0x01D0 02B0
RBUF12 (2)
Receive buffer register for serializer 12
0x01D0 02B4
RBUF13 (2)
Receive buffer register for serializer 13
0x01D0 02B8
RBUF14 (2)
Receive buffer register for serializer 14
0x01D0 02BC
(2)
Receive buffer register for serializer 15
(1)
(2)
RBUF15
REGISTER DESCRIPTION
Writes to XRBUF originate from peripheral configuration port only when XBUSEL = 1 in XFMT.
Reads from XRBUF originate on peripheral configuration port only when RBUSEL = 1 in RFMT.
Table 6-43. McASP Registers Accessed Through DMA Port
ACCESS
TYPE
BYTE
ADDRESS
ACRONYM
Read
Accesses
0x01D0 2000
RBUF
Receive buffer DMA port address. Cycles through receive serializers, skipping over transmit
serializers and inactive serializers. Starts at the lowest serializer at the beginning of each
time slot. Reads from DMA port only if XBUSEL = 0 in XFMT.
Write
Accesses
0x01D0 2000
XBUF
Transmit buffer DMA port address. Cycles through transmit serializers, skipping over receive
and inactive serializers. Starts at the lowest serializer at the beginning of each time slot.
Writes to DMA port only if RBUSEL = 0 in RFMT.
108
REGISTER DESCRIPTION
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-44. McASP AFIFO Registers Accessed Through Peripheral Configuration Port
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01D0 1000
AFIFOREV
AFIFO revision identification register
0x01D0 1010
WFIFOCTL
Write FIFO control register
0x01D0 1014
WFIFOSTS
Write FIFO status register
0x01D0 1018
RFIFOCTL
Read FIFO control register
0x01D0 101C
RFIFOSTS
Read FIFO status register
6.14.2 McASP Electrical Data/Timing
6.14.2.1 Multichannel Audio Serial Port 0 (McASP0) Timing
Table 6-45 and Table 6-47 assume testing over recommended operating conditions (see Figure 6-29 and
Figure 6-30).
Table 6-45. Timing Requirements for McASP0 (1.2V, 1.1V) (1) (2)
1.2V
NO.
1
tc(AHCLKRX)
Cycle time, AHCLKR/X
2
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
3
tc(ACLKRX)
Cycle time, ACLKR/X
4
tw(ACLKRX)
5
6
7
8
(1)
(2)
(3)
(4)
(5)
MIN
tsu(AFSRX-ACLKRX)
th(ACLKRX-AFSRX)
tsu(AXR-ACLKRX)
th(ACLKRX-AXR)
1.1V
MAX
MIN
MAX
UNIT
25
28
ns
12.5
14
ns
AHCLKR/X ext
25 (3)
28 (3)
ns
Pulse duration, ACLKR/W high or low AHCLKR/X ext
12.5
14
ns
AHCLKR/X int
11.5
12
ns
AHCLKR/X ext input
4
5
ns
AHCLKR/X ext output
4
5
ns
AHCLKR/X int
-1
-2
ns
AHCLKR/X ext input
1
1
ns
AHCLKR/X ext output
1
1
ns
AHCLKR/X int
11.5
12
ns
AHCLKR/X ext
4
5
ns
AHCLKR/X int
-1
-2
ns
AHCLKR/X ext input
3
4
ns
AHCLKR/X ext output
3
4
ns
Setup time,
AFSR/X input to ACLKR/X (4)
Hold time,
AFSR/X input after ACLKR/X (4)
Setup time,
AXR0[n] input to ACLKR/X (4) (5)
Hold time,
AXR0[n] input after ACLKR/X (4) (5)
ACLKX0 internal - McASP0 ACLKXCTL.CLKXM = 1, PDIR.ACLKX = 1
ACLKX0 external input - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 0
ACLKX0 external output - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 1
ACLKR0 internal - McASP0 ACLKRCTL.CLKRM = 1, PDIR.ACLKR =1
ACLKR0 external input - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 0
ACLKR0 external output - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 1
P = SYSCLK2 period
This timing is limited by the timing shown or 2P, whichever is greater.
McASP0 ACLKXCTL.ASYNC=1: Receiver is clocked by its own ACLKR0
McASP0 ACLKXCTL.ASYNC=0: Receiver is clocked by transmitter's ACLKX0
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
109
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-46. Timing Requirements for McASP0 (1.0V) (1) (2)
1.0V
NO.
MIN
1
tc(AHCLKRX)
Cycle time, AHCLKR/X
2
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
3
tc(ACLKRX)
Cycle time, ACLKR/X
4
tw(ACLKRX)
Pulse duration, ACLKR/W high or low
5
tsu(AFSRX-ACLKRX)
Setup time,
AFSR/X input to ACLKR/X (4)
6
7
8
(1)
(2)
(3)
(4)
(5)
110
th(ACLKRX-AFSRX)
tsu(AXR-ACLKRX)
th(ACLKRX-AXR)
Hold time,
AFSR/X input after ACLKR/X (4)
Setup time,
AXR0[n] input to ACLKR/X (4) (5)
Hold time,
AXR0[n] input after ACLKR/X (4) (5)
MAX
UNIT
35
ns
17.5
ns
AHCLKR/X ext
35 (3)
ns
AHCLKR/X ext
17.5
ns
AHCLKR/X int
16
ns
AHCLKR/X ext input
5.5
ns
AHCLKR/X ext output
5.5
ns
AHCLKR/X int
-2
ns
AHCLKR/X ext input
1
ns
AHCLKR/X ext output
1
ns
AHCLKR/X int
16
ns
AHCLKR/X ext
5.5
ns
AHCLKR/X int
-2
ns
AHCLKR/X ext input
5
ns
AHCLKR/X ext output
5
ns
ACLKX0 internal - McASP0 ACLKXCTL.CLKXM = 1, PDIR.ACLKX = 1
ACLKX0 external input - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 0
ACLKX0 external output - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 1
ACLKR0 internal - McASP0 ACLKRCTL.CLKRM = 1, PDIR.ACLKR =1
ACLKR0 external input - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 0
ACLKR0 external output - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 1
P = SYSCLK2 period
This timing is limited by the timing shown or 2P, whichever is greater.
McASP0 ACLKXCTL.ASYNC=1: Receiver is clocked by its own ACLKR0
McASP0 ACLKXCTL.ASYNC=0: Receiver is clocked by transmitter's ACLKX0
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-47. Switching Characteristics for McASP0 (1.2V, 1.1V) (1)
NO.
9
tc(AHCLKRX)
Cycle time, AHCLKR/X
10
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
11
tc(ACLKRX)
Cycle time, ACLKR/X
12
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
13
td(ACLKRX-AFSRX)
Delay time, ACLKR/X transmit edge
to AFSX/R output valid (6)
14
td(ACLKX-AXRV)
15
(1)
1.2V
PARAMETER
tdis(ACLKX-AXRHZ)
Delay time, ACLKX transmit edge to
AXR output valid
Disable time, ACLKR/X transmit
edge to AXR high impedance
following last data bit
MIN
1.1V
MAX
MIN
MAX
UNIT
25
28
ns
AH - 2.5 (2)
AH - 2.5 (2)
ns
ACLKR/X int
25 (3) (4)
28 (3) (4)
ns
ACLKR/X int
A - 2.5 (5)
A - 2.5 (5)
ns
ACLKR/X int
-1
6
-1
8
ns
ACLKR/X ext input
2
13.5
2
14.5
ns
ACLKR/X ext output
2
13.5
2
14.5
ns
ACLKR/X int
-1
6
-1
8
ns
ACLKR/X ext input
2
13.5
2
15
ns
ACLKR/X ext output
2
13.5
2
15
ns
ACLKR/X int
0
6
0
8
ns
ACLKR/X ext
2
13.5
2
15
ns
McASP0 ACLKX0 internal - ACLKXCTL.CLKXM = 1, PDIR.ACLKX = 1
ACLKX0 external input - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 0
ACLKX0 external output - McASP0ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 1
ACLKR0 internal - McASP0 ACLKR0CTL.CLKRM = 1, PDIR.ACLKR =1
ACLKR0 external input - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 0
ACLKR0 external output - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 1
AH = (AHCLKR/X period)/2 in ns. For example, when AHCLKR/X period is 25 ns, use AH = 12.5 ns.
P = SYSCLK2 period
This timing is limited by the timing shown or 2P, whichever is greater.
A = (ACLKR/X period)/2 in ns. For example, when AHCLKR/X period is 25 ns, use AH = 12.5 ns.
McASP0 ACLKXCTL.ASYNC=1: Receiver is clocked by its own ACLKR0
(2)
(3)
(4)
(5)
(6)
Table 6-48. Switching Characteristics for McASP0 (1.0V) (1)
NO.
1.0V
PARAMETER
9
tc(AHCLKRX)
Cycle time, AHCLKR/X
10
tw(AHCLKRX)
Pulse duration, AHCLKR/X high or low
MIN
MAX
UNIT
35
ns
AH - 2.5 (2)
ns
11
tc(ACLKRX)
Cycle time, ACLKR/X
ACLKR/X int
12
tw(ACLKRX)
Pulse duration, ACLKR/X high or low
ACLKR/X int
A - 2.5 (5)
ACLKR/X int
-0.5
10
ns
ACLKR/X ext input
2
19
ns
ACLKR/X ext output
2
19
ns
-0.5
10
ns
ACLKR/X ext input
2
19
ns
ACLKR/X ext output
2
19
ns
ACLKR/X int
0
10
ns
ACLKR/X ext
2
19
ns
13
td(ACLKRX-AFSRX)
Delay time, ACLKR/X transmit edge to AFSX/R output
valid (6)
ACLKR/X int
14
15
(1)
(2)
(3)
(4)
(5)
(6)
td(ACLKX-AXRV)
tdis(ACLKX-AXRHZ)
Delay time, ACLKX transmit edge to AXR output valid
Disable time, ACLKR/X transmit edge to AXR high
impedance following last data bit
35
(3) (4)
ns
ns
McASP0 ACLKX0 internal - ACLKXCTL.CLKXM = 1, PDIR.ACLKX = 1
ACLKX0 external input - McASP0 ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 0
ACLKX0 external output - McASP0ACLKXCTL.CLKXM = 0, PDIR.ACLKX = 1
ACLKR0 internal - McASP0 ACLKR0CTL.CLKRM = 1, PDIR.ACLKR =1
ACLKR0 external input - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 0
ACLKR0 external output - McASP0 ACLKRCTL.CLKRM = 0, PDIR.ACLKR = 1
AH = (AHCLKR/X period)/2 in ns. For example, when AHCLKR/X period is 25 ns, use AH = 12.5 ns.
P = SYSCLK2 period
This timing is limited by the timing shown or 2P, whichever is greater.
A = (ACLKR/X period)/2 in ns. For example, when AHCLKR/X period is 25 ns, use AH = 12.5 ns.
McASP0 ACLKXCTL.ASYNC=1: Receiver is clocked by its own ACLKR0
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
111
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
2
1
2
AHCLKR/X (Falling Edge Polarity)
AHCLKR/X (Rising Edge Polarity)
4
3
4
ACLKR/X (CLKRP = CLKXP = 0)(A)
ACLKR/X (CLKRP = CLKXP = 1)(B)
6
5
AFSR/X (Bit Width, 0 Bit Delay)
AFSR/X (Bit Width, 1 Bit Delay)
AFSR/X (Bit Width, 2 Bit Delay)
AFSR/X (Slot Width, 0 Bit Delay)
AFSR/X (Slot Width, 1 Bit Delay)
AFSR/X (Slot Width, 2 Bit Delay)
8
7
AXR[n] (Data In/Receive)
A.
B.
For CLKRP = CLKXP =
receiver is configured for
For CLKRP = CLKXP =
receiver is configured for
A0 A1
A30 A31 B0 B1
B30 B31 C0 C1 C2 C3
C31
0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP
falling edge (to shift data in).
1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP
rising edge (to shift data in).
Figure 6-29. McASP Input Timings
112
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
10
10
9
AHCLKR/X (Falling Edge Polarity)
AHCLKR/X (Rising Edge Polarity)
12
11
12
ACLKR/X (CLKRP = CLKXP = 1)(A)
ACLKR/X (CLKRP = CLKXP = 0)(B)
13
13
13
13
AFSR/X (Bit Width, 0 Bit Delay)
AFSR/X (Bit Width, 1 Bit Delay)
AFSR/X (Bit Width, 2 Bit Delay)
13
13
13
AFSR/X (Slot Width, 0 Bit Delay)
AFSR/X (Slot Width, 1 Bit Delay)
AFSR/X (Slot Width, 2 Bit Delay)
14
15
AXR[n] (Data Out/Transmit)
A0
A.
B.
For CLKRP = CLKXP =
receiver is configured for
For CLKRP = CLKXP =
receiver is configured for
A1
A30 A31 B0 B1
B30 B31 C0
C1 C2 C3
C31
1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP
rising edge (to shift data in).
0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP
falling edge (to shift data in).
Figure 6-30. McASP Output Timings
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
113
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.15 Serial Peripheral Interface Ports (SPI0, SPI1)
Figure 6-31 is a block diagram of the SPI module, which is a simple shift register and buffer plus control
logic. Data is written to the shift register before transmission occurs and is read from the buffer at the end
of transmission. The SPI can operate either as a master, in which case, it initiates a transfer and drives
the SPIx_CLK pin, or as a slave. Four clock phase and polarity options are supported as well as many
data formatting options.
SPIx_SIMO
SPIx_SOMI
Peripheral
Configuration Bus
Interrupt and
DMA Requests
16-Bit Shift Register
16-Bit Buffer
SPIx_ENA
GPIO
Control
(all pins)
State
Machine
SPIx_SCS
Clock
Control
SPIx_CLK
Figure 6-31. Block Diagram of SPI Module
The SPI supports 3-, 4-, and 5-pin operation with three basic pins (SPIx_CLK, SPIx_SIMO, and
SPIx_SOMI) and two optional pins (SPIx_SCS, SPIx_ENA).
The optional SPIx_SCS (Slave Chip Select) pin is most useful to enable in slave mode when there are
other slave devices on the same SPI port. The device will only shift data and drive the SPIx_SOMI pin
when SPIx_SCS is held low.
In slave mode, SPIx_ENA is an optional output. The SPIx_ENA output provides the status of the internal
transmit buffer (SPIDAT0/1 registers). In four-pin mode with the enable option, SPIx_ENA is asserted only
when the transmit buffer is full, indicating that the slave is ready to begin another transfer. In five-pin
mode, the SPIx_ENA is additionally qualified by SPIx_SCS being asserted. This allows a single
handshake line to be shared by multiple slaves on the same SPI bus.
In master mode, the SPIx_ENA pin is an optional input and the master can be configured to delay the start
of the next transfer until the slave asserts SPIx_ENA. The addition of this handshake signal simplifies SPI
communications and, on average, increases SPI bus throughput since the master does not need to delay
each transfer long enough to allow for the worst-case latency of the slave device. Instead, each transfer
can begin as soon as both the master and slave have actually serviced the previous SPI transfer.
114
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Optional − Slave Chip Select
SPIx_SCS
SPIx_SCS
Optional Enable (Ready)
SPIx_ENA
SPIx_ENA
SPIx_CLK
SPIx_CLK
SPIx_SOMI
SPIx_SOMI
SPIx_SIMO
SPIx_SIMO
MASTER SPI
SLAVE SPI
Figure 6-32. Illustration of SPI Master-to-SPI Slave Connection
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
115
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.15.1 SPI Peripheral Registers Description(s)
Table 6-49 is a list of the SPI registers.
Table 6-49. SPIx Configuration Registers
116
SPI0
BYTE ADDRESS
SPI1
BYTE ADDRESS
ACRONYM
0x01C4 1000
0x01F0 E000
SPIGCR0
Global Control Register 0
0x01C4 1004
0x01F0 E004
SPIGCR1
Global Control Register 1
0x01C4 1008
0x01F0 E008
SPIINT0
Interrupt Register
0x01C4 100C
0x01F0 E00C
SPILVL
Interrupt Level Register
0x01C4 1010
0x01F0 E010
SPIFLG
Flag Register
0x01C4 1014
0x01F0 E014
SPIPC0
Pin Control Register 0 (Pin Function)
0x01C4 1018
0x01F0 E018
SPIPC1
Pin Control Register 1 (Pin Direction)
0x01C4 101C
0x01F0 E01C
SPIPC2
Pin Control Register 2 (Pin Data In)
0x01C4 1020
0x01F0 E020
SPIPC3
Pin Control Register 3 (Pin Data Out)
0x01C4 1024
0x01F0 E024
SPIPC4
Pin Control Register 4 (Pin Data Set)
0x01C4 1028
0x01F0 E028
SPIPC5
Pin Control Register 5 (Pin Data Clear)
0x01C4 102C
0x01F0 E02C
Reserved
Reserved - Do not write to this register
0x01C4 1030
0x01F0 E030
Reserved
Reserved - Do not write to this register
0x01C4 1034
0x01F0 E034
Reserved
Reserved - Do not write to this register
DESCRIPTION
0x01C4 1038
0x01F0 E038
SPIDAT0
Shift Register 0 (without format select)
0x01C4 103C
0x01F0 E03C
SPIDAT1
Shift Register 1 (with format select)
0x01C4 1040
0x01F0 E040
SPIBUF
Buffer Register
0x01C4 1044
0x01F0 E044
SPIEMU
Emulation Register
0x01C4 1048
0x01F0 E048
SPIDELAY
0x01C4 104C
0x01F0 E04C
SPIDEF
Delay Register
Default Chip Select Register
0x01C4 1050
0x01F0 E050
SPIFMT0
Format Register 0
0x01C4 1054
0x01F0 E054
SPIFMT1
Format Register 1
0x01C4 1058
0x01F0 E058
SPIFMT2
Format Register 2
0x01C4 105C
0x01F0 E05C
SPIFMT3
Format Register 3
0x01C4 1060
0x01F0 E060
INTVEC0
Interrupt Vector for SPI INT0
0x01C4 1064
0x01F0 E064
INTVEC1
Interrupt Vector for SPI INT1
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.15.2 SPI Electrical Data/Timing
6.15.2.1 Serial Peripheral Interface (SPI) Timing
Table 6-50 through Table 6-65 assume testing over recommended operating conditions (see Figure 6-33
through Figure 6-36).
Table 6-50. General Timing Requirements for SPI0 Master Modes (1)
1.2V
NO.
1.1V
1.0V
MIN
MAX
MIN
MAX
MIN
MAX
20 (2)
256P
30 (2)
256P
40 (2)
256P
UNIT
1
tc(SPC)M
Cycle Time, SPI0_CLK, All Master Modes
2
tw(SPCH)M
Pulse Width High, SPI0_CLK, All Master Modes
0.5M-1
0.5M-1
0.5M-1
ns
3
tw(SPCL)M
Pulse Width Low, SPI0_CLK, All Master Modes
0.5M-1
0.5M-1
0.5M-1
ns
4
5
6
7
8
(1)
(2)
(3)
td(SIMO_SPC)M
td(SPC_SIMO)M
toh(SPC_SIMO)M
tsu(SOMI_SPC)M
tih(SPC_SOMI)M
Delay, initial data bit valid on
SPI0_SIMO after initial edge
on SPI0_CLK (3)
Delay, subsequent bits valid
on SPI0_SIMO after transmit
edge of SPI0_CLK
Output hold time, SPI0_SIMO
valid after receive edge of
SPI0_CLK
Input Setup Time, SPI0_SOMI
valid before receive edge of
SPI0_CLK
Input Hold Time, SPI0_SOMI
valid after receive edge of
SPI0_CLK
Polarity = 0, Phase = 0,
to SPI0_CLK rising
5
5
6
Polarity = 0, Phase = 1,
to SPI0_CLK rising
-0.5M+5
-0.5M+5
-0.5M+6
Polarity = 1, Phase = 0,
to SPI0_CLK falling
5
5
6
Polarity = 1, Phase = 1,
to SPI0_CLK falling
-0.5M+5
-0.5M+5
-0.5M+6
Polarity = 0, Phase = 0,
from SPI0_CLK rising
5
5
6
Polarity = 0, Phase = 1,
from SPI0_CLK falling
5
5
6
Polarity = 1, Phase = 0,
from SPI0_CLK falling
5
5
6
Polarity = 1, Phase = 1,
from SPI0_CLK rising
5
5
6
ns
ns
ns
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5M-3
0.5M-3
0.5M-3
Polarity = 0, Phase = 1,
from SPI0_CLK rising
0.5M-3
0.5M-3
0.5M-3
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5M-3
0.5M-3
0.5M-3
Polarity = 1, Phase = 1,
from SPI0_CLK falling
0.5M-3
0.5M-3
0.5M-3
Polarity = 0, Phase = 0,
to SPI0_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 1,
to SPI0_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 0,
to SPI0_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 1,
to SPI0_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 0,
from SPI0_CLK falling
4
4
5
Polarity = 0, Phase = 1,
from SPI0_CLK rising
4
4
5
Polarity = 1, Phase = 0,
from SPI0_CLK rising
4
4
5
Polarity = 1, Phase = 1,
from SPI0_CLK falling
4
4
5
ns
ns
ns
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
This timing is limited by the timing shown or 3P, whichever is greater.
First bit may be MSB or LSB depending upon SPI configuration. MO(0) refers to first bit and MO(n) refers to last bit output on
SPI0_SIMO. MI(0) refers to the first bit input and MI(n) refers to the last bit input on SPI0_SOMI.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
117
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-51. General Timing Requirements for SPI0 Slave Modes (1)
1.2V
NO.
MIN
ns
22
27
ns
Pulse Width Low, SPI0_CLK, All Slave Modes
18
22
27
ns
Polarity = 0, Phase = 0,
to SPI0_CLK rising
2P
2P
2P
Polarity = 0, Phase = 1,
to SPI0_CLK rising
2P
2P
2P
Polarity = 1, Phase = 0,
to SPI0_CLK falling
2P
2P
2P
Polarity = 1, Phase = 1,
to SPI0_CLK falling
2P
2P
2P
11
tw(SPCL)S
Setup time, transmit data
written to SPI before initial
clock edge from
master. (3) (4)
ns
Polarity = 0, Phase = 0,
from SPI0_CLK rising
Polarity = 0, Phase = 1,
Delay, subsequent bits valid from SPI0_CLK falling
on SPI0_SOMI after
transmit edge of SPI0_CLK Polarity = 1, Phase = 0,
from SPI0_CLK falling
15
16
(1)
(2)
(3)
(4)
118
tsu(SIMO_SPC)S
tih(SPC_SIMO)S
Output hold time,
SPI0_SOMI valid after
receive edge of SPI0_CLK
Input Setup Time,
SPI0_SIMO valid before
receive edge of SPI0_CLK
Input Hold Time,
SPI0_SIMO valid after
receive edge of SPI0_CLK
17
20
27
17
20
27
17
20
27
17
20
27
ns
Polarity = 1, Phase = 1,
from SPI0_CLK rising
toh(SPC_SOMI)S
UNIT
18
tw(SPCH)S
14
MAX
Pulse Width High, SPI0_CLK, All Slave Modes
10
td(SPC_SOMI)S
MIN
60 (2)
Cycle Time, SPI0_CLK, All Slave Modes
13
1.0V
MAX
50 (2)
tc(SPC)S
tsu(SOMI_SPC)S
MIN
40 (2)
9
12
1.1V
MAX
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5S-6
0.5S-16
0.5S-20
Polarity = 0, Phase = 1,
from SPI0_CLK rising
0.5S-6
0.5S-16
0.5S-20
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5S-6
0.5S-16
0.5S-20
Polarity = 1, Phase = 1,
from SPI0_CLK falling
0.5S-6
0.5S-16
0.5S-20
Polarity = 0, Phase = 0,
to SPI0_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 1,
to SPI0_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 0,
to SPI0_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 1,
to SPI0_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 0,
from SPI0_CLK falling
4
4
5
Polarity = 0, Phase = 1,
from SPI0_CLK rising
4
4
5
Polarity = 1, Phase = 0,
from SPI0_CLK rising
4
4
5
Polarity = 1, Phase = 1,
from SPI0_CLK falling
4
4
5
ns
ns
ns
P = SYSCLK2 period; S = tc(SPC)S (SPI slave bit clock period)
This timing is limited by the timing shown or 3P, whichever is greater.
First bit may be MSB or LSB depending upon SPI configuration. SO(0) refers to first bit and SO(n) refers to last bit output on
SPI0_SOMI. SI(0) refers to the first bit input and SI(n) refers to the last bit input on SPI0_SIMO.
Measured from the termination of the write of new data to the SPI module, In analyzing throughput requirements, additional internal bus
cycles must be accounted for to allow data to be written to the SPI module by the CPU.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-52. Additional SPI0 Master Timings, 4-Pin Enable Option
NO.
17
td(ENA_SPC)M
18
(1)
(2)
(3)
(4)
(5)
1.2V
PARAMETER
td(SPC_ENA)M
Delay from slave assertion of SPI0_ENA
active to first SPI0_CLK from master. (4)
Max delay for slave to deassert SPI0_ENA
after final SPI0_CLK edge to ensure
master does not begin the next transfer. (5)
(1) (2) (3)
MIN
1.1V
MAX
MIN
MAX
3P+5
3P+5
3P+6
Polarity = 0, Phase = 1,
to SPI0_CLK rising
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
Polarity = 1, Phase = 0,
to SPI0_CLK falling
3P+5
3P+5
3P+6
Polarity = 1, Phase = 1,
to SPI0_CLK falling
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 0, Phase = 1,
from SPI0_CLK falling
P+5
P+5
P+6
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 1, Phase = 1,
from SPI0_CLK rising
P+5
P+5
P+6
UNIT
ns
ns
These parameters are in addition to the general timings for SPI master modes (Table 6-50).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI0_ENA assertion.
In the case where the master SPI is ready with new data before SPI0_EN A deassertion.
NO.
(1)
(2)
(3)
(4)
(5)
MIN
Polarity = 0, Phase = 0,
to SPI0_CLK rising
Table 6-53. Additional SPI0 Master Timings, 4-Pin Chip Select Option
19
1.0V
MAX
1.2V
PARAMETER
td(SCS_SPC)M
Delay from SPI0_SCS active to first
SPI0_CLK (4) (5)
MIN
(1) (2) (3)
1.1V
MAX
MIN
1.0V
MAX
MIN
Polarity = 0, Phase = 0,
to SPI0_CLK rising
2P-1
2P-2
2P-3
Polarity = 0, Phase = 1,
to SPI0_CLK rising
0.5M+2P-1
0.5M+2P-2
0.5M+2P-3
Polarity = 1, Phase = 0,
to SPI0_CLK falling
2P-1
2P-2
2P-3
Polarity = 1, Phase = 1,
to SPI0_CLK falling
0.5M+2P-1
0.5M+2P-2
0.5M+2P-3
MAX
UNIT
ns
These parameters are in addition to the general timings for SPI master modes (Table 6-50).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI0_SCS assertion.
This delay can be increased under software control by the register bit field SPIDELAY.C2TDELAY[4:0].
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
119
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-53. Additional SPI0 Master Timings, 4-Pin Chip Select Option
NO.
20
(6)
(7)
1.2V
PARAMETER
td(SPC_SCS)M
MIN
Delay from final SPI0_CLK edge to master
deasserting SPI0_SCS (6) (7)
MAX
MIN
1.0V
MAX
MIN
MAX
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5M+P-1
0.5M+P-2
0.5M+P-3
Polarity = 0, Phase = 1,
from SPI0_CLK falling
P-1
P-2
P-3
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5M+P-1
0.5M+P-2
0.5M+P-3
Polarity = 1, Phase = 1,
from SPI0_CLK rising
P-1
P-2
P-3
UNIT
ns
Except for modes when SPIDAT1.CSHOLD is enabled and there is additional data to transmit. In this case, SPI0_SCS will remain asserted.
This delay can be increased under software control by the register bit field SPIDELAY.T2CDELAY[4:0].
NO.
18
td(SPC_ENA)M
Max delay for slave to deassert
SPI0_ENA after final SPI0_CLK
edge to ensure master does not
begin the next transfer. (4)
20
td(SPC_SCS)M
MIN
td(SCSL_ENAL)M
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 0, Phase = 1,
from SPI0_CLK falling
P+5
P+5
P+6
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 1, Phase = 1,
from SPI0_CLK rising
P+5
P+5
P+6
Polarity = 0, Phase = 1,
Delay from final SPI0_CLK edge to from SPI0_CLK falling
(5)
master deasserting SPI0_SCS
(6)
Polarity = 1, Phase = 0,
from SPI0_CLK rising
Polarity = 1, Phase = 1,
from SPI0_CLK rising
21
(1) (2) (3)
1.2V
PARAMETER
Polarity = 0, Phase = 0,
from SPI0_CLK falling
120
(continued)
1.1V
Table 6-54. Additional SPI0 Master Timings, 5-Pin Option
(1)
(2)
(3)
(4)
(5)
(6)
(1)(2)(3)
Max delay for slave SPI to drive SPI0_ENA valid after master
asserts SPI0_SCS to delay the master from beginning the
next transfer,
UNIT
ns
0.5M+P-2
0.5M+P-2
0.5M+P-3
P-2
P-2
P-3
0.5M+P-2
0.5M+P-2
0.5M+P-3
P-2
P-2
P-3
ns
C2TDELAY+P
C2TDELAY+P
C2TDELAY+P
ns
These parameters are in addition to the general timings for SPI master modes (Table 6-51).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI0_ENA deassertion.
Except for modes when SPIDAT1.CSHOLD is enabled and there is additional data to transmit. In this case, SPI0_SCS will remain asserted.
This delay can be increased under software control by the register bit field SPIDELAY.T2CDELAY[4:0].
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-54. Additional SPI0 Master Timings, 5-Pin Option
NO.
22
Delay from SPI0_SCS active to
first SPI0_CLK (7) (8) (9)
MIN
td(ENA_SPC)M
MIN
MAX
2P-2
2P-3
Polarity = 0, Phase = 1,
to SPI0_CLK rising
0.5M+2P-2
0.5M+2P-2
0.5M+2P-3
Polarity = 1, Phase = 0,
to SPI0_CLK falling
2P-2
2P-2
2P-3
Polarity = 1, Phase = 1,
to SPI0_CLK falling
0.5M+2P-2
0.5M+2P-2
0.5M+2P-3
MAX
UNIT
ns
Polarity = 0, Phase = 1,
Delay from assertion of SPI0_ENA to SPI0_CLK rising
low to first SPI0_CLK edge. (10)
Polarity = 1, Phase = 0,
to SPI0_CLK falling
3P+5
3P+5
3P+6
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
3P+5
3P+5
3P+6
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
ns
If SPI0_ENA is asserted immediately such that the transmission is not delayed by SPI0_ENA.
In the case where the master SPI is ready with new data before SPI0_SCS assertion.
This delay can be increased under software control by the register bit field SPIDELAY.C2TDELAY[4:0].
If SPI0_ENA was initially deasserted high and SPI0_CLK is delayed.
NO.
(1)
(2)
(3)
MIN
2P-2
Table 6-55. Additional SPI0 Slave Timings, 4-Pin Enable Option
24
1.0V
Polarity = 0, Phase = 0,
to SPI0_CLK rising
Polarity = 1, Phase = 1,
to SPI0_CLK falling
(7)
(8)
(9)
(10)
1.1V
MAX
Polarity = 0, Phase = 0,
to SPI0_CLK rising
23
(continued)
1.2V
PARAMETER
td(SCS_SPC)M
(1)(2)(3)
1.2V
PARAMETER
td(SPC_ENAH)S
Delay from final SPI0_CLK edge
to slave deasserting SPI0_ENA.
(1) (2) (3)
1.1V
1.0V
MIN
MAX
MIN
MAX
MIN
MAX
Polarity = 0, Phase = 0,
from SPI0_CLK falling
1.5P-3
2.5P+17.5
1.5P-3
2.5P+20
1.5P-3
2.5P+27
Polarity = 0, Phase = 1,
from SPI0_CLK falling
- 0.5M+1.5P-3
- 0.5M+2.5P+17.5
- 0.5M+1.5P-3
- 0.5M+2.5P+20
- 0.5M+1.5P-3
- 0.5M+2.5P+27
Polarity = 1, Phase = 0,
from SPI0_CLK rising
1.5P-3
2.5P+17.5
1.5P-3
2.5P+20
1.5P-3
2.5P+27
Polarity = 1, Phase = 1,
from SPI0_CLK rising
- 0.5M+1.5P-3
- 0.5+2.5P+17.5
- 0.5M+1.5P-3
- 0.5+2.5P+20
- 0.5M+1.5P-3
- 0.5+2.5P+27
UNIT
ns
These parameters are in addition to the general timings for SPI slave modes (Table 6-51).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
121
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-56. Additional SPI0 Slave Timings, 4-Pin Chip Select Option
NO.
25
26
1.2V
PARAMETER
td(SCSL_SPC)S
td(SPC_SCSH)S
(1) (2) (3)
MIN
Required delay from SPI0_SCS asserted at slave to first SPI0_CLK edge
at slave.
Required delay from final SPI0_CLK edge
before SPI0_SCS is deasserted.
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
P + 1.5
P + 1.5
P + 1.5
Polarity = 0, Phase = 0,
from SPI0_CLK falling
0.5M+P+4
0.5M+P+4
0.5M+P+5
Polarity = 0, Phase = 1,
from SPI0_CLK falling
P+4
P+4
P+5
Polarity = 1, Phase = 0,
from SPI0_CLK rising
0.5M+P+4
0.5M+P+4
0.5M+P+5
Polarity = 1, Phase = 1,
from SPI0_CLK rising
P+4
P+4
P+5
UNIT
ns
ns
27
tena(SCSL_SOMI)S Delay from master asserting SPI0_SCS to slave driving SPI0_SOMI valid
P+17.5
P+20
P+27
ns
28
tdis(SCSH_SOMI)S
P+17.5
P+20
P+27
ns
(1)
(2)
(3)
Delay from master deasserting SPI0_SCS to slave 3-stating SPI0_SOMI
These parameters are in addition to the general timings for SPI slave modes (Table 6-51).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
Table 6-57. Additional SPI0 Slave Timings, 5-Pin Option
NO.
25
1.2V
PARAMETER
td(SCSL_SPC)S
MIN
Required delay from SPI0_SCS asserted at slave to first
SPI0_CLK edge at slave.
Polarity = 0, Phase = 0,
from SPI0_CLK falling
26
td(SPC_SCSH)S
(1) (2) (3)
Polarity = 0, Phase = 1,
Required delay from final
from SPI0_CLK falling
SPI0_CLK edge before SPI0_SCS
Polarity = 1, Phase = 0,
is deasserted.
from SPI0_CLK rising
Polarity = 1, Phase = 1,
from SPI0_CLK rising
1.1V
MAX
MIN
1.0V
MAX
MIN
P + 1.5
P + 1.5
P + 1.5
0.5M+P+4
0.5M+P+4
0.5M+P+5
P+4
P+4
P+5
0.5M+P+4
0.5M+P+4
0.5M+P+5
P+4
P+4
P+5
MAX
UNIT
ns
ns
27
tena(SCSL_SOMI)S
Delay from master asserting SPI0_SCS to slave driving
SPI0_SOMI valid
P+17.5
P+20
P+27
ns
28
tdis(SCSH_SOMI)S
Delay from master deasserting SPI0_SCS to slave 3-stating
SPI0_SOMI
P+17.5
P+20
P+27
ns
29
tena(SCSL_ENA)S
Delay from master deasserting SPI0_SCS to slave driving
SPI0_ENA valid
17.5
20
27
ns
(1)
(2)
(3)
122
These parameters are in addition to the general timings for SPI slave modes (Table 6-51).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-57. Additional SPI0 Slave Timings, 5-Pin Option
NO.
30
(4)
Delay from final clock receive
edge on SPI0_CLK to slave 3stating or driving high
SPI0_ENA. (4)
(continued)
1.2V
PARAMETER
tdis(SPC_ENA)S
(1)(2)(3)
MIN
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
Polarity = 0, Phase = 0,
from SPI0_CLK falling
2.5P+17.5
2.5P+20
2.5P+27
Polarity = 0, Phase = 1,
from SPI0_CLK rising
2.5P+17.5
2.5P+20
2.5P+27
Polarity = 1, Phase = 0,
from SPI0_CLK rising
2.5P+17.5
2.5P+20
2.5P+27
Polarity = 1, Phase = 1,
from SPI0_CLK falling
2.5P+17.5
2.5P+20
2.5P+27
UNIT
ns
SPI0_ENA is driven low after the transmission completes if the SPIINT0.ENABLE_HIGHZ bit is programmed to 0. Otherwise it is tri-stated. If tri-stated, an external pullup resistor should
be used to provide a valid level to the master. This option is useful when tying several SPI slave devices to a single master.
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
123
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-58. General Timing Requirements for SPI1 Master Modes (1)
1.2V
NO.
1.1V
1.0V
MIN
MAX
MIN
MAX
MIN
MAX
20 (2)
256P
30 (2)
256P
40 (2)
256P
UNIT
1
tc(SPC)M
Cycle Time, SPI1_CLK, All Master Modes
2
tw(SPCH)M
Pulse Width High, SPI1_CLK, All Master Modes
0.5M-1
0.5M-1
0.5M-1
ns
3
tw(SPCL)M
Pulse Width Low, SPI1_CLK, All Master Modes
0.5M-1
0.5M-1
0.5M-1
ns
4
5
td(SIMO_SPC)M
td(SPC_SIMO)M
Delay, initial data bit valid on
SPI1_SIMO to initial edge on
SPI1_CLK (3)
Polarity = 0, Phase = 0,
to SPI1_CLK rising
5
5
6
Polarity = 0, Phase = 1,
to SPI1_CLK rising
-0.5M+5
-0.5M+5
-0.5M+6
Polarity = 1, Phase = 0,
to SPI1_CLK falling
5
5
6
Polarity = 1, Phase = 1,
to SPI1_CLK falling
-0.5M+5
-0.5M+5
-0.5M+6
Polarity = 0, Phase = 0,
from SPI1_CLK rising
5
5
6
5
5
6
5
5
6
5
5
6
ns
Polarity = 0, Phase = 1,
Delay, subsequent bits valid on from SPI1_CLK falling
SPI1_SIMO after transmit edge
Polarity = 1, Phase = 0,
of SPI1_CLK
from SPI1_CLK falling
ns
Polarity = 1, Phase = 1,
from SPI1_CLK rising
6
7
8
(1)
(2)
(3)
124
toh(SPC_SIMO)M
tsu(SOMI_SPC)M
tih(SPC_SOMI)M
Output hold time, SPI1_SIMO
valid after receive edge of
SPI1_CLK
Input Setup Time, SPI1_SOMI
valid before receive edge of
SPI1_CLK
Input Hold Time, SPI1_SOMI
valid after receive edge of
SPI1_CLK
ns
Polarity = 0, Phase = 0,
from SPI1_CLK falling
0.5M-3
0.5M-3
0.5M-3
Polarity = 0, Phase = 1,
from SPI1_CLK rising
0.5M-3
0.5M-3
0.5M-3
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5M-3
0.5M-3
0.5M-3
Polarity = 1, Phase = 1,
from SPI1_CLK falling
0.5M-3
0.5M-3
0.5M-3
Polarity = 0, Phase = 0,
to SPI1_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 1,
to SPI1_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 0,
to SPI1_CLK rising
1.5
1.5
1.5
Polarity = 1, Phase = 1,
to SPI1_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 0,
from SPI1_CLK falling
4
5
6
Polarity = 0, Phase = 1,
from SPI1_CLK rising
4
5
6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
4
5
6
Polarity = 1, Phase = 1,
from SPI1_CLK falling
4
5
6
ns
ns
ns
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
This timing is limited by the timing shown or 3P, whichever is greater.
First bit may be MSB or LSB depending upon SPI configuration. MO(0) refers to first bit and MO(n) refers to last bit output on
SPI1_SIMO. MI(0) refers to the first bit input and MI(n) refers to the last bit input on SPI1_SOMI.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-59. General Timing Requirements for SPI1 Slave Modes (1)
1.2V
NO.
MIN
ns
22
27
ns
Pulse Width Low, SPI1_CLK, All Slave Modes
18
22
27
ns
Polarity = 0, Phase = 0,
to SPI1_CLK rising
2P
2P
2P
Polarity = 0, Phase = 1,
to SPI1_CLK rising
2P
2P
2P
Polarity = 1, Phase = 0,
to SPI1_CLK falling
2P
2P
2P
Polarity = 1, Phase = 1,
to SPI1_CLK falling
2P
2P
2P
11
tw(SPCL)S
15
16
(1)
(2)
(3)
(4)
toh(SPC_SOMI)S
tsu(SIMO_SPC)S
tih(SPC_SIMO)S
UNIT
18
tw(SPCH)S
14
MAX
Pulse Width High, SPI1_CLK, All Slave Modes
10
td(SPC_SOMI)S
MIN
60 (2)
Cycle Time, SPI1_CLK, All Slave Modes
13
1.0V
MAX
50 (2)
tc(SPC)S
tsu(SOMI_SPC)S
MIN
40 (2)
9
12
1.1V
MAX
Setup time, transmit data
written to SPI before initial
clock edge from
master. (3) (4)
Delay, subsequent bits valid
on SPI1_SOMI after transmit
edge of SPI1_CLK
Output hold time, SPI1_SOMI
valid after receive edge of
SPI1_CLK
Polarity = 0, Phase = 0,
from SPI1_CLK rising
15
17
19
Polarity = 0, Phase = 1,
from SPI1_CLK falling
15
17
19
Polarity = 1, Phase = 0,
from SPI1_CLK falling
15
17
19
Polarity = 1, Phase = 1,
from SPI1_CLK rising
15
17
19
ns
Polarity = 0, Phase = 0,
from SPI1_CLK falling
0.5S-4
0.5S-10
0.5S-12
Polarity = 0, Phase = 1,
from SPI1_CLK rising
0.5S-4
0.5S-10
0.5S-12
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5S-4
0.5S-10
0.5S-12
Polarity = 1, Phase = 1,
from SPI1_CLK falling
0.5S-4
0.5S-10
0.5S-12
Polarity = 0, Phase = 0,
to SPI1_CLK falling
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
1.5
Polarity = 1, Phase = 1,
to SPI1_CLK falling
1.5
1.5
1.5
Polarity = 0, Phase = 0,
from SPI1_CLK falling
4
5
6
Polarity = 0, Phase = 1,
from SPI1_CLK rising
4
5
6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
4
5
6
Polarity = 1, Phase = 1,
from SPI1_CLK falling
4
5
6
Polarity = 0, Phase = 1,
Input Setup Time, SPI1_SIMO to SPI1_CLK rising
valid before receive edge of
Polarity = 1, Phase = 0,
SPI1_CLK
to SPI1_CLK rising
Input Hold Time, SPI1_SIMO
valid after receive edge of
SPI1_CLK
ns
ns
ns
ns
P = SYSCLK2 period; S = tc(SPC)S (SPI slave bit clock period)
This timing is limited by the timing shown or 3P, whichever is greater.
First bit may be MSB or LSB depending upon SPI configuration. SO(0) refers to first bit and SO(n) refers to last bit output on
SPI1_SOMI. SI(0) refers to the first bit input and SI(n) refers to the last bit input on SPI1_SIMO.
Measured from the termination of the write of new data to the SPI module, In analyzing throughput requirements, additional internal bus
cycles must be accounted for to allow data to be written to the SPI module by the CPU.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
125
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-60. Additional (1) SPI1 Master Timings, 4-Pin Enable Option (2) (3)
NO.
17
td(EN A_SPC)M
18
(1)
(2)
(3)
(4)
(5)
1.2V
PARAMETER
td(SPC_ENA)M
Delay from slave
assertion of
SPI1_ENA active to
first SPI1_CLK from
master. (4)
Max delay for slave to
deassert SPI1_ENA
after final SPI1_CLK
edge to ensure
master does not begin
the next transfer. (5)
MIN
1.1V
MAX
MIN
MAX
1.0V
MIN
Polarity = 0, Phase = 0,
to SPI1_CLK rising
3P+5
3P+5
3P+6
Polarity = 0, Phase = 1,
to SPI1_CLK rising
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
Polarity = 1, Phase = 0,
to SPI1_CLK falling
3P+5
3P+5
3P+6
Polarity = 1, Phase = 1,
to SPI1_CLK falling
0.5M+3P+5
0.5M+3P+5
0.5M+3P+6
Polarity = 0, Phase = 0,
from SPI1_CLK falling
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 0, Phase = 1,
from SPI1_CLK falling
P+5
P+5
P+6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 1, Phase = 1,
from SPI1_CLK rising
P+5
P+5
P+6
ns
These parameters are in addition to the general timings for SPI master modes (Table 6-58).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI1_ENA assertion.
In the case where the master SPI is ready with new data before SPI1_ENA deassertion.
NO.
20
(1)
(2)
(3)
(4)
(5)
(6)
(7)
126
UNIT
ns
Table 6-61. Additional (1) SPI1 Master Timings, 4-Pin Chip Select Option (2)
19
MAX
PARAMETER
td(SCS_SPC)M
td(SPC_SCS)M
Delay from
SPI1_SCS active
to first
SPI1_CLK (4) (5)
Delay from final
SPI1_CLK edge to
master
deasserting
SPI1_SCS (6) (7)
1.2V
MIN
1.1V
MAX
MIN
(3)
1.0V
MAX
MIN
Polarity = 0, Phase = 0,
to SPI1_CLK rising
2P-1
2P-5
2P-6
Polarity = 0, Phase = 1,
to SPI1_CLK rising
0.5M+2P-1
0.5M+2P-5
0.5M+2P-6
Polarity = 1, Phase = 0,
to SPI1_CLK falling
2P-1
2P-5
2P-6
Polarity = 1, Phase = 1,
to SPI1_CLK falling
0.5M+2P-1
0.5M+2P-5
0.5M+2P-6
Polarity = 0, Phase = 0,
from SPI1_CLK falling
0.5M+P-1
0.5M+P-5
0.5M+P-6
Polarity = 0, Phase = 1,
from SPI1_CLK falling
P-1
P-5
P-6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5M+P-1
0.5M+P-5
0.5M+P-6
Polarity = 1, Phase = 1,
from SPI1_CLK rising
P-1
P-5
P-6
MAX
UNIT
ns
ns
These parameters are in addition to the general timings for SPI master modes (Table 6-58).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI1_SCS assertion.
This delay can be increased under software control by the register bit field SPIDELAY.C2TDELAY[4:0].
Except for modes when SPIDAT1.CSHOLD is enabled and there is additional data to transmit. In this case, SPI1_SCS will remain
asserted.
This delay can be increased under software control by the register bit field SPIDELAY.T2CDELAY[4:0].
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-62. Additional (1) SPI1 Master Timings, 5-Pin Option (2) (3)
NO.
18
1.3V, 1.2V
PARAMETER
td(SPC_ENA)M
Max delay for slave to deassert
SPI1_ENA after final SPI1_CLK
edge to ensure master does not
begin the next transfer. (4)
MIN
td(SPC_SCS)M
td(SCSL_ENAL)M
td(SCS_SPC)M
MAX
0.5M+P+6
Polarity = 0, Phase = 1,
from SPI1_CLK falling
P+5
P+5
P+6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5M+P+5
0.5M+P+5
0.5M+P+6
Polarity = 1, Phase = 1,
from SPI1_CLK rising
P+5
P+5
P+6
Polarity = 0, Phase = 1,
Delay from final SPI1_CLK edge to from SPI1_CLK falling
master deasserting SPI1_SCS (5) (6) Polarity = 1, Phase = 0,
from SPI1_CLK rising
Polarity = 0, Phase = 1,
Delay from SPI1_SCS active to first to SPI1_CLK rising
SPI1_CLK (7) (8) (9)
Polarity = 1, Phase = 0,
to SPI1_CLK falling
UNIT
ns
0.5M+P-1
0.5M+P-5
0.5M+P-6
P-1
P-5
P-6
0.5M+P-1
0.5M+P-5
0.5M+P-6
P-1
P-5
P-6
ns
Max delay for slave SPI to drive SPI1_ENA valid after master
asserts SPI1_SCS to delay the
master from beginning the next transfer,
Polarity = 1, Phase = 1,
to SPI1_CLK falling
(1)
(2)
(3)
(4)
(5)
(6)
(7)
(8)
(9)
MIN
0.5M+P+5
Polarity = 0, Phase = 0,
to SPI1_CLK rising
22
1.0V
MAX
0.5M+P+5
Polarity = 1, Phase = 1,
from SPI1_CLK rising
21
MIN
Polarity = 0, Phase = 0,
from SPI1_CLK falling
Polarity = 0, Phase = 0,
from SPI1_CLK falling
20
1.1V
MAX
C2TDELAY+P
2P-1
0.5M+2P-1
C2TDELAY+P
2P-5
C2TDELAY+P
ns
2P-6
0.5M+2P-5
0.5M+2P-6
ns
2P-1
0.5M+2P-1
2P-5
2P-6
0.5M+2P-5
0.5M+2P-6
These parameters are in addition to the general timings for SPI master modes (Table 6-59).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four master clocking modes.
In the case where the master SPI is ready with new data before SPI1_ENA deassertion.
Except for modes when SPIDAT1.CSHOLD is enabled and there is additional data to transmit. In this case, SPI1_SCS will remain asserted.
This delay can be increased under software control by the register bit field SPIDELAY.T2CDELAY[4:0].
If SPI1_ENA is asserted immediately such that the transmission is not delayed by SPI1_ENA.
In the case where the master SPI is ready with new data before SPI1_SCS assertion.
This delay can be increased under software control by the register bit field SPIDELAY.C2TDELAY[4:0].
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
127
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-62. Additional(1) SPI1 Master Timings, 5-Pin Option(2)(3) (continued)
NO.
1.3V, 1.2V
PARAMETER
MIN
MAX
Polarity = 0, Phase = 0,
to SPI1_CLK rising
23
td(ENA_SPC)M
Delay from assertion of SPI1_ENA
low to first SPI1_CLK edge. (10)
1.1V
1.0V
MIN
MAX
3P+5
Polarity = 0, Phase = 1,
to SPI1_CLK rising
MIN
MAX
3P+5
0.5M+3P+5
UNIT
3P+6
0.5M+3P+5
0.5M+3P+6
ns
Polarity = 1, Phase = 0,
to SPI1_CLK falling
3P+5
Polarity = 1, Phase = 1,
to SPI1_CLK falling
3P+5
0.5M+3P+5
3P+6
0.5M+3P+5
0.5M+3P+6
(10) If SPI1_ENA was initially deasserted high and SPI1_CLK is delayed.
Table 6-63. Additional (1) SPI1 Slave Timings, 4-Pin Enable Option (2) (3)
NO.
24
(1)
(2)
(3)
1.2V
PARAMETER
td(SPC_ENAH)S
Delay from final SPI1_CLK edge to
slave deasserting SPI1_ENA.
1.1V
1.0V
MIN
MAX
MIN
MAX
MIN
MAX
Polarity = 0, Phase = 0,
from SPI1_CLK falling
1.5P-3
2.5P+15
1.5P-10
2.5P+17
1.5P-12
2.5P+19
Polarity = 0, Phase = 1,
from SPI1_CLK falling
-0.5M+1.5P-3
-0.5M+2.5P+15
-0.5M+1.5P-10
-0.5M+2.5P+17
-0.5M+1.5P-12
-0.5M+2.5P+19
Polarity = 1, Phase = 0,
from SPI1_CLK rising
1.5P-3
2.5P+15
1.5P-10
2.5P+17
1.5P-12
2.5P+19
Polarity = 1, Phase = 1,
from SPI1_CLK rising
-0.5M+1.5P-3
-0.5M+2.5P+15
-0.5M+1.5P-10
-0.5M+2.5P+17
-0.5M+1.5P-12
-0.5M+2.5P+19
UNIT
ns
These parameters are in addition to the general timings for SPI slave modes (Table 6-59).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
Table 6-64. Additional (1) SPI1 Slave Timings, 4-Pin Chip Select Option (2) (3)
NO.
25
(1)
(2)
(3)
128
1.3V, 1.2V
PARAMETER
td(SCSL_SPC)S
MIN
Required delay from SPI1_SCS asserted at slave to first SPI1_CLK edge at
slave.
P+1.5
1.1V
MAX
MIN
P+1.5
1.0V
MAX
MIN
P+1.5
MAX
UNIT
ns
These parameters are in addition to the general timings for SPI slave modes (Table 6-59).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-64. Additional(1) SPI1 Slave Timings, 4-Pin Chip Select Option(2)(3) (continued)
NO.
1.3V, 1.2V
PARAMETER
MIN
Polarity = 0, Phase = 0,
from SPI1_CLK falling
26
td(SPC_SCSH)S
Polarity = 0, Phase = 1,
Required delay from final SPI1_CLK edge from SPI1_CLK falling
before SPI1_SCS is deasserted.
Polarity = 1, Phase = 0,
from SPI1_CLK rising
Polarity = 1, Phase = 1,
from SPI1_CLK rising
1.1V
MAX
MIN
1.0V
MAX
MIN
0.5M+P+4
0.5M+P+5
0.5M+P+6
P+4
P+5
P+6
0.5M+P+4
0.5M+P+5
0.5M+P+6
P+4
P+5
P+6
MAX
UNIT
ns
27
tena(SCSL_SOMI)S
Delay from master asserting SPI1_SCS to slave driving SPI1_SOMI valid
P+15
P+17
P+19
ns
28
tdis(SCSH_SOMI)S
Delay from master deasserting SPI1_SCS to slave 3-stating SPI1_SOMI
P+15
P+17
P+19
ns
Peripheral Information and Electrical Specifications
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
129
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-65. Additional (1) SPI1 Slave Timings, 5-Pin Option (2) (3)
NO.
25
26
27
1.2V
PARAMETER
td(SCSL_SPC)S
td(SPC_SCSH)S
tena(SCSL_SOMI)
S
MIN
Required delay from SPI1_SCS asserted at slave to
first SPI1_CLK edge at slave.
Required delay from final
SPI1_CLK edge before
SPI1_SCS is deasserted.
Polarity = 0, Phase = 0,
from SPI1_CLK falling
0.5M+P+4
0.5M+P+5
0.5M+P+6
Polarity = 0, Phase = 1,
from SPI1_CLK falling
P+4
P+5
P+6
Polarity = 1, Phase = 0,
from SPI1_CLK rising
0.5M+P+4
0.5M+P+5
0.5M+P+6
Polarity = 1, Phase = 1,
from SPI1_CLK rising
P+4
P+5
P+6
MAX
UNIT
ns
ns
Delay from master asserting SPI1_SCS to slave
driving SPI1_SOMI valid
P+15
P+17
P+19
ns
P+15
P+17
P+19
ns
15
17
19
ns
Polarity = 0, Phase = 0,
from SPI1_CLK falling
2.5P+15
2.5P+17
2.5P+19
Polarity = 0, Phase = 1,
from SPI1_CLK rising
2.5P+15
2.5P+17
2.5P+19
Polarity = 1, Phase = 0,
from SPI1_CLK rising
2.5P+15
2.5P+17
2.5P+19
Polarity = 1, Phase = 1,
from SPI1_CLK falling
2.5P+15
2.5P+17
2.5P+19
29
tena(SCSL_ENA)S
Delay from master deasserting SPI1_SCS to slave
driving SPI1_ENA valid
130
MIN
P+1.5
Delay from master deasserting SPI1_SCS to slave 3stating SPI1_SOMI
(1)
(2)
(3)
(4)
1.0V
MAX
P+1.5
tdis(SCSH_SOMI)S
tdis(SPC_ENA)S
MIN
P+1.5
28
30
1.1V
MAX
Delay from final clock
receive edge on SPI1_CLK
to slave 3-stating or driving
high SPI1_ENA. (4)
ns
These parameters are in addition to the general timings for SPI slave modes (Table 6-59).
P = SYSCLK2 period; M = tc(SPC)M (SPI master bit clock period)
Figure shows only Polarity = 0, Phase = 0 as an example. Table gives parameters for all four slave clocking modes.
SPI1_ENA is driven low after the transmission completes if the SPIINT0.ENABLE_HIGHZ bit is programmed to 0. Otherwise it is tristated. If tri-stated, an external pullup resistor should be used to provide a valid level to the master. This option is useful when tying
several SPI slave devices to a single master.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
1
2
MASTER MODE
POLARITY = 0 PHASE = 0
3
SPIx_CLK
5
4
SPIx_SIMO
MO(0)
7
SPIx_SOMI
6
MO(1)
MO(n−1)
MO(n)
8
MI(0)
MI(1)
MI(n−1)
MI(n)
MASTER MODE
POLARITY = 0 PHASE = 1
4
SPIx_CLK
6
5
SPIx_SIMO
MO(0)
7
SPIx_SOMI
MO(1)
MO(n−1)
MI(1)
MI(n−1)
MO(n)
8
MI(0)
MI(n)
4
MASTER MODE
POLARITY = 1 PHASE = 0
SPIx_CLK
5
SPIx_SIMO
6
MO(0)
7
SPIx_SOMI
MO(1)
MO(n−1)
MO(n)
8
MI(0)
MI(1)
MI(n−1)
MI(n)
MASTER MODE
POLARITY = 1 PHASE = 1
SPIx_CLK
5
4
SPIx_SIMO
MO(0)
7
SPIx_SOMI
MI(0)
6
MO(1)
MO(n−1)
MI(1)
MI(n−1)
MO(n)
8
MI(n)
Figure 6-33. SPI Timings—Master Mode
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
131
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
9
12
10
SLAVE MODE
POLARITY = 0 PHASE = 0
11
SPIx_CLK
15
SPIx_SIMO
16
SI(0)
SI(1)
SI(n−1)
13
SPIx_SOMI
SO(0)
SI(n)
14
SO(1)
SO(n−1)
12
SO(n)
SLAVE MODE
POLARITY = 0 PHASE = 1
SPIx_CLK
15
SPIx_SIMO
16
SI(0)
SI(1)
13
SPIx_SOMI
SO(0)
SI(n−1)
SI(n)
SO(n−1)
SO(n)
14
SO(1)
SLAVE MODE
POLARITY = 1 PHASE = 0
12
SPIx_CLK
15
SPIx_SIMO
16
SI(0)
SI(1)
SI(n−1)
13
SPIx_SOMI
SO(0)
SO(1)
SI(n)
14
SO(n−1)
SO(n)
SLAVE MODE
POLARITY = 1 PHASE = 1
12
SPIx_CLK
15
SPIx_SIMO
16
SI(0)
SI(1)
13
SPIx_SOMI
SO(0)
SI(n−1)
SI(n)
14
SO(1)
SO(n−1)
SO(n)
Figure 6-34. SPI Timings—Slave Mode
132
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
MASTER MODE 4 PIN WITH ENABLE
17
18
SPIx_CLK
SPIx_SIMO
MO(0)
SPIx_SOMI
MI(0)
MO(1)
MO(n−1)
MI(1)
MI(n−1)
MO(n)
MI(n)
SPIx_ENA
MASTER MODE 4 PIN WITH CHIP SELECT
19
20
SPIx_CLK
SPIx_SIMO
MO(0)
SPIx_SOMI
MI(0)
MO(1)
MO(n−1)
MO(n)
MI(1)
MI(n−1)
MI(n)
SPIx_SCS
MASTER MODE 5 PIN
22
20
MO(1)
23
18
SPIx_CLK
SPIx_SIMO
MO(0)
MO(n−1)
MO(n)
SPIx_SOMI
21
SPIx_ENA
MI(0)
MI(1)
MI(n−1)
MI(n)
DESEL(A)
DESEL(A)
SPIx_SCS
A. DESELECTED IS PROGRAMMABLE EITHER HIGH OR
3−STATE (REQUIRES EXTERNAL PULLUP)
Figure 6-35. SPI Timings—Master Mode (4-Pin and 5-Pin)
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
133
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
SLAVE MODE 4 PIN WITH ENABLE
24
SPIx_CLK
SPIx_SOMI
SO(0)
SO(1)
SO(n−1)
SO(n)
SPIx_SIMO
SI(0)
SPIx_ENA
SI(1)
SI(n−1) SI(n)
SLAVE MODE 4 PIN WITH CHIP SELECT
26
25
SPIx_CLK
27
SPIx_SOMI
28
SO(n−1)
SO(0)
SO(1)
SO(n)
SPIx_SIMO
SI(0)
SPIx_SCS
SI(1)
SI(n−1)
SI(n)
SLAVE MODE 5 PIN
26
30
25
SPIx_CLK
27
SPIx_SOMI
28
SO(1)
SO(0)
SO(n−1)
SO(n)
SPIx_SIMO
29
SPIx_ENA
SI(0)
SI(1)
SI(n−1)
SI(n)
DESEL(A)
DESEL(A)
SPIx_SCS
A. DESELECTED IS PROGRAMMABLE EITHER HIGH OR
3−STATE (REQUIRES EXTERNAL PULLUP)
Figure 6-36. SPI Timings—Slave Mode (4-Pin and 5-Pin)
134
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.16 Inter-Integrated Circuit Serial Ports (I2C)
6.16.1 I2C Device-Specific Information
I2C port supports:
• Compatible with Philips® I2C Specification Revision 2.1 (January 2000)
• Fast Mode up to 400 Kbps (no fail-safe I/O buffers)
• Noise Filter to Remove Noise 50 ns or less
• Seven- and Ten-Bit Device Addressing Modes
• Master (Transmit/Receive) and Slave (Transmit/Receive) Functionality
• Events: DMA, Interrupt, or Polling
• General-Purpose I/O Capability if not used as I2C
Figure 6-37 is block diagram of the device I2C Module.
Clock Prescaler
I2CPSCx
Control
Prescaler
Register
I2CCOARx
Own Address
Register
I2CSARx
Slave Address
Register
Bit Clock Generator
I2Cx_SCL
Noise
Filter
I2CCLKHx
Clock Divide
High Register
I2CCMDRx
Mode Register
I2CCLKLx
Clock Divide
Low Register
I2CEMDRx
Extended Mode
Register
I2CCNTx
Data Count
Register
I2CPID1
Peripheral ID
Register 1
I2CPID2
Peripheral ID
Register 2
Transmit
I2Cx_SDA
Noise
Filter
I2CXSRx
Transmit Shift
Register
I2CDXRx
Transmit Buffer
Interrupt/DMA
Receive
I2CIERx
I2CDRRx
Receive Buffer
I2CSTRx
I2CRSRx
Receive Shift
Register
I2CSRCx
I2CPFUNC
Pin Function
Register
I2CPDOUT
Interrupt Enable
Register
Interrupt Status
Register
Interrupt Source
Register
Peripheral
Configuration
Bus
Interrupt DMA
Requests
Control
I2CPDIR
I2CPDIN
Pin Direction
Register
Pin Data In
Register
I2CPDSET
I2CPDCLR
Pin Data Out
Register
Pin Data Set
Register
Pin Data Clear
Register
Figure 6-37. I2C Module Block Diagram
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
135
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.16.2 I2C Peripheral Registers Description(s)
Table 6-66 is the list of the I2C registers.
Table 6-66. Inter-Integrated Circuit (I2C) Registers
136
I2C0
BYTE ADDRESS
ACRONYM
0x01C2 2000
ICOAR
I2C Own Address Register
0x01C2 2004
ICIMR
I2C Interrupt Mask Register
0x01C2 2008
ICSTR
I2C Interrupt Status Register
0x01C2 200C
ICCLKL
I2C Clock Low-Time Divider Register
0x01C2 2010
ICCLKH
I2C Clock High-Time Divider Register
0x01C2 2014
ICCNT
I2C Data Count Register
0x01C2 2018
ICDRR
I2C Data Receive Register
0x01C2 201C
ICSAR
I2C Slave Address Register
0x01C2 2020
ICDXR
I2C Data Transmit Register
0x01C2 2024
ICMDR
I2C Mode Register
0x01C2 2028
ICIVR
I2C Interrupt Vector Register
0x01C2 202C
ICEMDR
I2C Extended Mode Register
0x01C2 2030
ICPSC
I2C Prescaler Register
0x01C2 2034
REVID1
I2C Revision Identification Register 1
0x01C2 2038
REVID2
I2C Revision Identification Register 2
0x01C2 2048
ICPFUNC
I2C Pin Function Register
0x01C2 204C
ICPDIR
I2C Pin Direction Register
0x01C2 2050
ICPDIN
I2C Pin Data In Register
0x01C2 2054
ICPDOUT
I2C Pin Data Out Register
0x01C2 2058
ICPDSET
I2C Pin Data Set Register
0x01C2 205C
ICPDCLR
I2C Pin Data Clear Register
REGISTER DESCRIPTION
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.16.3 I2C Electrical Data/Timing
6.16.3.1 Inter-Integrated Circuit (I2C) Timing
Table 6-67 and Table 6-68 assume testing over recommended operating conditions (see Figure 6-38 and
Figure 6-39).
Table 6-67. Timing Requirements for I2C Input
1.2V, 1.1V, 1.0V
NO.
Standard Mode
MIN
MAX
Fast Mode
MIN
UNIT
MAX
1
tc(SCL)
Cycle time, I2Cx_SCL
10
2.5
μs
2
tsu(SCLH-SDAL)
Setup time, I2Cx_SCL high before I2Cx_SDA low
4.7
0.6
μs
3
th(SCLL-SDAL)
Hold time, I2Cx_SCL low after I2Cx_SDA low
4
0.6
μs
4
tw(SCLL)
Pulse duration, I2Cx_SCL low
4.7
1.3
μs
5
tw(SCLH)
Pulse duration, I2Cx_SCL high
μs
6
tsu(SDA-SCLH)
Setup time, I2Cx_SDA before I2Cx_SCL high
7
th(SDA-SCLL)
Hold time, I2Cx_SDA after I2Cx_SCL low
8
tw(SDAH)
Pulse duration, I2Cx_SDA high
9
tr(SDA)
Rise time, I2Cx_SDA
1000
20 + 0.1Cb
300
ns
10
tr(SCL)
Rise time, I2Cx_SCL
1000
20 + 0.1Cb
300
ns
11
tf(SDA)
Fall time, I2Cx_SDA
300
20 + 0.1Cb
300
ns
12
tf(SCL)
Fall time, I2Cx_SCL
300
20 + 0.1Cb
300
13
tsu(SCLH-SDAH)
Setup time, I2Cx_SCL high before I2Cx_SDA high
14
tw(SP)
Pulse duration, spike (must be suppressed)
15
Cb
Capacitive load for each bus line
4
0.6
250
100
0
0
4.7
ns
0.9
μs
1.3
4
0.6
N/A
0
ns
μs
400
Table 6-68. Switching Characteristics for I2C
μs
50
ns
400
pF
(1)
1.2V, 1.1V, 1.0V
NO.
PARAMETER
Standard Mode
MIN
MAX
Fast Mode
MIN
UNIT
MAX
16
tc(SCL)
Cycle time, I2Cx_SCL
10
2.5
μs
17
tsu(SCLH-SDAL)
Setup time, I2Cx_SCL high before I2Cx_SDA low
4.7
0.6
μs
18
th(SDAL-SCLL)
Hold time, I2Cx_SCL low after I2Cx_SDA low
4
0.6
μs
19
tw(SCLL)
Pulse duration, I2Cx_SCL low
4.7
1.3
μs
20
tw(SCLH)
Pulse duration, I2Cx_SCL high
4
0.6
μs
21
tsu(SDAV-SCLH)
Setup time, I2Cx_SDA valid before I2Cx_SCL high
250
100
ns
22
th(SCLL-SDAV)
Hold time, I2Cx_SDA valid after I2Cx_SCL low
23
tw(SDAH)
Pulse duration, I2Cx_SDA high
28
tsu(SCLH-SDAH)
Setup time, I2Cx_SCL high before I2Cx_SDA high
(1)
0.9
μs
0
0
4.7
1.3
μs
4
0.6
μs
I2C must be configured correctly to meet the timings in Table 6-68.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
137
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
11
9
I2Cx_SDA
6
8
14
4
13
5
10
I2Cx_SCL
1
12
3
2
7
3
Stop
Start
Repeated
Start
Stop
Figure 6-38. I2C Receive Timings
26
24
I2Cx_SDA
21
23
19
28
20
25
I2Cx_SCL
16
27
18
17
22
18
Stop
Start
Repeated
Start
Stop
Figure 6-39. I2C Transmit Timings
138
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.17 Universal Asynchronous Receiver/Transmitter (UART)
Each UART has the following features:
• 16-byte storage space for both the transmitter and receiver FIFOs
• 1, 4, 8, or 14 byte selectable receiver FIFO trigger level for autoflow control and DMA
• DMA signaling capability for both received and transmitted data
• Programmable auto-rts and auto-cts for autoflow control
• Programmable Baud Rate up to 12 MBaud
• Programmable Oversampling Options of x13 and x16
• Frequency pre-scale values from 1 to 65,535 to generate appropriate baud rates
• Prioritized interrupts
• Programmable serial data formats
– 5, 6, 7, or 8-bit characters
– Even, odd, or no parity bit generation and detection
– 1, 1.5, or 2 stop bit generation
• False start bit detection
• Line break generation and detection
• Internal diagnostic capabilities
– Loopback controls for communications link fault isolation
– Break, parity, overrun, and framing error simulation
• Modem control functions (CTS, RTS)
The UART registers are listed in Section 6.17.1
6.17.1 UART Peripheral Registers Description(s)
Table 6-69 is the list of UART registers.
Table 6-69. UART Registers
UART0
BYTE ADDRESS
UART1
BYTE ADDRESS
UART2
BYTE ADDRESS
ACRONYM
0x01C4 2000
0x01D0 C000
0x01D0 D000
RBR
Receiver Buffer Register (read only)
0x01C4 2000
0x01D0 C000
0x01D0 D000
THR
Transmitter Holding Register (write only)
0x01C4 2004
0x01D0 C004
0x01D0 D004
IER
Interrupt Enable Register
0x01C4 2008
0x01D0 C008
0x01D0 D008
IIR
Interrupt Identification Register (read only)
0x01C4 2008
0x01D0 C008
0x01D0 D008
FCR
FIFO Control Register (write only)
0x01C4 200C
0x01D0 C00C
0x01D0 D00C
LCR
Line Control Register
0x01C4 2010
0x01D0 C010
0x01D0 D010
MCR
Modem Control Register
0x01C4 2014
0x01D0 C014
0x01D0 D014
LSR
Line Status Register
0x01C4 2018
0x01D0 C018
0x01D0 D018
MSR
Modem Status Register
0x01C4 201C
0x01D0 C01C
0x01D0 D01C
SCR
Scratchpad Register
0x01C4 2020
0x01D0 C020
0x01D0 D020
DLL
Divisor LSB Latch
0x01C4 2024
0x01D0 C024
0x01D0 D024
DLH
Divisor MSB Latch
0x01C4 2028
0x01D0 C028
0x01D0 D028
REVID1
0x01C4 2030
0x01D0 C030
0x01D0 D030
PWREMU_MGMT
0x01C4 2034
0x01D0 C034
0x01D0 D034
MDR
Copyright © 2010–2014, Texas Instruments Incorporated
REGISTER DESCRIPTION
Revision Identification Register 1
Power and Emulation Management Register
Mode Definition Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
139
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.17.2 UART Electrical Data/Timing
Table 6-70. Timing Requirements for UART Receive (1) (see Figure 6-40)
1.2V, 1.1V, 1.0V
NO.
MIN
MAX
UNIT
4
tw(URXDB)
Pulse duration, receive data bit (RXDn)
0.96U
1.05U
ns
5
tw(URXSB)
Pulse duration, receive start bit
0.96U
1.05U
ns
(1)
U = UART baud time = 1/programmed baud rate.
Table 6-71. Switching Characteristics Over Recommended Operating Conditions for UARTx Transmit (1)
(see Figure 6-40)
NO.
1.2V, 1.1V, 1.0V
PARAMETER
MIN
MAX
(2) (3)
1
f(baud)
Maximum programmable baud rate
2
tw(UTXDB)
Pulse duration, transmit data bit (TXDn)
U-2
U+2
ns
3
tw(UTXSB)
Pulse duration, transmit start bit
U-2
U+2
ns
(1)
(2)
(3)
(4)
D/E
UNIT
MBaud
(4)
U = UART baud time = 1/programmed baud rate.
D = UART input clock in MHz.
For UART0, the UART input clock is SYSCLK2.
For UART1 or UART2, the UART input clock is ASYNC3 (either PLL0_SYCLK2 or PLL1_SYSCLK2).
E = UART divisor x UART sampling rate. The UART divisor is set through the UART divisor latch registers (DLL and DLH). The UART
sampling rate is set through the over-sampling mode select bit (OSM_SEL) of the UART mode definition register (MDR).
Baud rate is not indicative of data rate. Actual data rate will be limited by system factors such as EDMA loading, EMIF/DDR loading,
system frequency, etc.
3
2
UART_TXDn
Start
Bit
Data Bits
5
4
UART_RXDn
Start
Bit
Data Bits
Figure 6-40. UART Transmit/Receive Timing
140
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.18 Universal Serial Bus OTG Controller (USB0) [USB2.0 OTG]
The USB2.0 peripheral supports the following features:
• USB 2.0 peripheral at speeds high speed (HS: 480 Mb/s) and full speed (FS: 12 Mb/s)
• USB 2.0 host at speeds HS, FS, and low speed (LS: 1.5 Mb/s)
• All transfer modes (control, bulk, interrupt, and isochronous)
• 4 Transmit (TX) and 4 Receive (RX) endpoints in addition to endpoint 0
• FIFO RAM
– 4K endpoint
– Programmable size
• Integrated USB 2.0 High Speed PHY
• Connects to a standard Charge Pump for VBUS 5 V generation
• RNDIS mode for accelerating RNDIS type protocols using short packet termination over USB
Important Notice: The USB0 controller module clock (PLL0_SYSCLK2) must be greater than 30 MHz for
proper operation of the USB controller. A clock rate of 60 MHz or greater is recommended to avoid data
throughput reduction.
Table 6-72 is the list of USB OTG registers.
Table 6-72. Universal Serial Bus OTG (USB0) Registers
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01E0 0000
REVID
Revision Register
0x01E0 0004
CTRLR
Control Register
0x01E0 0008
STATR
Status Register
0x01E0 000C
EMUR
Emulation Register
0x01E0 0010
MODE
Mode Register
0x01E0 0014
AUTOREQ
Autorequest Register
0x01E0 0018
SRPFIXTIME
SRP Fix Time Register
0x01E0 001C
TEARDOWN
Teardown Register
0x01E0 0020
INTSRCR
USB Interrupt Source Register
0x01E0 0024
INTSETR
USB Interrupt Source Set Register
0x01E0 0028
INTCLRR
USB Interrupt Source Clear Register
0x01E0 002C
INTMSKR
USB Interrupt Mask Register
0x01E0 0030
INTMSKSETR
USB Interrupt Mask Set Register
0x01E0 0034
INTMSKCLRR
USB Interrupt Mask Clear Register
0x01E0 0038
INTMASKEDR
USB Interrupt Source Masked Register
0x01E0 003C
EOIR
USB End of Interrupt Register
0x01E0 0040
-
0x01E0 0050
GENRNDISSZ1
Reserved
Generic RNDIS Size EP1
0x01E0 0054
GENRNDISSZ2
Generic RNDIS Size EP2
0x01E0 0058
GENRNDISSZ3
Generic RNDIS Size EP3
0x01E0 005C
GENRNDISSZ4
Generic RNDIS Size EP4
0x01E0 0400
FADDR
Function Address Register
0x01E0 0401
POWER
Power Management Register
0x01E0 0402
INTRTX
Interrupt Register for Endpoint 0 plus Transmit Endpoints 1 to 4
0x01E0 0404
INTRRX
Interrupt Register for Receive Endpoints 1 to 4
0x01E0 0406
INTRTXE
Interrupt enable register for INTRTX
0x01E0 0408
INTRRXE
Interrupt Enable Register for INTRRX
0x01E0 040A
INTRUSB
Interrupt Register for Common USB Interrupts
0x01E0 040B
INTRUSBE
Interrupt Enable Register for INTRUSB
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
141
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-72. Universal Serial Bus OTG (USB0) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E0 040C
FRAME
Frame Number Register
REGISTER DESCRIPTION
0x01E0 040E
INDEX
Index Register for Selecting the Endpoint Status and Control Registers
0x01E0 040F
TESTMODE
Register to Enable the USB 2.0 Test Modes
Indexed Registers
These registers operate on the endpoint selected by the INDEX register
0x01E0 0410
TXMAXP
Maximum Packet Size for Peripheral/Host Transmit Endpoint (Index register set to select
Endpoints 1-4 only)
0x01E0 0412
PERI_CSR0
Control Status Register for Endpoint 0 in Peripheral Mode.
(Index register set to select Endpoint 0)
HOST_CSR0
Control Status Register for Endpoint 0 in Host Mode.
(Index register set to select Endpoint 0)
PERI_TXCSR
Control Status Register for Peripheral Transmit Endpoint.
(Index register set to select Endpoints 1-4)
HOST_TXCSR
Control Status Register for Host Transmit Endpoint.
(Index register set to select Endpoints 1-4)
0x01E0 0414
RXMAXP
0x01E0 0416
PERI_RXCSR
Control Status Register for Peripheral Receive Endpoint.
(Index register set to select Endpoints 1-4)
HOST_RXCSR
Control Status Register for Host Receive Endpoint.
(Index register set to select Endpoints 1-4)
0x01E0 0418
COUNT0
RXCOUNT
0x01E0 041A
HOST_TYPE0
HOST_TXTYPE
0x01E0 041B
HOST_NAKLIMIT0
Maximum Packet Size for Peripheral/Host Receive Endpoint
(Index register set to select Endpoints 1-4 only)
Number of Received Bytes in Endpoint 0 FIFO.
(Index register set to select Endpoint 0)
Number of Bytes in Host Receive Endpoint FIFO.
(Index register set to select Endpoints 1- 4)
Defines the speed of Endpoint 0
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Transmit endpoint.
(Index register set to select Endpoints 1-4 only)
Sets the NAK response timeout on Endpoint 0.
(Index register set to select Endpoint 0)
HOST_TXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Transmit endpoint.
(Index register set to select Endpoints 1-4 only)
0x01E0 041C
0x01E0 041D
HOST_RXTYPE
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Receive endpoint.
(Index register set to select Endpoints 1-4 only)
HOST_RXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Receive endpoint.
(Index register set to select Endpoints 1-4 only)
0x01E0 041F
CONFIGDATA
Returns details of core configuration. (Index register set to select Endpoint 0)
0x01E0 0420
FIFO0
Transmit and Receive FIFO Register for Endpoint 0
0x01E0 0424
FIFO1
Transmit and Receive FIFO Register for Endpoint 1
FIFO
0x01E0 0428
FIFO2
Transmit and Receive FIFO Register for Endpoint 2
0x01E0 042C
FIFO3
Transmit and Receive FIFO Register for Endpoint 3
0x01E0 0430
FIFO4
Transmit and Receive FIFO Register for Endpoint 4
0x01E0 0460
DEVCTL
0x01E0 0462
TXFIFOSZ
Transmit Endpoint FIFO Size
(Index register set to select Endpoints 1-4 only)
0x01E0 0463
RXFIFOSZ
Receive Endpoint FIFO Size
(Index register set to select Endpoints 1-4 only)
OTG Device Control
Device Control Register
Dynamic FIFO Control
142
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-72. Universal Serial Bus OTG (USB0) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E0 0464
TXFIFOADDR
Transmit Endpoint FIFO Address
(Index register set to select Endpoints 1-4 only)
REGISTER DESCRIPTION
0x01E0 0466
RXFIFOADDR
Receive Endpoint FIFO Address
(Index register set to select Endpoints 1-4 only)
0x01E0 046C
HWVERS
Hardware Version Register
Target Endpoint 0 Control Registers, Valid Only in Host Mode
0x01E0 0480
TXFUNCADDR
Address of the target function that has to be accessed through the associated Transmit
Endpoint.
0x01E0 0482
TXHUBADDR
Address of the hub that has to be accessed through the associated Transmit Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0483
TXHUBPORT
Port of the hub that has to be accessed through the associated Transmit Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0484
RXFUNCADDR
0x01E0 0486
RXHUBADDR
Address of the hub that has to be accessed through the associated Receive Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0487
RXHUBPORT
Port of the hub that has to be accessed through the associated Receive Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
Address of the target function that has to be accessed through the associated Receive
Endpoint.
Target Endpoint 1 Control Registers, Valid Only in Host Mode
0x01E0 0488
TXFUNCADDR
Address of the target function that has to be accessed through the associated Transmit
Endpoint.
0x01E0 048A
TXHUBADDR
Address of the hub that has to be accessed through the associated Transmit Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 048B
TXHUBPORT
Port of the hub that has to be accessed through the associated Transmit Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 048C
RXFUNCADDR
0x01E0 048E
RXHUBADDR
Address of the hub that has to be accessed through the associated Receive Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 048F
RXHUBPORT
Port of the hub that has to be accessed through the associated Receive Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0490
TXFUNCADDR
0x01E0 0492
TXHUBADDR
Address of the hub that has to be accessed through the associated Transmit Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0493
TXHUBPORT
Port of the hub that has to be accessed through the associated Transmit Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0494
RXFUNCADDR
0x01E0 0496
RXHUBADDR
Address of the hub that has to be accessed through the associated Receive Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0497
RXHUBPORT
Port of the hub that has to be accessed through the associated Receive Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0498
TXFUNCADDR
0x01E0 049A
TXHUBADDR
Address of the hub that has to be accessed through the associated Transmit Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 049B
TXHUBPORT
Port of the hub that has to be accessed through the associated Transmit Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 049C
RXFUNCADDR
0x01E0 049E
RXHUBADDR
Address of the target function that has to be accessed through the associated Receive
Endpoint.
Target Endpoint 2 Control Registers, Valid Only in Host Mode
Address of the target function that has to be accessed through the associated Transmit
Endpoint.
Address of the target function that has to be accessed through the associated Receive
Endpoint.
Target Endpoint 3 Control Registers, Valid Only in Host Mode
Address of the target function that has to be accessed through the associated Transmit
Endpoint.
Address of the target function that has to be accessed through the associated Receive
Endpoint.
Address of the hub that has to be accessed through the associated Receive Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
143
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-72. Universal Serial Bus OTG (USB0) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E0 049F
RXHUBPORT
REGISTER DESCRIPTION
0x01E0 04A0
TXFUNCADDR
0x01E0 04A2
TXHUBADDR
Address of the hub that has to be accessed through the associated Transmit Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 04A3
TXHUBPORT
Port of the hub that has to be accessed through the associated Transmit Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 04A4
RXFUNCADDR
0x01E0 04A6
RXHUBADDR
Address of the hub that has to be accessed through the associated Receive Endpoint. This is
used only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 04A7
RXHUBPORT
Port of the hub that has to be accessed through the associated Receive Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
0x01E0 0502
PERI_CSR0
Control Status Register for Endpoint 0 in Peripheral Mode
HOST_CSR0
Control Status Register for Endpoint 0 in Host Mode
Port of the hub that has to be accessed through the associated Receive Endpoint. This is used
only when full speed or low speed device is connected via a USB2.0 high-speed hub.
Target Endpoint 4 Control Registers, Valid Only in Host Mode
Address of the target function that has to be accessed through the associated Transmit
Endpoint.
Address of the target function that has to be accessed through the associated Receive
Endpoint.
Control and Status Register for Endpoint 0
0x01E0 0508
COUNT0
Number of Received Bytes in Endpoint 0 FIFO
0x01E0 050A
HOST_TYPE0
0x01E0 050B
HOST_NAKLIMIT0
Defines the Speed of Endpoint 0
0x01E0 050F
CONFIGDATA
0x01E0 0510
TXMAXP
0x01E0 0512
PERI_TXCSR
Control Status Register for Peripheral Transmit Endpoint (peripheral mode)
HOST_TXCSR
Control Status Register for Host Transmit Endpoint (host mode)
Sets the NAK Response Timeout on Endpoint 0
Returns details of core configuration.
Control and Status Register for Endpoint 1
Maximum Packet Size for Peripheral/Host Transmit Endpoint
0x01E0 0514
RXMAXP
0x01E0 0516
PERI_RXCSR
Control Status Register for Peripheral Receive Endpoint (peripheral mode)
HOST_RXCSR
Control Status Register for Host Receive Endpoint (host mode)
0x01E0 0518
RXCOUNT
0x01E0 051A
HOST_TXTYPE
0x01E0 051B
0x01E0 051C
0x01E0 051D
Maximum Packet Size for Peripheral/Host Receive Endpoint
Number of Bytes in Host Receive endpoint FIFO
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Transmit endpoint.
HOST_TXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Transmit endpoint.
HOST_RXTYPE
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Receive endpoint.
HOST_RXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Receive endpoint.
Control and Status Register for Endpoint 2
0x01E0 0520
TXMAXP
0x01E0 0522
PERI_TXCSR
Control Status Register for Peripheral Transmit Endpoint (peripheral mode)
HOST_TXCSR
Control Status Register for Host Transmit Endpoint (host mode)
0x01E0 0524
0x01E0 0526
RXMAXP
PERI_RXCSR
Control Status Register for Peripheral Receive Endpoint (peripheral mode)
Control Status Register for Host Receive Endpoint (host mode)
RXCOUNT
0x01E0 052A
HOST_TXTYPE
0x01E0 052C
144
Maximum Packet Size for Peripheral/Host Receive Endpoint
HOST_RXCSR
0x01E0 0528
0x01E0 052B
Maximum Packet Size for Peripheral/Host Transmit Endpoint
Number of Bytes in Host Receive endpoint FIFO
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Transmit endpoint.
HOST_TXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Transmit endpoint.
HOST_RXTYPE
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Receive endpoint.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-72. Universal Serial Bus OTG (USB0) Registers (continued)
BYTE ADDRESS
0x01E0 052D
ACRONYM
REGISTER DESCRIPTION
HOST_RXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Receive endpoint.
Control and Status Register for Endpoint 3
0x01E0 0530
0x01E0 0532
TXMAXP
Maximum Packet Size for Peripheral/Host Transmit Endpoint
PERI_TXCSR
Control Status Register for Peripheral Transmit Endpoint (peripheral mode)
HOST_TXCSR
Control Status Register for Host Transmit Endpoint (host mode)
0x01E0 0534
RXMAXP
0x01E0 0536
PERI_RXCSR
Control Status Register for Peripheral Receive Endpoint (peripheral mode)
HOST_RXCSR
Control Status Register for Host Receive Endpoint (host mode)
0x01E0 0538
RXCOUNT
0x01E0 053A
HOST_TXTYPE
0x01E0 053B
0x01E0 053C
0x01E0 053D
Maximum Packet Size for Peripheral/Host Receive Endpoint
Number of Bytes in Host Receive endpoint FIFO
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Transmit endpoint.
HOST_TXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Transmit endpoint.
HOST_RXTYPE
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Receive endpoint.
HOST_RXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Receive endpoint.
Control and Status Register for Endpoint 4
0x01E0 0540
0x01E0 0542
TXMAXP
Maximum Packet Size for Peripheral/Host Transmit Endpoint
PERI_TXCSR
Control Status Register for Peripheral Transmit Endpoint (peripheral mode)
HOST_TXCSR
Control Status Register for Host Transmit Endpoint (host mode)
0x01E0 0544
RXMAXP
0x01E0 0546
PERI_RXCSR
Control Status Register for Peripheral Receive Endpoint (peripheral mode)
HOST_RXCSR
Control Status Register for Host Receive Endpoint (host mode)
0x01E0 0548
RXCOUNT
0x01E0 054A
HOST_TXTYPE
0x01E0 054B
0x01E0 054C
0x01E0 054D
Maximum Packet Size for Peripheral/Host Receive Endpoint
Number of Bytes in Host Receive endpoint FIFO
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Transmit endpoint.
HOST_TXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Transmit endpoint.
HOST_RXTYPE
Sets the operating speed, transaction protocol and peripheral endpoint number for the host
Receive endpoint.
HOST_RXINTERVAL Sets the polling interval for Interrupt/ISOC transactions or the NAK response timeout on Bulk
transactions for host Receive endpoint.
DMA Registers
0x01E0 1000
DMAREVID
DMA Revision Register
0x01E0 1004
TDFDQ
0x01E0 1008
DMAEMU
DMA Teardown Free Descriptor Queue Control Register
DMA Emulation Control Register
0x01E0 1800
TXGCR[0]
Transmit Channel 0 Global Configuration Register
Receive Channel 0 Global Configuration Register
0x01E0 1808
RXGCR[0]
0x01E0 180C
RXHPCRA[0]
Receive Channel 0 Host Packet Configuration Register A
0x01E0 1810
RXHPCRB[0]
Receive Channel 0 Host Packet Configuration Register B
0x01E0 1820
TXGCR[1]
Transmit Channel 1 Global Configuration Register
0x01E0 1828
RXGCR[1]
Receive Channel 1 Global Configuration Register
0x01E0 182C
RXHPCRA[1]
Receive Channel 1 Host Packet Configuration Register A
0x01E0 1830
RXHPCRB[1]
Receive Channel 1 Host Packet Configuration Register B
0x01E0 1840
TXGCR[2]
Transmit Channel 2 Global Configuration Register
0x01E0 1848
RXGCR[2]
Receive Channel 2 Global Configuration Register
0x01E0 184C
RXHPCRA[2]
Receive Channel 2 Host Packet Configuration Register A
0x01E0 1850
RXHPCRB[2]
Receive Channel 2 Host Packet Configuration Register B
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
145
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-72. Universal Serial Bus OTG (USB0) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E0 1860
TXGCR[3]
Transmit Channel 3 Global Configuration Register
REGISTER DESCRIPTION
Receive Channel 3 Global Configuration Register
0x01E0 1868
RXGCR[3]
0x01E0 186C
RXHPCRA[3]
Receive Channel 3 Host Packet Configuration Register A
0x01E0 1870
RXHPCRB[3]
Receive Channel 3 Host Packet Configuration Register B
0x01E0 2000
DMA_SCHED_CTRL DMA Scheduler Control Register
0x01E0 2800
WORD[0]
DMA Scheduler Table Word 0
0x01E0 2804
WORD[1]
DMA Scheduler Table Word 1
...
...
0x01E0 28FC
WORD[63]
...
0x01E0 4000
QMGRREVID
0x01E0 4008
DIVERSION
0x01E0 4020
FDBSC0
Free Descriptor/Buffer Starvation Count Register 0
0x01E0 4024
FDBSC1
Free Descriptor/Buffer Starvation Count Register 1
DMA Scheduler Table Word 63
Queue Manager Registers
Queue Manager Revision Register
Queue Diversion Register
0x01E0 4028
FDBSC2
Free Descriptor/Buffer Starvation Count Register 2
0x01E0 402C
FDBSC3
Free Descriptor/Buffer Starvation Count Register 3
0x01E0 4080
LRAM0BASE
Linking RAM Region 0 Base Address Register
0x01E0 4084
LRAM0SIZE
Linking RAM Region 0 Size Register
0x01E0 4088
LRAM1BASE
Linking RAM Region 1 Base Address Register
0x01E0 4090
PEND0
Queue Pending Register 0
0x01E0 4094
PEND1
Queue Pending Register 1
0x01E0 5000
QMEMRBASE[0]
Memory Region 0 Base Address Register
0x01E0 5004
QMEMRCTRL[0]
Memory Region 0 Control Register
0x01E0 5010
QMEMRBASE[1]
Memory Region 1 Base Address Register
0x01E0 5014
QMEMRCTRL[1]
Memory Region 1 Control Register
...
...
0x01E0 50F0
QMEMRBASE[15]
Memory Region 15 Base Address Register
Memory Region 15 Control Register
...
0x01E0 50F4
QMEMRCTRL[15]
0x01E0 600C
CTRLD[0]
Queue Manager Queue 0 Control Register D
0x01E0 601C
CTRLD[1]
Queue Manager Queue 1 Control Register D
...
...
...
0x01E0 63FC
CTRLD[63]
Queue Manager Queue 63 Status Register D
0x01E0 6800
QSTATA[0]
Queue Manager Queue 0 Status Register A
0x01E0 6804
QSTATB[0]
Queue Manager Queue 0 Status Register B
0x01E0 6808
QSTATC[0]
Queue Manager Queue 0 Status Register C
0x01E0 6810
QSTATA[1]
Queue Manager Queue 1 Status Register A
0x01E0 6814
QSTATB[1]
Queue Manager Queue 1 Status Register B
0x01E0 6818
QSTATC[1]
Queue Manager Queue 1 Status Register C
...
...
0x01E0 6BF0
QSTATA[63]
Queue Manager Queue 63 Status Register A
0x01E0 6BF4
QSTATB[63]
Queue Manager Queue 63 Status Register B
0x01E0 6BF8
QSTATC[63]
Queue Manager Queue 63 Status Register C
146
...
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.18.1 USB0 [USB2.0] Electrical Data/Timing
The USB PHY PLL can support input clock of the following frequencies: 12.0 MHz, 13.0 MHz, 19.2 MHz,
20.0 MHz, 24.0 MHz, 26.0 MHz, 38.4 MHz, 40.0 MHz or 48.0 MHz. USB_REFCLKIN jitter tolerance is 50
ppm maximum.
Table 6-73. Switching Characteristics Over Recommended Operating Conditions for USB0 [USB2.0] (see
Figure 6-41)
1.2V, 1.1V, 1.0V
NO.
LOW SPEED
1.5 Mbps
PARAMETER
HIGH SPEED
480 Mbps
MIN
MAX
MIN
MAX
MIN
1
tr(D)
Rise time, USB_DP and USB_DM signals (1)
75
300
4
20
0.5
2
tf(D)
Fall time, USB_DP and USB_DM signals (1)
75
300
4
20
0.5
3
trfM
Rise/Fall time, matching (2)
80
120
90
111
-
1.3
2
1.3
2
-
(1)
4
VCRS
Output signal cross-over voltage
5
tjr(source)NT
Source (Host) Driver jitter, next transition
tjr(FUNC)NT
Function Driver jitter, next transition
6
tjr(source)PT
Source (Host) Driver jitter, paired transition
tjr(FUNC)PT
Function Driver jitter, paired transition
7
tw(EOPT)
Pulse duration, EOP transmitter
8
tw(EOPR)
Pulse duration, EOP receiver
9
t(DRATE)
Data Rate
10
ZDRV
Driver Output Resistance
11
ZINP
Receiver Input Impedance
(1)
(2)
(3)
(4)
FULL SPEED
12 Mbps
(4)
1250
ns
ns
-
%
-
V
2
(3)
ns
25
2
(3)
ns
1
1
(3)
ns
10
1
(3)
ns
-
ns
1500
160
175
82
-
-
1.5
-
MAX
2
670
100k
UNIT
12
40.5
49.5
100k
ns
480 Mb/s
40.5
49.5
Ω
-
-
Ω
Low Speed: CL = 200 pF, Full Speed: CL = 50 pF, High Speed: CL = 50 pF
tRFM = (tr/tf) x 100. [Excluding the first transaction from the Idle state.]
For more detailed information, see the Universal Serial Bus Specification Revision 2.0, Chapter 7. Electrical.
tjr = tpx(1) - tpx(0)
USB_DM
VCRS
USB_DP
tper − tjr
90% VOH
10% VOL
tr
tf
Figure 6-41. USB2.0 Integrated Transceiver Interface Timing
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
147
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.19 Ethernet Media Access Controller (EMAC)
The Ethernet Media Access Controller (EMAC) provides an efficient interface between device and the
network. The EMAC supports both 10Base-T and 100Base-TX, or 10 Mbits/second (Mbps) and 100 Mbps
in either half- or full-duplex mode, with hardware flow control and quality of service (QOS) support.
The EMAC controls the flow of packet data from the device to the PHY. The MDIO module controls PHY
configuration and status monitoring.
Both the EMAC and the MDIO modules interface to the device through a custom interface that allows
efficient data transmission and reception. This custom interface is referred to as the EMAC control
module, and is considered integral to the EMAC/MDIO peripheral. The control module is also used to
multiplex and control interrupts.
6.19.1
EMAC Peripheral Register Description(s)
Table 6-74. Ethernet Media Access Controller (EMAC) Registers
BYTE ADDRESS
0x01E2 3000
TXREV
0x01E2 3004
TXCONTROL
0x01E2 3008
TXTEARDOWN
REGISTER DESCRIPTION
Transmit Revision Register
Transmit Control Register
Transmit Teardown Register
0x01E2 3010
RXREV
0x01E2 3014
RXCONTROL
0x01E2 3018
RXTEARDOWN
Receive Teardown Register
0x01E2 3080
TXINTSTATRAW
Transmit Interrupt Status (Unmasked) Register
0x01E2 3084
TXINTSTATMASKED
0x01E2 3088
TXINTMASKSET
0x01E2 308C
TXINTMASKCLEAR
Receive Revision Register
Receive Control Register
Transmit Interrupt Status (Masked) Register
Transmit Interrupt Mask Set Register
Transmit Interrupt Clear Register
0x01E2 3090
MACINVECTOR
0x01E2 3094
MACEOIVECTOR
MAC End Of Interrupt Vector Register
0x01E2 30A0
RXINTSTATRAW
Receive Interrupt Status (Unmasked) Register
0x01E2 30A4
RXINTSTATMASKED
0x01E2 30A8
RXINTMASKSET
0x01E2 30AC
RXINTMASKCLEAR
Receive Interrupt Mask Clear Register
0x01E2 30B0
MACINTSTATRAW
MAC Interrupt Status (Unmasked) Register
0x01E2 30B4
MACINTSTATMASKED
0x01E2 30B8
MACINTMASKSET
0x01E2 30BC
MACINTMASKCLEAR
MAC Input Vector Register
Receive Interrupt Status (Masked) Register
Receive Interrupt Mask Set Register
MAC Interrupt Status (Masked) Register
MAC Interrupt Mask Set Register
MAC Interrupt Mask Clear Register
0x01E2 3100
RXMBPENABLE
Receive Multicast/Broadcast/Promiscuous Channel Enable Register
0x01E2 3104
RXUNICASTSET
Receive Unicast Enable Set Register
0x01E2 3108
RXUNICASTCLEAR
0x01E2 310C
RXMAXLEN
0x01E2 3110
RXBUFFEROFFSET
0x01E2 3114
148
ACRONYM
Receive Unicast Clear Register
Receive Maximum Length Register
Receive Buffer Offset Register
RXFILTERLOWTHRESH Receive Filter Low Priority Frame Threshold Register
0x01E2 3120
RX0FLOWTHRESH
Receive Channel 0 Flow Control Threshold Register
0x01E2 3124
RX1FLOWTHRESH
Receive Channel 1 Flow Control Threshold Register
0x01E2 3128
RX2FLOWTHRESH
Receive Channel 2 Flow Control Threshold Register
0x01E2 312C
RX3FLOWTHRESH
Receive Channel 3 Flow Control Threshold Register
0x01E2 3130
RX4FLOWTHRESH
Receive Channel 4 Flow Control Threshold Register
0x01E2 3134
RX5FLOWTHRESH
Receive Channel 5 Flow Control Threshold Register
0x01E2 3138
RX6FLOWTHRESH
Receive Channel 6 Flow Control Threshold Register
0x01E2 313C
RX7FLOWTHRESH
Receive Channel 7 Flow Control Threshold Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-74. Ethernet Media Access Controller (EMAC) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E2 3140
RX0FREEBUFFER
Receive Channel 0 Free Buffer Count Register
REGISTER DESCRIPTION
0x01E2 3144
RX1FREEBUFFER
Receive Channel 1 Free Buffer Count Register
0x01E2 3148
RX2FREEBUFFER
Receive Channel 2 Free Buffer Count Register
0x01E2 314C
RX3FREEBUFFER
Receive Channel 3 Free Buffer Count Register
0x01E2 3150
RX4FREEBUFFER
Receive Channel 4 Free Buffer Count Register
0x01E2 3154
RX5FREEBUFFER
Receive Channel 5 Free Buffer Count Register
0x01E2 3158
RX6FREEBUFFER
Receive Channel 6 Free Buffer Count Register
0x01E2 315C
RX7FREEBUFFER
Receive Channel 7 Free Buffer Count Register
0x01E2 3160
MACCONTROL
MAC Control Register
0x01E2 3164
MACSTATUS
MAC Status Register
0x01E2 3168
EMCONTROL
Emulation Control Register
0x01E2 316C
FIFOCONTROL
0x01E2 3170
MACCONFIG
MAC Configuration Register
0x01E2 3174
SOFTRESET
Soft Reset Register
0x01E2 31D0
MACSRCADDRLO
MAC Source Address Low Bytes Register
0x01E2 31D4
MACSRCADDRHI
MAC Source Address High Bytes Register
0x01E2 31D8
MACHASH1
MAC Hash Address Register 1
0x01E2 31DC
MACHASH2
MAC Hash Address Register 2
0x01E2 31E0
BOFFTEST
Back Off Test Register
0x01E2 31E4
TPACETEST
0x01E2 31E8
RXPAUSE
Receive Pause Timer Register
Transmit Pause Timer Register
FIFO Control Register
Transmit Pacing Algorithm Test Register
0x01E2 31EC
TXPAUSE
0x01E2 3200 - 0x01E2 32FC
(see Table 6-75)
0x01E2 3500
MACADDRLO
MAC Address Low Bytes Register, Used in Receive Address Matching
0x01E2 3504
MACADDRHI
MAC Address High Bytes Register, Used in Receive Address Matching
0x01E2 3508
MACINDEX
0x01E2 3600
TX0HDP
Transmit Channel 0 DMA Head Descriptor Pointer Register
0x01E2 3604
TX1HDP
Transmit Channel 1 DMA Head Descriptor Pointer Register
0x01E2 3608
TX2HDP
Transmit Channel 2 DMA Head Descriptor Pointer Register
0x01E2 360C
TX3HDP
Transmit Channel 3 DMA Head Descriptor Pointer Register
0x01E2 3610
TX4HDP
Transmit Channel 4 DMA Head Descriptor Pointer Register
0x01E2 3614
TX5HDP
Transmit Channel 5 DMA Head Descriptor Pointer Register
0x01E2 3618
TX6HDP
Transmit Channel 6 DMA Head Descriptor Pointer Register
0x01E2 361C
TX7HDP
Transmit Channel 7 DMA Head Descriptor Pointer Register
0x01E2 3620
RX0HDP
Receive Channel 0 DMA Head Descriptor Pointer Register
0x01E2 3624
RX1HDP
Receive Channel 1 DMA Head Descriptor Pointer Register
0x01E2 3628
RX2HDP
Receive Channel 2 DMA Head Descriptor Pointer Register
0x01E2 362C
RX3HDP
Receive Channel 3 DMA Head Descriptor Pointer Register
0x01E2 3630
RX4HDP
Receive Channel 4 DMA Head Descriptor Pointer Register
0x01E2 3634
RX5HDP
Receive Channel 5 DMA Head Descriptor Pointer Register
0x01E2 3638
RX6HDP
Receive Channel 6 DMA Head Descriptor Pointer Register
0x01E2 363C
RX7HDP
Receive Channel 7 DMA Head Descriptor Pointer Register
0x01E2 3640
TX0CP
Transmit Channel 0 Completion Pointer Register
0x01E2 3644
TX1CP
Transmit Channel 1 Completion Pointer Register
EMAC Statistics Registers
MAC Index Register
0x01E2 3648
TX2CP
Transmit Channel 2 Completion Pointer Register
0x01E2 364C
TX3CP
Transmit Channel 3 Completion Pointer Register
0x01E2 3650
TX4CP
Transmit Channel 4 Completion Pointer Register
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
149
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-74. Ethernet Media Access Controller (EMAC) Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E2 3654
TX5CP
Transmit Channel 5 Completion Pointer Register
REGISTER DESCRIPTION
0x01E2 3658
TX6CP
Transmit Channel 6 Completion Pointer Register
0x01E2 365C
TX7CP
Transmit Channel 7 Completion Pointer Register
0x01E2 3660
RX0CP
Receive Channel 0 Completion Pointer Register
0x01E2 3664
RX1CP
Receive Channel 1 Completion Pointer Register
0x01E2 3668
RX2CP
Receive Channel 2 Completion Pointer Register
0x01E2 366C
RX3CP
Receive Channel 3 Completion Pointer Register
0x01E2 3670
RX4CP
Receive Channel 4 Completion Pointer Register
0x01E2 3674
RX5CP
Receive Channel 5 Completion Pointer Register
0x01E2 3678
RX6CP
Receive Channel 6 Completion Pointer Register
0x01E2 367C
RX7CP
Receive Channel 7 Completion Pointer Register
Table 6-75. EMAC Statistics Registers
150
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
0x01E2 3200
RXGOODFRAMES
Good Receive Frames Register
0x01E2 3204
RXBCASTFRAMES
Broadcast Receive Frames Register
(Total number of good broadcast frames received)
0x01E2 3208
RXMCASTFRAMES
Multicast Receive Frames Register
(Total number of good multicast frames received)
0x01E2 320C
RXPAUSEFRAMES
Pause Receive Frames Register
0x01E2 3210
RXCRCERRORS
0x01E2 3214
RXALIGNCODEERRORS
0x01E2 3218
RXOVERSIZED
0x01E2 321C
RXJABBER
0x01E2 3220
RXUNDERSIZED
Receive Undersized Frames Register
(Total number of undersized frames received)
0x01E2 3224
RXFRAGMENTS
Receive Frame Fragments Register
0x01E2 3228
RXFILTERED
0x01E2 322C
RXQOSFILTERED
0x01E2 3230
RXOCTETS
0x01E2 3234
TXGOODFRAMES
Good Transmit Frames Register
(Total number of good frames transmitted)
Receive CRC Errors Register (Total number of frames received with
CRC errors)
Receive Alignment/Code Errors Register
(Total number of frames received with alignment/code errors)
Receive Oversized Frames Register
(Total number of oversized frames received)
Receive Jabber Frames Register
(Total number of jabber frames received)
Filtered Receive Frames Register
Received QOS Filtered Frames Register
Receive Octet Frames Register
(Total number of received bytes in good frames)
0x01E2 3238
TXBCASTFRAMES
Broadcast Transmit Frames Register
0x01E2 323C
TXMCASTFRAMES
Multicast Transmit Frames Register
0x01E2 3240
TXPAUSEFRAMES
Pause Transmit Frames Register
0x01E2 3244
TXDEFERRED
Deferred Transmit Frames Register
0x01E2 3248
TXCOLLISION
Transmit Collision Frames Register
0x01E2 324C
TXSINGLECOLL
0x01E2 3250
TXMULTICOLL
0x01E2 3254
TXEXCESSIVECOLL
0x01E2 3258
TXLATECOLL
Transmit Late Collision Frames Register
0x01E2 325C
TXUNDERRUN
Transmit Underrun Error Register
0x01E2 3260
TXCARRIERSENSE
0x01E2 3264
TXOCTETS
0x01E2 3268
FRAME64
Transmit Single Collision Frames Register
Transmit Multiple Collision Frames Register
Transmit Excessive Collision Frames Register
Transmit Carrier Sense Errors Register
Transmit Octet Frames Register
Transmit and Receive 64 Octet Frames Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-75. EMAC Statistics Registers (continued)
BYTE ADDRESS
ACRONYM
0x01E2 326C
FRAME65T127
Transmit and Receive 65 to 127 Octet Frames Register
REGISTER DESCRIPTION
0x01E2 3270
FRAME128T255
Transmit and Receive 128 to 255 Octet Frames Register
0x01E2 3274
FRAME256T511
Transmit and Receive 256 to 511 Octet Frames Register
0x01E2 3278
FRAME512T1023
Transmit and Receive 512 to 1023 Octet Frames Register
0x01E2 327C
FRAME1024TUP
Transmit and Receive 1024 to 1518 Octet Frames Register
0x01E2 3280
NETOCTETS
0x01E2 3284
RXSOFOVERRUNS
Receive FIFO or DMA Start of Frame Overruns Register
0x01E2 3288
RXMOFOVERRUNS
Receive FIFO or DMA Middle of Frame Overruns Register
0x01E2 328C
RXDMAOVERRUNS
Receive DMA Start of Frame and Middle of Frame Overruns Register
Network Octet Frames Register
Table 6-76. EMAC Control Module Registers
BYTE ADDRESS
ACRONYM
0x01E2 2000
REV
REGISTER DESCRIPTION
0x01E2 2004
SOFTRESET
EMAC Control Module Software Reset Register
0x01E2 200C
INTCONTROL
EMAC Control Module Interrupt Control Register
0x01E2 2010
C0RXTHRESHEN
0x01E2 2014
C0RXEN
EMAC Control Module Interrupt Core 0 Receive Interrupt Enable Register
EMAC Control Module Interrupt Core 0 Transmit Interrupt Enable Register
EMAC Control Module Revision Register
EMAC Control Module Interrupt Core 0 Receive Threshold Interrupt Enable Register
0x01E2 2018
C0TXEN
0x01E2 201C
C0MISCEN
0x01E2 2020
C1RXTHRESHEN
0x01E2 2024
C1RXEN
EMAC Control Module Interrupt Core 1 Receive Interrupt Enable Register
EMAC Control Module Interrupt Core 1 Transmit Interrupt Enable Register
EMAC Control Module Interrupt Core 0 Miscellaneous Interrupt Enable Register
EMAC Control Module Interrupt Core 1 Receive Threshold Interrupt Enable Register
0x01E2 2028
C1TXEN
0x01E2 202C
C1MISCEN
0x01E2 2030
C2RXTHRESHEN
0x01E2 2034
C2RXEN
EMAC Control Module Interrupt Core 2 Receive Interrupt Enable Register
0x01E2 2038
C2TXEN
EMAC Control Module Interrupt Core 2 Transmit Interrupt Enable Register
0x01E2 203C
C2MISCEN
0x01E2 2040
C0RXTHRESHSTAT
0x01E2 2044
C0RXSTAT
EMAC Control Module Interrupt Core 0 Receive Interrupt Status Register
0x01E2 2048
C0TXSTAT
EMAC Control Module Interrupt Core 0 Transmit Interrupt Status Register
0x01E2 204C
C0MISCSTAT
0x01E2 2050
C1RXTHRESHSTAT
0x01E2 2054
C1RXSTAT
EMAC Control Module Interrupt Core 1 Receive Interrupt Status Register
0x01E2 2058
C1TXSTAT
EMAC Control Module Interrupt Core 1 Transmit Interrupt Status Register
EMAC Control Module Interrupt Core 1 Miscellaneous Interrupt Enable Register
EMAC Control Module Interrupt Core 2 Receive Threshold Interrupt Enable Register
EMAC Control Module Interrupt Core 2 Miscellaneous Interrupt Enable Register
EMAC Control Module Interrupt Core 0 Receive Threshold Interrupt Status Register
EMAC Control Module Interrupt Core 0 Miscellaneous Interrupt Status Register
EMAC Control Module Interrupt Core 1 Receive Threshold Interrupt Status Register
0x01E2 205C
C1MISCSTAT
0x01E2 2060
C2RXTHRESHSTAT
EMAC Control Module Interrupt Core 1 Miscellaneous Interrupt Status Register
0x01E2 2064
C2RXSTAT
EMAC Control Module Interrupt Core 2 Receive Interrupt Status Register
EMAC Control Module Interrupt Core 2 Transmit Interrupt Status Register
EMAC Control Module Interrupt Core 2 Receive Threshold Interrupt Status Register
0x01E2 2068
C2TXSTAT
0x01E2 206C
C2MISCSTAT
0x01E2 2070
C0RXIMAX
EMAC Control Module Interrupt Core 0 Receive Interrupts Per Millisecond Register
0x01E2 2074
C0TXIMAX
EMAC Control Module Interrupt Core 0 Transmit Interrupts Per Millisecond Register
EMAC Control Module Interrupt Core 2 Miscellaneous Interrupt Status Register
0x01E2 2078
C1RXIMAX
EMAC Control Module Interrupt Core 1 Receive Interrupts Per Millisecond Register
0x01E2 207C
C1TXIMAX
EMAC Control Module Interrupt Core 1 Transmit Interrupts Per Millisecond Register
0x01E2 2080
C2RXIMAX
EMAC Control Module Interrupt Core 2 Receive Interrupts Per Millisecond Register
0x01E2 2084
C2TXIMAX
EMAC Control Module Interrupt Core 2 Transmit Interrupts Per Millisecond Register
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
151
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-77. EMAC Control Module RAM
BYTE ADDRESS
DESCRIPTION
0x01E2 0000 - 0x01E2 1FFF
6.19.1.1
EMAC Local Buffer Descriptor Memory
EMAC Electrical Data/Timing
Table 6-78. Timing Requirements for MII_RXCLK (see Figure 6-42)
1.2V, 1.1V
NO.
10 Mbps
MIN
MAX
1.0V
100 Mbps
MIN
MAX
10 Mbps
MIN
UNIT
MAX
1
tc(MII_RXCLK)
Cycle time, MII_RXCLK
400
40
400
ns
2
tw(MII_RXCLKH)
Pulse duration, MII_RXCLK high
140
14
140
ns
3
tw(MII_RXCLKL)
Pulse duration, MII_RXCLK low
140
14
140
ns
1
3
2
MII_RXCLK
Figure 6-42. MII_RXCLK Timing (EMAC - Receive)
Table 6-79. Timing Requirements for MII_TXCLK (see Figure 6-43)
1.2V, 1.1V
NO.
10 Mbps
MIN
MAX
1.0V
100 Mbps
MIN
MAX
10 Mbps
MIN
UNIT
MAX
1
tc(MII_TXCLK)
Cycle time, MII_TXCLK
400
40
400
ns
2
tw(MII_TXCLKH)
Pulse duration, MII_TXCLK high
140
14
140
ns
3
tw(MII_TXCLKL)
Pulse duration, MII_TXCLK low
140
14
140
ns
1
3
2
MII_TXCLK
Figure 6-43. MII_TXCLK Timing (EMAC - Transmit)
152
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-80. Timing Requirements for EMAC MII Receive 10/100 Mbit/s (1) (see Figure 6-44)
1.2V, 1.1V, 1.0V
NO.
MIN
MAX
UNIT
1
tsu(MRXD-MII_RXCLKH)
Setup time, receive selected signals valid before MII_RXCLK high
8
ns
2
th(MII_RXCLKH-MRXD)
Hold time, receive selected signals valid after MII_RXCLK high
8
ns
(1)
Receive selected signals include: MII_RXD[3]-MII_RXD[0], MII_RXDV, and MII_RXER.
1
2
MII_RXCLK (Input)
MII_RXD[3]-MII_RXD[0],
MII_RXDV, MII_RXER (Inputs)
Figure 6-44. EMAC Receive Interface Timing
Table 6-81. Switching Characteristics Over Recommended Operating Conditions for EMAC MII Transmit
10/100 Mbit/s (1) (see Figure 6-45)
NO.
1
1.2V, 1.1V
PARAMETER
td(MII_TXCLKH-
Delay time, MII_TXCLK high to transmit selected signals valid
1.0V
MIN
MAX
MIN
MAX
2
25
2
32
UNIT
ns
MTXD)
(1)
Transmit selected signals include: MTXD3-MTXD0, and MII_TXEN.
1
MII_TCLK (Input)
MII_TXD[3]-MII_TXD[0],
MII_TXEN (Outputs)
Figure 6-45. EMAC Transmit Interface Timing
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
153
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-82. Timing Requirements for EMAC RMII
1.2V, 1.1V (1)
NO.
MIN
TYP
MAX
UNIT
1
tc(REFCLK)
Cycle Time, RMII_MHZ_50_CLK (2)
2
tw(REFCLKH)
Pulse Width, RMII_MHZ_50_CLK High
7
13
ns
3
tw(REFCLKL)
Pulse Width, RMII_MHZ_50_CLK Low
7
13
ns
6
tsu(RXD-REFCLK)
Input Setup Time, RXD Valid before RMII_MHZ_50_CLK High
4
ns
7
th(REFCLK-RXD)
Input Hold Time, RXD Valid after RMII_MHZ_50_CLK High
2
ns
8
tsu(CRSDV-REFCLK)
Input Setup Time, CRSDV Valid before RMII_MHZ_50_CLK High
4
ns
9
th(REFCLK-CRSDV)
Input Hold Time, CRSDV Valid after RMII_MHZ_50_CLK High
2
ns
10
tsu(RXER-REFCLK)
Input Setup Time, RXER Valid before RMII_MHZ_50_CLK High
4
ns
11
th(REFCLKR-RXER)
Input Hold Time, RXER Valid after RMII_MHZ_50_CLK High
2
ns
(1)
(2)
20
ns
RMII is not supported at operating points below 1.1V nominal.
Per the RMII industry specification, the RMII reference clock (RMII_MHZ_50_CLK) must have jitter tolerance of 50 ppm or less.
Table 6-83. Switching Characteristics Over Recommended Operating Conditions for EMAC RMII
NO.
1.2V, 1.1V (1)
PARAMETER
MIN
TYP
MAX
UNIT
4
td(REFCLK-TXD)
Output Delay Time, RMII_MHZ_50_CLK High to TXD Valid
2.5
13
ns
5
td(REFCLK-TXEN)
Output Delay Time, RMII_MHZ_50_CLK High to TXEN Valid
2.5
13
ns
(1)
RMII is not supported at operating points below 1.1V nominal.
1
2
3
RMII_MHz_50_CLK
5
5
RMII_TXEN
4
RMII_TXD[1:0]
6
7
RMII_RXD[1:0]
8
9
RMII_CRS_DV
10
11
RMII_RXER
Figure 6-46. RMII Timing Diagram
154
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.20 Management Data Input/Output (MDIO)
The Management Data Input/Output (MDIO) module continuously polls all 32 MDIO addresses in order to
enumerate all PHY devices in the system.
The Management Data Input/Output (MDIO) module implements the 802.3 serial management interface to
interrogate and control Ethernet PHY(s) using a shared two-wire bus. Host software uses the MDIO
module to configure the auto-negotiation parameters of each PHY attached to the EMAC, retrieve the
negotiation results, and configure required parameters in the EMAC module for correct operation. The
module is designed to allow almost transparent operation of the MDIO interface, with very little
maintenance from the core processor. Only one PHY may be connected at any given time.
6.20.1 MDIO Registers
For a list of supported MDIO registers see Table 6-84 [MDIO Registers].
Table 6-84. MDIO Register Memory Map
BYTE ADDRESS
ACRONYM
REGISTER NAME
0x01E2 4000
REV
0x01E2 4004
CONTROL
Revision Identification Register
0x01E2 4008
ALIVE
MDIO PHY Alive Status Register
0x01E2 400C
LINK
MDIO PHY Link Status Register
0x01E2 4010
LINKINTRAW
0x01E2 4014
LINKINTMASKED
MDIO Control Register
MDIO Link Status Change Interrupt (Unmasked) Register
MDIO Link Status Change Interrupt (Masked) Register
0x01E2 4018
-
0x01E2 4020
USERINTRAW
Reserved
0x01E2 4024
USERINTMASKED
MDIO User Command Complete Interrupt (Masked) Register
0x01E2 4028
USERINTMASKSET
MDIO User Command Complete Interrupt Mask Set Register
MDIO User Command Complete Interrupt (Unmasked) Register
0x01E2 402C
USERINTMASKCLEAR
0x01E2 4030 - 0x01E2 407C
-
0x01E2 4080
USERACCESS0
MDIO User Access Register 0
0x01E2 4084
USERPHYSEL0
MDIO User PHY Select Register 0
0x01E2 4088
USERACCESS1
MDIO User Access Register 1
0x01E2 408C
USERPHYSEL1
MDIO User PHY Select Register 1
0x01E2 4090 - 0x01E2 47FF
-
Copyright © 2010–2014, Texas Instruments Incorporated
MDIO User Command Complete Interrupt Mask Clear Register
Reserved
Reserved
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
155
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.20.2 Management Data Input/Output (MDIO) Electrical Data/Timing
Table 6-85. Timing Requirements for MDIO Input (see Figure 6-47 and Figure 6-48)
1.3V, 1.2V, 1.1V
NO.
MIN
MAX
1.0V
MIN
MAX
UNIT
1
tc(MDCLK)
Cycle time, MDCLK
400
400
ns
2
tw(MDCLK)
Pulse duration, MDCLK high/low
180
180
ns
3
tt(MDCLK)
Transition time, MDCLK
4
tsu(MDIO-MDCLKH)
Setup time, MDIO data input valid before MDCLK high
16
21
ns
5
th(MDCLKH-MDIO)
Hold time, MDIO data input valid after MDCLK high
0
0
ns
5
5
ns
1
3
3
MDCLK
4
5
MDIO
(input)
Figure 6-47. MDIO Input Timing
Table 6-86. Switching Characteristics Over Recommended Operating Conditions for MDIO Output
(see Figure 6-48)
1.1V, 1.0V
NO.
7
td(MDCLKL-MDIO)
Delay time, MDCLK low to MDIO data output valid
MIN
MAX
0
100
UNIT
ns
1
MDCLK
7
MDIO
(output)
Figure 6-48. MDIO Output Timing
156
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.21 Timers
The timers support the following features:
• Configurable as single 64-bit timer or two 32-bit timers
• Period timeouts generate interrupts, DMA events or external pin events
• 8 32-bit compare registers
• Compare matches generate interrupt events
• Capture capability
• 64-bit Watchdog capability (Timer64P1 only)
Table 6-87 lists the timer registers.
Table 6-87. Timer Registers
TIMER64P 0
BYTE
ADDRESS
TIMER64P 1
BYTE
ADDRESS
TIMER64P 2
BYTE ADDRESS
TIMER64P 3
BYTE ADDRESS
0x01C2 0000
0x01C2 1000
0x01F0 C000
0x01F0 D000
REV
0x01C2 0004
0x01C2 1004
0x01F0 C004
0x01F0 D004
EMUMGT
0x01C2 0008
0x01C2 1008
0x01F0 C008
0x01F0 D008
0x01C2 000C
0x01C2 100C
0x01F0 C00C
0x01F0 D00C
0x01C2 0010
0x01C2 1010
0x01F0 C010
0x01F0 D010
0x01C2 0014
0x01C2 1014
0x01F0 C014
0x01C2 0018
0x01C2 1018
0x01F0 C018
0x01C2 001C
0x01C2 101C
0x01C2 0020
0x01C2 0024
ACRONYM
GPINTGPEN
REGISTER DESCRIPTION
Revision Register
Emulation Management Register
GPIO Interrupt and GPIO Enable Register
GPDATGPDIR GPIO Data and GPIO Direction Register
TIM12
Timer Counter Register 12
0x01F0 D014
TIM34
Timer Counter Register 34
0x01F0 D018
PRD12
Timer Period Register 12
0x01F0 C01C
0x01F0 D01C
PRD34
Timer Period Register 34
0x01C2 1020
0x01F0 C020
0x01F0 D020
TCR
0x01C2 1024
0x01F0 C024
0x01F0 D024
TGCR
0x01C2 0028
0x01C2 1028
0x01F0 C028
0x01F0 D028
WDTCR
0x01C2 0034
0x01C2 1034
0x01F0 C034
0x01F0 D034
REL12
Timer Reload Register 12
Timer Control Register
Timer Global Control Register
Watchdog Timer Control Register
0x01C2 0038
0x01C2 1038
0x01F0 C038
0x01F0 D038
REL34
Timer Reload Register 34
0x01C2 003C
0x01C2 103C
0x01F0 C03C
0x01F0 D03C
CAP12
Timer Capture Register 12
0x01C2 0040
0x01C2 1040
0x01F0 C040
0x01F0 D040
CAP34
Timer Capture Register 34
0x01C2 0044
0x01C2 1044
0x01F0 C044
0x01F0 D044
INTCTLSTAT
0x01C2 0060
0x01C2 1060
0x01F0 C060
0x01F0 D060
CMP0
Compare Register 0
0x01C2 0064
0x01C2 1064
0x01F0 C064
0x01F0 D064
CMP1
Compare Register 1
Timer Interrupt Control and Status Register
0x01C2 0068
0x01C2 1068
0x01F0 C068
0x01F0 D068
CMP2
Compare Register 2
0x01C2 006C
0x01C2 106C
0x01F0 C06C
0x01F0 D06C
CMP3
Compare Register 3
0x01C2 0070
0x01C2 1070
0x01F0 C070
0x01F0 D070
CMP4
Compare Register 4
0x01C2 0074
0x01C2 1074
0x01F0 C074
0x01F0 D074
CMP5
Compare Register 5
0x01C2 0078
0x01C2 1078
0x01F0 C078
0x01F0 D078
CMP6
Compare Register 6
0x01C2 007C
0x01C2 107C
0x01F0 C07C
0x01F0 D07C
CMP7
Compare Register 7
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
157
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.21.1
www.ti.com
Timer Electrical Data/Timing
Table 6-88. Timing Requirements for Timer Input (1)
(2)
(see Figure 6-49)
1.2V, 1.1V, 1.0V
NO.
MIN
1
tc(TM64Px_IN12)
Cycle time, TM64Px_IN12
2
tw(TINPH)
Pulse duration, TM64Px_IN12 high
0.45C
3
tw(TINPL)
Pulse duration, TM64Px_IN12 low
0.45C
4
tt(TM64Px_IN12)
Transition time, TM64Px_IN12
(1)
(2)
(3)
MAX
4P
UNIT
ns
0.55C
ns
0.55C
ns
0.05C or 10 (3)
ns
P = OSCIN cycle time in ns.
C = TM64P0_IN12 cycle time in ns. For example, when TM64Px_IN12 frequency is 27 MHz, use C = 37.037 ns
Whichever is smaller. P = the period of the applied signal. Maintaining transition times as fast as possible is recommended to improve
noise immunity on input signals.
1
2
3
4
4
TM64P0_IN12
Figure 6-49. Timer Timing
Table 6-89. Switching Characteristics Over Recommended Operating Conditions for Timer Output
NO.
1.2V, 1.1V, 1.0V
PARAMETER
MIN
MAX
(1)
UNIT
5
tw(TOUTH)
Pulse duration, TM64P0_OUT12 high
4P
ns
6
tw(TOUTL)
Pulse duration, TM64P0_OUT12 low
4P
ns
(1)
P = OSCIN cycle time in ns.
5
6
TM64P0_OUT12
Figure 6-50. Timer Timing
158
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.22 Real Time Clock (RTC)
The RTC provides a time reference to an application running on the device. The current date and time is
tracked in a set of counter registers that update once per second. The time can be represented in 12-hour
or 24-hour mode. The calendar and time registers are buffered during reads and writes so that updates do
not interfere with the accuracy of the time and date.
Alarms are available to interrupt the CPU at a particular time, or at periodic time intervals, such as once
per minute or once per day. In addition, the RTC can interrupt the CPU every time the calendar and time
registers are updated, or at programmable periodic intervals.
The real-time clock (RTC) provides the following features:
• 100-year calendar (xx00 to xx99)
• Counts seconds, minutes, hours, day of the week, date, month, and year with leap year compensation
• Binary-coded-decimal (BCD) representation of time, calendar, and alarm
• 12-hour clock mode (with AM and PM) or 24-hour clock mode
• Alarm interrupt
• Periodic interrupt
• Single interrupt to the CPU
• Supports external 32.768-kHz crystal or external clock source of the same frequency
• Separate isolated power supply
Figure 6-51 shows a block diagram of the RTC.
RTC_XI
Counter
32 kHz
Oscillator
Compensation
Seconds
Minutes
Week
Days
XTAL
RTC_XO
Hours
Days
Months
Years
Oscillator
Alarm
Alarm
Interrupts
Timer
Periodic
Interrupts
Figure 6-51. Real-Time Clock Block Diagram
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
159
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.22.1 Clock Source
The clock reference for the RTC is an external 32.768-kHz crystal or an external clock source of the same
frequency. The RTC also has a separate power supply that is isolated from the rest of the system. When
the CPU and other peripherals are without power, the RTC can remain powered to preserve the current
time and calendar information. Even if the RTC is not used, it must remain powered when the rest of the
device is powered.
The source for the RTC reference clock may be provided by a crystal or by an external clock source. The
RTC has an internal oscillator buffer to support direct operation with a crystal. The crystal is connected
between pins RTC_XI and RTC_XO. RTC_XI is the input to the on-chip oscillator and RTC_XO is the
output from the oscillator back to the crystal.
An external 32.768-kHz clock source may be used instead of a crystal. In such a case, the clock source is
connected to RTC_XI, and RTC_XO is left unconnected.
If the RTC is not used, the RTC_XI pin should be held either low or high, RTC_XO should be left
unconnected, RTC_CVDD should be connected to the device CVDD and RTC_VSS should remain
grounded.
CVDD
RTC
Power
Source
RTC_CVDD
C2
XTAL
32.768
kHz
RTC_XI
RTC_XO
32K
OSC
C1
Real
Time
Clock
(RTC)
Module
RTC_VSS
Isolated RTC
Power Domain
Figure 6-52. Clock Source
160
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.22.2 Real-Time Clock Register Descriptions
Table 6-90. Real-Time Clock (RTC) Registers
BYTE ADDRESS
ACRONYM
0x01C2 3000
SECOND
Seconds Register
0x01C2 3004
MINUTE
Minutes Register
0x01C2 3008
HOUR
0x01C2 300C
DAY
0x01C2 3010
MONTH
REGISTER DESCRIPTION
Hours Register
Day of the Month Register
Month Register
0x01C2 3014
YEAR
Year Register
0x01C2 3018
DOTW
Day of the Week Register
0x01C2 3020
ALARMSECOND
Alarm Seconds Register
0x01C2 3024
ALARMMINUTE
Alarm Minutes Register
0x01C2 3028
ALARMHOUR
Alarm Hours Register
0x01C2 302C
ALARMDAY
Alarm Days Register
0x01C2 3030
ALARMMONTH
0x01C2 3034
ALARMYEAR
0x01C2 3040
CTRL
Control Register
0x01C2 3044
STATUS
Status Register
Alarm Months Register
Alarm Years Register
0x01C2 3048
INTERRUPT
0x01C2 304C
COMPLSB
Interrupt Enable Register
Compensation (LSB) Register
0x01C2 3050
COMPMSB
Compensation (MSB) Register
0x01C2 3054
OSC
0x01C2 3060
SCRATCH0
Scratch 0 (General-Purpose) Register
0x01C2 3064
SCRATCH1
Scratch 1 (General-Purpose) Register
0x01C2 3068
SCRATCH2
Scratch 2 (General-Purpose) Register
0x01C2 306C
KICK0
Kick 0 (Write Protect) Register
0x01C2 3070
KICK1
Kick 1 (Write Protect) Register
Oscillator Register
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
161
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.23 General-Purpose Input/Output (GPIO)
The GPIO peripheral provides general-purpose pins that can be configured as either inputs or outputs.
When configured as an output, a write to an internal register can control the state driven on the output pin.
When configured as an input, the state of the input is detectable by reading the state of an internal
register. In addition, the GPIO peripheral can produce CPU interrupts and EDMA events in different
interrupt/event generation modes. The GPIO peripheral provides generic connections to external devices.
The GPIO pins are grouped into banks of 16 pins per bank (i.e., bank 0 consists of GPIO [0:15]).
The device GPIO peripheral supports the following:
• Up to 144 Pins configurable as GPIO
• External Interrupt and DMA request Capability
– Every GPIO pin may be configured to generate an interrupt request on detection of rising and/or
falling edges on the pin.
– The interrupt requests within each bank are combined (logical or) to create eight unique bank level
interrupt requests.
– The bank level interrupt service routine may poll the INTSTATx register for its bank to determine
which pin(s) have triggered the interrupt.
– GPIO Banks 0, 1, 2, 3, 4, 5, 6, 7 and 8 Interrupts assigned to ARM INTC Interrupt Requests 42, 43,
44, 45, 46, 47, 48, 49 and 50 respectively
– GPIO Banks 0, 1, 2, 3, 4, and 5 are assigned to EDMA events 6, 7, 22, 23, 28, 29, and 29
respectively on Channel Controller 0 and GPIO Banks 6, 7, and 8 are assigned to EDMA events
16, 17, and 18 respectively on Channel Controller 1.
• Set/clear functionality: Firmware writes 1 to corresponding bit position(s) to set or to clear GPIO
signal(s). This allows multiple firmware processes to toggle GPIO output signals without critical section
protection (disable interrupts, program GPIO, re-enable interrupts, to prevent context switching to
anther process during GPIO programming).
• Separate Input/Output registers
• Output register in addition to set/clear so that, if preferred by firmware, some GPIO output signals can
be toggled by direct write to the output register(s).
• Output register, when read, reflects output drive status. This, in addition to the input register reflecting
pin status and open-drain I/O cell, allows wired logic be implemented.
The memory map for the GPIO registers is shown in Table 6-91.
162
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
6.23.1 GPIO Register Description(s)
Table 6-91. GPIO Registers
BYTE ADDRESS
ACRONYM
0x01E2 6000
REV
0x01E2 6004
RESERVED
0x01E2 6008
BINTEN
REGISTER DESCRIPTION
Peripheral Revision Register
Reserved
GPIO Interrupt Per-Bank Enable Register
GPIO Banks 0 and 1
0x01E2 6010
DIR01
0x01E2 6014
OUT_DATA01
GPIO Banks 0 and 1 Direction Register
GPIO Banks 0 and 1 Output Data Register
0x01E2 6018
SET_DATA01
GPIO Banks 0 and 1 Set Data Register
0x01E2 601C
CLR_DATA01
GPIO Banks 0 and 1 Clear Data Register
0x01E2 6020
IN_DATA01
GPIO Banks 0 and 1 Input Data Register
0x01E2 6024
SET_RIS_TRIG01
GPIO Banks 0 and 1 Set Rising Edge Interrupt Register
0x01E2 6028
CLR_RIS_TRIG01
GPIO Banks 0 and 1 Clear Rising Edge Interrupt Register
0x01E2 602C
SET_FAL_TRIG01
GPIO Banks 0 and 1 Set Falling Edge Interrupt Register
0x01E2 6030
CLR_FAL_TRIG01
GPIO Banks 0 and 1 Clear Falling Edge Interrupt Register
0x01E2 6034
INTSTAT01
0x01E2 6038
DIR23
0x01E2 603C
OUT_DATA23
GPIO Banks 2 and 3 Output Data Register
0x01E2 6040
SET_DATA23
GPIO Banks 2 and 3 Set Data Register
0x01E2 6044
CLR_DATA23
GPIO Banks 2 and 3 Clear Data Register
0x01E2 6048
IN_DATA23
GPIO Banks 2 and 3 Input Data Register
GPIO Banks 0 and 1 Interrupt Status Register
GPIO Banks 2 and 3
GPIO Banks 2 and 3 Direction Register
0x01E2 604C
SET_RIS_TRIG23
GPIO Banks 2 and 3 Set Rising Edge Interrupt Register
0x01E2 6050
CLR_RIS_TRIG23
GPIO Banks 2 and 3 Clear Rising Edge Interrupt Register
0x01E2 6054
SET_FAL_TRIG23
GPIO Banks 2 and 3 Set Falling Edge Interrupt Register
0x01E2 6058
CLR_FAL_TRIG23
GPIO Banks 2 and 3 Clear Falling Edge Interrupt Register
0x01E2 605C
INTSTAT23
GPIO Banks 2 and 3 Interrupt Status Register
GPIO Banks 4 and 5
0x01E2 6060
DIR45
0x01E2 6064
OUT_DATA45
GPIO Banks 4 and 5 Output Data Register
0x01E2 6068
SET_DATA45
GPIO Banks 4 and 5 Set Data Register
0x01E2 606C
CLR_DATA45
GPIO Banks 4 and 5 Clear Data Register
0x01E2 6070
IN_DATA45
GPIO Banks 4 and 5 Input Data Register
0x01E2 6074
SET_RIS_TRIG45
GPIO Banks 4 and 5 Set Rising Edge Interrupt Register
0x01E2 6078
CLR_RIS_TRIG45
GPIO Banks 4 and 5 Clear Rising Edge Interrupt Register
0x01E2 607C
SET_FAL_TRIG45
GPIO Banks 4 and 5 Set Falling Edge Interrupt Register
0x01E2 6080
CLR_FAL_TRIG45
GPIO Banks 4 and 5 Clear Falling Edge Interrupt Register
0x01E2 6084
INTSTAT45
Copyright © 2010–2014, Texas Instruments Incorporated
GPIO Banks 4 and 5 Direction Register
GPIO Banks 4 and 5 Interrupt Status Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
163
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
Table 6-91. GPIO Registers (continued)
BYTE ADDRESS
ACRONYM
REGISTER DESCRIPTION
GPIO Banks 6 and 7
0x01E2 6088
DIR67
0x01E2 608C
OUT_DATA67
GPIO Banks 6 and 7 Direction Register
GPIO Banks 6 and 7 Output Data Register
0x01E2 6090
SET_DATA67
GPIO Banks 6 and 7 Set Data Register
0x01E2 6094
CLR_DATA67
GPIO Banks 6 and 7 Clear Data Register
0x01E2 6098
IN_DATA67
GPIO Banks 6 and 7 Input Data Register
0x01E2 609C
SET_RIS_TRIG67
GPIO Banks 6 and 7 Set Rising Edge Interrupt Register
0x01E2 60A0
CLR_RIS_TRIG67
GPIO Banks 6 and 7 Clear Rising Edge Interrupt Register
0x01E2 60A4
SET_FAL_TRIG67
GPIO Banks 6 and 7 Set Falling Edge Interrupt Register
0x01E2 60A8
CLR_FAL_TRIG67
GPIO Banks 6 and 7 Clear Falling Edge Interrupt Register
0x01E2 60AC
INTSTAT67
0x01E2 60B0
DIR8
0x01E2 60B4
OUT_DATA8
GPIO Bank 8 Output Data Register
GPIO Banks 6 and 7 Interrupt Status Register
GPIO Bank 8
164
GPIO Bank 8 Direction Register
0x01E2 60B8
SET_DATA8
GPIO Bank 8 Set Data Register
0x01E2 60BC
CLR_DATA8
GPIO Bank 8 Clear Data Register
0x01E2 60C0
IN_DATA8
GPIO Bank 8 Input Data Register
0x01E2 60C4
SET_RIS_TRIG8
GPIO Bank 8 Set Rising Edge Interrupt Register
0x01E2 60C8
CLR_RIS_TRIG8
GPIO Bank 8 Clear Rising Edge Interrupt Register
0x01E2 60CC
SET_FAL_TRIG8
GPIO Bank 8 Set Falling Edge Interrupt Register
0x01E2 60D0
CLR_FAL_TRIG8
GPIO Bank 8 Clear Falling Edge Interrupt Register
0x01E2 60D4
INTSTAT8
GPIO Bank 8 Interrupt Status Register
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
6.23.2
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
GPIO Peripheral Input/Output Electrical Data/Timing
Table 6-92. Timing Requirements for GPIO Inputs (1) (see Figure 6-53)
1.2V, 1.1V, 1.0V
NO.
MIN
MAX
UNIT
1
tw(GPIH)
Pulse duration, GPn[m] as input high
2C (1)
(2)
ns
2
tw(GPIL)
Pulse duration, GPn[m] as input low
2C (1)
(2)
ns
(1)
The pulse width given is sufficient to generate a CPU interrupt or an EDMA event. However, if a user wants to have the device
recognize the GPIx changes through software polling of the GPIO register, the GPIx duration must be extended to allow the device
enough time to access the GPIO register through the internal bus.
C=SYSCLK4 period in ns.
(2)
Table 6-93. Switching Characteristics Over Recommended Operating Conditions for GPIO Outputs
(see Figure 6-53)
NO.
3
tw(GPOH)
4
(1)
1.2V, 1.1V, 1.0V
PARAMETER
tw(GPOL)
MIN
2C (1)
Pulse duration, GPn[m] as output high
Pulse duration, GPn[m] as output low
2C
MAX
UNIT
(2)
ns
(1) (2)
ns
This parameter value should not be used as a maximum performance specification. Actual performance of back-to-back accesses of the
GPIO is dependent upon internal bus activity.
C=SYSCLK4 period in ns.
(2)
2
1
GPn[m]
as input
4
3
GPn[m]
as output
Figure 6-53. GPIO Port Timing
6.23.3
GPIO Peripheral External Interrupts Electrical Data/Timing
Table 6-94. Timing Requirements for External Interrupts (1) (see Figure 6-54)
1.2V, 1.1V, 1.0V
NO.
1
2
(1)
(2)
MIN
tw(ILOW)
tw(IHIGH)
2C (1)
Width of the external interrupt pulse low
Width of the external interrupt pulse high
2C
MAX
UNIT
(2)
ns
(1) (2)
ns
The pulse width given is sufficient to generate an interrupt or an EDMA event. However, if a user wants to have the device recognize the
GPIO changes through software polling of the GPIO register, the GPIO duration must be extended to allow the device enough time to
access the GPIO register through the internal bus.
C=SYSCLK4 period in ns.
2
1
GPn[m]
as input
Figure 6-54. GPIO External Interrupt Timing
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
165
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.24 Emulation Logic
This section describes the steps to use a third party debugger on the ARM926EJ-S within the device. The
debug capabilities and features for ARM are as shown below.
ARM:
• Basic Debug
– Execution Control
– System Visibility
• Advanced Debug
– Global Start
– Global Stop
• Advanced System Control
– Subsystem reset via debug
– Peripheral notification of debug events
– Cache-coherent debug accesses
• Program Trace
– Program flow corruption
– Code coverage
– Path coverage
– Thread/interrupt synchronization problems
• Data Trace
– Memory corruption
• Timing Trace
– Profiling
• Analysis Actions
– Stop program execution
– Control trace streams
– Generate debug interrupt
– Benchmarking with counters
– External trigger generation
– Debug state machine state transition
– Combinational and Sequential event generation
• Analysis Events
– Program event detection
– Data event detection
– External trigger Detection
– System event detection (i.e. cache miss)
– Debug state machine state detection
• Analysis Configuration
– Application access
– Debugger access
166
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-95. ARM Debug Features
Category
Hardware Feature
Software breakpoint
Availability
Unlimited
Up to 14 HWBPs, including:
Basic Debug
2 precise (1) HWBP inside ARM core which are shared with watch points.
Hardware breakpoint
8 imprecise (1) HWBPs from ETM’s address comparators, which are shared with trace
function, and can be used as watch points.
4 imprecise (1) HWBPs from ICECrusher.
Up to 6 watch points, including:
Watch point
2 from ARM core which is shared with HWBPs and can be associated with a data.
8 from ETM’s address comparators, which are shared with trace function, and
HWBPs.
Analysis
Trace Control
On-chip Trace
Capture
(1)
2 from ARM core which is shared with HWBPs.
Watch point with Data
8 watch points from ETM can be associated with a data comparator, and ETM has
total 4 data comparators.
Counters/timers
3x32-bit (1 cycle ; 2 event)
External Event Trigger In
1
External Event Trigger Out
1
Address range for trace
4
Data qualification for trace
2
System events for trace control
20
Counters/Timers for trace control
2x16-bit
State Machines/Sequencers
1x3-State State Machine
Context/Thread ID Comparator
1
Independent trigger control units
12
Capture depth PC
4k bytes ETB
Capture depth PC + Timing
4k bytes ETB
Application accessible
Y
Precise hardware breakpoints will halt the processor immediately prior to the execution of the selected instruction. Imprecise breakpoints
will halt the processor some number of cycles after the selected instruction depending on device conditions.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
167
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.24.1 JTAG Port Description
The device target debug interface uses the five standard IEEE 1149.1(JTAG) signals (TRST, TCK, TMS,
TDI, and TDO), a return clock (RTCK) due to the clocking requirements of the ARM926EJ-S and
emulation signals EMU0 and EMU1. TRST holds the debug and boundary scan logic in reset when pulled
low (its default state). Since TRST has an internal pull-down resistor, this ensures that at power up the
device functions in its normal (non-test) operation mode if TRST is not connected. Otherwise, TRST
should be driven inactive by the emulator or boundary scan controller. Boundary scan test cannot be
performed while the TRST pin is pulled low.
Table 6-96. JTAG Port Description
PIN
TYPE
NAME
DESCRIPTION
TRST
I
Test Logic Reset
When asserted (active low) causes all test and debug logic in the device to be reset
along with the IEEE 1149.1 interface
TCK
I
Test Clock
This is the test clock used to drive an IEEE 1149.1 TAP state machine and logic.
Depending on the emulator attached to , this is a free running clock or a gated clock
depending on RTCK monitoring.
RTCK
O
Returned Test Clock
TMS
I
Test Mode Select
TDI
I
Test Data Input
Synchronized TCK. Depending on the emulator attached to, the JTAG signals are
clocked from RTCK or RTCK is monitored by the emulator to gate TCK.
Directs the next state of the IEEE 1149.1 test access port state machine
Scan data input to the device
TDO
O
Test Data Output
EMU0
I/O
Emulation 0
Scan data output of the device
Channel 0 trigger + HSRTDX
EMU1
I/O
Emulation 1
Channel 1 trigger + HSRTDX
6.24.2 Scan Chain Configuration Parameters
Table 6-97 shows the TAP configuration details required to configure the router/emulator for this device.
Table 6-97. JTAG Port Description
Router Port ID
Default TAP
TAP Name
Tap IR Length
17
No
Reserved
38
18
No
ARM926
4
19
No
ETB
4
The router is revision C and has a 6-bit IR length.
6.24.3 Initial Scan Chain Configuration
The first level of debug interface that sees the scan controller is the TAP router module. The debugger
can configure the TAP router for serially linking up to 16 TAP controllers or individually scanning one of
the TAP controllers without disrupting the IR state of the other TAPs.
6.24.3.1 Adding TAPS to the Scan Chain
The TAP router must be programmed to add additional TAPs to the scan chain. The following JTAG scans
must be completed to add the ARM926EJ-S to the scan chain.
A Power-On Reset (POR) or the JTAG Test-Logic Reset state configures the TAP router to contain only
the router’s TAP.
168
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Router
TDO
TDI
CLK
Steps
TMS
Router
ARM926EJ-S/ETM
Figure 6-55. Adding ARM926EJ-S to the scan chain
Pre-amble: The device whose data reaches the emulator first is listed first in the board configuration file.
This device is a pre-amble for all the other devices. This device has the lowest device ID.
Post-amble: The device whose data reaches the emulator last is listed last in the board configuration file.
This device is a post-amble for all the other devices. This device has the highest device ID.
• Function : Update the JTAG preamble and post-amble counts.
– Parameter : The IR pre-amble count is '0'.
– Parameter : The IR post-amble count is '0'.
– Parameter : The DR pre-amble count is '0'.
– Parameter : The DR post-amble count is '0'.
– Parameter : The IR main count is '6'.
– Parameter : The DR main count is '1'.
• Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'pause-ir'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is '0x00000007'.
– Parameter : The actual receive data is 'discarded'.
• Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-dr'.
– Parameter : The JTAG destination state is 'pause-dr'.
– Parameter : The bit length of the command is '8'.
– Parameter : The send data value is '0x00000089'.
– Parameter : The actual receive data is 'discarded'.
• Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'pause-ir'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is '0x00000002'.
– Parameter : The actual receive data is 'discarded'.
• Function : Embed the port address in next command.
– Parameter : The port address field is '0x0f000000'.
– Parameter : The port address value is '3'.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
169
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
•
•
•
•
www.ti.com
Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-dr'.
– Parameter : The JTAG destination state is 'pause-dr'.
– Parameter : The bit length of the command is '32'.
– Parameter : The send data value is '0xa2002108'.
– Parameter : The actual receive data is 'discarded'.
Function : Do a send-only all-ones JTAG IR/DR scan.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'run-test/idle'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is 'all-ones'.
– Parameter : The actual receive data is 'discarded'.
Function : Wait for a minimum number of TCLK pulses.
– Parameter : The count of TCLK pulses is '10'.
Function : Update the JTAG preamble and post-amble counts.
– Parameter : The IR pre-amble count is '0'.
– Parameter : The IR post-amble count is '6'.
– Parameter : The DR pre-amble count is '0'.
– Parameter : The DR post-amble count is '1'.
– Parameter : The IR main count is '4'.
– Parameter : The DR main count is '1'.
The initial scan chain contains only the TAP router module. The following steps must be completed in
order to add ETB TAP to the scan chain.
Router
TDI
ARM926EJ-S/ETM
TDO
CLK
Steps
TMS
Router
•
170
ARM926EJ-S/ETM
ETB
Figure 6-56. Adding ETB to the scan chain
Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'pause-ir'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is '0x00000007'.
– Parameter : The actual receive data is 'discarded'.
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
•
•
•
•
•
•
•
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-dr'.
– Parameter : The JTAG destination state is 'pause-dr'.
– Parameter : The bit length of the command is '8'.
– Parameter : The send data value is '0x00000089'.
– Parameter : The actual receive data is 'discarded'.
Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'pause-ir'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is '0x00000002'.
– Parameter : The actual receive data is 'discarded'.
Function : Embed the port address in next command.
– Parameter : The port address field is '0x0f000000'.
– Parameter : The port address value is '3'.
Function : Do a send-only JTAG IR/DR scan.
– Parameter : The route to JTAG shift state is 'shortest transition'.
– Parameter : The JTAG shift state is 'shift-dr'.
– Parameter : The JTAG destination state is 'pause-dr'.
– Parameter : The bit length of the command is '32'.
– Parameter : The send data value is '0xa3302108'.
– Parameter : The actual receive data is 'discarded'.
Function : Do a send-only all-ones JTAG IR/DR scan.
– Parameter : The JTAG shift state is 'shift-ir'.
– Parameter : The JTAG destination state is 'run-test/idle'.
– Parameter : The bit length of the command is '6'.
– Parameter : The send data value is 'all-ones'.
– Parameter : The actual receive data is 'discarded'.
Function : Wait for a minimum number of TCLK pulses.
– Parameter : The count of TCLK pulses is '10'.
Function : Update the JTAG preamble and post-amble counts.
– Parameter : The IR pre-amble count is '0'.
– Parameter : The IR post-amble count is '6 + 4'.
– Parameter : The DR pre-amble count is '0'.
– Parameter : The DR post-amble count is '1 + 1'.
– Parameter : The IR main count is '4'.
– Parameter : The DR main count is '1'.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
171
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
6.24.4 IEEE 1149.1 JTAG
The JTAG
(1)
interface is used for BSDL testing and emulation of the device.
The device requires that both TRST and RESET be asserted upon power up to be properly initialized.
While RESET initializes the device, TRST initializes the device's emulation logic. Both resets are required
for proper operation.
While both TRST and RESET need to be asserted upon power up, only RESET needs to be released for
the device to boot properly. TRST may be asserted indefinitely for normal operation, keeping the JTAG
port interface and device's emulation logic in the reset state.
TRST only needs to be released when it is necessary to use a JTAG controller to debug the device or
exercise the device's boundary scan functionality. Note: TRST is synchronous and must be clocked by
TCK; otherwise, the boundary scan logic may not respond as expected after TRST is asserted.
RESET must be released only in order for boundary-scan JTAG to read the variant field of IDCODE
correctly. Other boundary-scan instructions work correctly independent of current state of RESET.
For maximum reliability, the device includes an internal pulldown (IPD) on the TRST pin to ensure that
TRST will always be asserted upon power up and the device's internal emulation logic will always be
properly initialized.
JTAG controllers from Texas Instruments actively drive TRST high. However, some third-party JTAG
controllers may not drive TRST high but expect the use of a pullup resistor on TRST.
When using this type of JTAG controller, assert TRST to initialize the device after powerup and externally
drive TRST high before attempting any emulation or boundary scan operations.
6.24.4.1
JTAG Peripheral Register Description(s) - JTAG ID Register (DEVIDR0)
Table 6-98. DEVIDR0 Register
(1)
BYTE ADDRESS
ACRONYM
0x01C1 4018
DEVIDR0
REGISTER DESCRIPTION
COMMENTS
Read-only. Provides 32-bit
JTAG ID of the device.
JTAG Identification Register
IEEE Standard 1149.1-1990 Standard-Test-Access Port and Boundary Scan Architecture.
The JTAG ID register is a read-only register that identifies to the customer the JTAG/Device ID. For the
device, the JTAG ID register resides at address location 0x01C1 4018. The register hex value for each
silicon revision is
• 0x1B7D 102F for silicon revision 2.0
For the actual register bit names and their associated bit field descriptions, see Figure 6-57 and Table 699.
Figure 6-57. JTAG ID (DEVIDR0) Register Description - Register Value
31-28
VARIANT (4-Bit)
R-xxxx
27-12
PART NUMBER (16-Bit)
R-1011 0111 1101 0001
11-1
MANUFACTURER (11-Bit)
R-0000 0010 111
0
LSB
R-1
LEGEND: R = Read, W = Write, n = value at reset
172
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Table 6-99. JTAG ID Register Selection Bit Descriptions
BIT
NAME
31:28
VARIANT
DESCRIPTION
Variant (4-Bit) value
27:12
PART NUMBER
Part Number (16-Bit) value
11-1
MANUFACTURER
Manufacturer (11-Bit) value
0
LSB
6.24.4.2
LSB. This bit is read as a "1".
JTAG Test-Port Electrical Data/Timing
Table 6-100. Timing Requirements for JTAG Test Port (see Figure 6-58)
1.2V
No.
MIN
1.1V
MAX
MIN
1.0V
MAX
MIN
MAX
UNIT
1
tc(TCK)
Cycle time, TCK
40
50
66.6
ns
2
tw(TCKH)
Pulse duration, TCK high
16
20
26.6
ns
3
tw(TCKL)
Pulse duration, TCK low
16
20
26.6
ns
4
tc(RTCK)
Cycle time, RTCK
40
50
66.6
ns
5
tw(RTCKH)
Pulse duration, RTCK high
16
20
26.6
ns
6
tw(RTCKL)
Pulse duration, RTCK low
16
20
26.6
ns
7
tsu(TDIV-RTCKH) Setup time, TDI/TMS/TRST valid before RTCK high
4
4
4
ns
8
th(RTCKH-TDIV)
4
6
8
ns
Hold time, TDI/TMS/TRST valid after RTCK high
Table 6-101. Switching Characteristics Over Recommended Operating Conditions for JTAG Test Port
(see Figure 6-58)
No.
9
1.2V
PARAMETER
td(RTCKL-TDOV)
MIN
Delay time, RTCK low to TDO valid
1.1V
MAX
18
MIN
1.0V
MAX
23
MIN
MAX
31
UNIT
ns
1
2
3
TCK
4
5
6
RTCK
9
TDO
8
7
TDI/TMS/TRST
Figure 6-58. JTAG Test-Port Timing
6.24.5 JTAG 1149.1 Boundary Scan Considerations
To use boundary scan, the following sequence should be followed:
• Execute a valid reset sequence and exit reset
• Wait at least 6000 OSCIN clock cycles
• Enter boundary scan mode using the JTAG pins
No specific value is required on the EMU0 and EMU1 pins for boundary scan testing. If TRST is not driven
by the boundary scan tool or tester, TRST should be externally pulled high during boundary scan testing.
Copyright © 2010–2014, Texas Instruments Incorporated
Peripheral Information and Electrical Specifications
Submit Documentation Feedback
Product Folder Links: AM1802
173
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
www.ti.com
7 Device and Documentation Support
7.1
7.1.1
Device Support
Development Support
TI offers an extensive line of development tools for the device platform, including tools to evaluate the
performance of the processors, generate code, develop algorithm implementations, and fully integrate and
debug software and hardware modules. The tool's support documentation is electronically available within
the Code Composer Studio™ Integrated Development Environment (IDE).
The following products support development of the device applications:
Software Development Tools:
Code Composer Studio™ Integrated Development Environment (IDE): including Editor
C/C++/Assembly Code Generation, and Debug plus additional development tools
Hardware Development Tools:
Extended Development System (XDS™) Emulator
For a complete listing of development-support tools for the device, visit the Texas Instruments web site
on the Worldwide Web at www.ti.com uniform resource locator (URL). For information on pricing and
availability, contact the nearest TI field sales office or authorized distributor.
7.1.2
Device and Development-Support Tool Nomenclature
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all
AM1xxx processors and support tools. Each commercial AM1xxx platform member has one of three
prefixes: X, P, or null (no prefix). Texas Instruments recommends two of three possible prefix designators
for its support tools: TMDX and TMDS. These prefixes represent evolutionary stages of product
development from engineering prototypes (TMDX) through fully qualified production devices/tools (TMDS).
Device development evolutionary flow:
X
Experimental device that is not necessarily representative of the final device's electrical
specifications.
P
Final silicon die that conforms to the device's electrical specifications but has not completed
quality and reliability verification.
NULL
Fully-qualified production device.
Support tool development evolutionary flow:
TMDX
Development-support product that has not yet completed Texas Instruments internal
qualification testing.
TMDS
Fully qualified development-support product.
X and P devices and TMDX development-support tools are shipped against the following disclaimer:
"Developmental product is intended for internal evaluation purposes."
NULL devices and TMDS development-support tools have been characterized fully, and the quality and
reliability of the device have been demonstrated fully. TI's standard warranty applies.
Predictions show that prototype devices (X or P) have a greater failure rate than the standard production
devices. Texas Instruments recommends that these devices not be used in any production system
because their expected end-use failure rate still is undefined. Only qualified production devices are to be
used.
Figure 7-1 provides a legend for reading the complete device.
174
Device and Documentation Support
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
AM1802
www.ti.com
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
( )
AM1802
ZWT
( )
3
DEVICE SPEED RANGE
3 = 300 MHz
PREFIX
X = Experimental Device
P = Prototype Device
Blank = Production Device
TEMPERATURE RANGE (JUNCTION)
D = -40°C to 90°C (Industrial Grade)
DEVICE
SILICON REVISION
PACKAGE TYPE(A)
(B)
ZCE = 361-Pin Plastic BGA, with Pb-free
Soldered Balls [Green]; 0.65-mm Ball Pitch
ZWT = 361-Pin Plastic BGA, with Pb-free
Soldered Balls [Green]; 0.80-mm Ball Pitch
B = Silicon Revision 2.0 or 2.1
E = Silicon Revision 2.3
A.
B.
( )
BGA = Ball Grid Array
Parts marked revision B are silicon revision 2.1 if '21' is marked on the package and silicon revision 2.0 if there is no
'21' marking.
Figure 7-1. Device Nomenclature
7.2
Documentation Support
The following documents describe the device. Copies of these documents are available on the Internet at
www.ti.com. Tip: Enter the literature number in the search box provided at www.ti.com.
Reference Guides
SPRUGX5
AM1802 ARM Microprocessor System Reference Guide. Describes the AM1802 ARM
microprocessor (MPU) system.
SPRUFU0
7.3
AM17x/AM18x ARM Microprocessor Peripherals Overview Reference Guide. Provides
an overview and briefly describes the peripherals available on the AM17x/AM18x ARM
Microprocessors.
Community Resources
The following links connect to TI community resources. Linked contents are provided "AS IS" by the
respective contributors. They do not constitute TI specifications and do not necessarily reflect TI's views;
see TI's Terms of Use.
TI E2E™ Online Community TI's Engineer-to-Engineer (E2E) Community. Created to foster
collaboration among engineers. At e2e.ti.com, you can ask questions, share knowledge,
explore ideas and help solve problems with fellow engineers.
TI Embedded Processors Wiki Texas Instruments Embedded Processors Wiki. Established to help
developers get started with Embedded Processors from Texas Instruments and to foster
innovation and growth of general knowledge about the hardware and software surrounding
these devices.
7.4
Trademarks
E2E is a trademark of Texas Instruments.
ARM926EJ-S, ICE-RT, ARM9 are trademarks of ARM Ltd.
ARM, Thumb, Jazelle are registered trademarks of ARM Ltd.
Windows is a registered trademark of Microsoft.
I2C Bus is a trademark of Phillips.
All other trademarks are the property of their respective owners.
Device and Documentation Support
Copyright © 2010–2014, Texas Instruments Incorporated
Submit Documentation Feedback
Product Folder Links: AM1802
175
AM1802
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
7.5
www.ti.com
Electrostatic Discharge Caution
This integrated circuit can be damaged by ESD. Texas Instruments recommends that all integrated circuits be handled with
appropriate precautions. Failure to observe proper handling and installation procedures can cause damage.
ESD damage can range from subtle performance degradation to complete device failure. Precision integrated circuits may be more
susceptible to damage because very small parametric changes could cause the device not to meet its published specifications.
7.6
Glossary
SLYZ022 — TI Glossary.
This glossary lists and explains terms, acronyms and definitions.
8 Mechanical Packaging and Orderable Information
This section describes the packaging options, materials, thermal and mechanical parameters.
8.1
Thermal Data for ZCE Package
The following table shows the thermal resistance characteristics for the PBGA-ZCE mechanical package.
Table 8-1. Thermal Resistance Characteristics (PBGA Package) [ZCE]
NO.
°C/W (1)
AIR FLOW (m/s) (2)
1
RΘJC
Junction-to-case
7.6
N/A
2
RΘJB
Junction-to-board
11.3
N/A
3
RΘJA
Junction-to-free air
23.9
0.00
4
21.2
0.50
5
20.3
1.00
6
RΘJMA
Junction-to-moving air
19.5
2.00
7
18.6
4.00
8
0.2
0.00
0.3
0.50
0.3
1.00
11
0.4
2.00
12
0.5
4.00
13
11.2
0.00
14
11.1
0.50
11.1
1.00
16
11.0
2.00
17
10.9
4.00
9
10
15
(1)
(2)
176
PsiJT
PsiJB
Junction-to-package top
Junction-to-board
These measurements were conducted in a JEDEC defined 2S2P system and will change based on environment as well as application.
For more information, see these EIA/JEDEC standards - EIA/JESD51-2, Integrated Circuits Thermal Test Method Environment
Conditions - Natural Convection (Still Air) and JESD51-7, High Effective Thermal Conductivity Test Board for Leaded Surface Mount
Packages. Power dissipation of 500 mW and ambient temp of 70C assumed. PCB with 2oz (70um) top and bottom copper thickness
and 1.5oz (50um) inner copper thickness
m/s = meters per second
Mechanical Packaging and Orderable Information
Submit Documentation Feedback
Product Folder Links: AM1802
Copyright © 2010–2014, Texas Instruments Incorporated
AM1802
www.ti.com
8.2
SPRS710E – NOVEMBER 2010 – REVISED MARCH 2014
Thermal Data for ZWT Package
The following table shows the thermal resistance characteristics for the PBGA-ZWT mechanical package.
Table 8-2. Thermal Resistance Characteristics (PBGA Package) [ZWT]
°C/W (1)
NO.
AIR FLOW (m/s) (2)
1
RΘJC
Junction-to-case
7.3
N/A
2
RΘJB
Junction-to-board
12.4
N /A
3
RΘJA
Junction-to-free air
23.7
0.00
4
21.0
0.50
5
20.1
1.00
6
RΘJMA
Junction-to-moving air
19.3
2.00
7
18.4
4.00
8
0.2
0.00
9
0.3
0.50
10
0.3
1.00
11
0.4
2.00
12
0.5
4.00
13
12.3
0.00
14
12.2
0.50
12.1
1.00
16
12.0
2.00
17
11.9
4.00
15
(1)
(2)
8.3
PsiJT
PsiJB
Junction-to-package top
Junction-to-board
These measurements were conducted in a JEDEC defined 2S2P system and will change based on environment as well as application.
For more information, see these EIA/JEDEC standards - EIA/JESD51-2, Integrated Circuits Thermal Test Method Environment
Conditions - Natural Convection (Still Air) and JESD51-7, High Effective Thermal Conductivity Test Board for Leaded Surface Mount
Packages. Power dissipation of 1W and ambient temp of 70C assumed. PCB with 2oz (70um) top and bottom copper thickness and
1.5oz (50um) inner copper thickness
m/s = meters per second
Packaging Information
The following packaging information and addendum reflect the most current data available for the
designated device(s). This data is subject to change without notice and without revision of this document.
Copyright © 2010–2014, Texas Instruments Incorporated
Mechanical Packaging and Orderable Information
Submit Documentation Feedback
Product Folder Links: AM1802
177
PACKAGE OPTION ADDENDUM
www.ti.com
3-Jul-2016
PACKAGING INFORMATION
Orderable Device
Status
(1)
Package Type Package Pins Package
Drawing
Qty
Eco Plan
Lead/Ball Finish
MSL Peak Temp
(2)
(6)
(3)
Op Temp (°C)
Device Marking
(4/5)
AM1802BZCED3
OBSOLETE
NFBGA
ZCE
361
TBD
Call TI
Call TI
-40 to 90
AM1802B
ZCE
D300
AM1802BZWTD3
OBSOLETE
NFBGA
ZWT
361
TBD
Call TI
Call TI
-40 to 90
AM1802B
ZWT
D300
AM1802EZCED3
ACTIVE
NFBGA
ZCE
361
160
Green (RoHS
& no Sb/Br)
SNAGCU
Level-3-260C-168 HR
-40 to 90
AM1802E
ZCE
D300
AM1802EZWTD3
ACTIVE
NFBGA
ZWT
361
90
Green (RoHS
& no Sb/Br)
SNAGCU
Level-3-260C-168 HR
-40 to 90
AM1802E
ZWT
D300
(1)
The marketing status values are defined as follows:
ACTIVE: Product device recommended for new designs.
LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect.
NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design.
PREVIEW: Device has been announced but is not in production. Samples may or may not be available.
OBSOLETE: TI has discontinued the production of the device.
(2)
Eco Plan - The planned eco-friendly classification: Pb-Free (RoHS), Pb-Free (RoHS Exempt), or Green (RoHS & no Sb/Br) - please check http://www.ti.com/productcontent for the latest availability
information and additional product content details.
TBD: The Pb-Free/Green conversion plan has not been defined.
Pb-Free (RoHS): TI's terms "Lead-Free" or "Pb-Free" mean semiconductor products that are compatible with the current RoHS requirements for all 6 substances, including the requirement that
lead not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, TI Pb-Free products are suitable for use in specified lead-free processes.
Pb-Free (RoHS Exempt): This component has a RoHS exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between
the die and leadframe. The component is otherwise considered Pb-Free (RoHS compatible) as defined above.
Green (RoHS & no Sb/Br): TI defines "Green" to mean Pb-Free (RoHS compatible), and free of Bromine (Br) and Antimony (Sb) based flame retardants (Br or Sb do not exceed 0.1% by weight
in homogeneous material)
(3)
MSL, Peak Temp. - The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature.
(4)
There may be additional marking, which relates to the logo, the lot trace code information, or the environmental category on the device.
(5)
Multiple Device Markings will be inside parentheses. Only one Device Marking contained in parentheses and separated by a "~" will appear on a device. If a line is indented then it is a continuation
of the previous line and the two combined represent the entire Device Marking for that device.
Addendum-Page 1
Samples
PACKAGE OPTION ADDENDUM
www.ti.com
3-Jul-2016
(6)
Lead/Ball Finish - Orderable Devices may have multiple material finish options. Finish options are separated by a vertical ruled line. Lead/Ball Finish values may wrap to two lines if the finish
value exceeds the maximum column width.
Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information
provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and
continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.
TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release.
In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis.
Addendum-Page 2
IMPORTANT NOTICE
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, enhancements, improvements and other
changes to its semiconductor products and services per JESD46, latest issue, and to discontinue any product or service per JESD48, latest
issue. Buyers should obtain the latest relevant information before placing orders and should verify that such information is current and
complete. All semiconductor products (also referred to herein as “components”) are sold subject to TI’s terms and conditions of sale
supplied at the time of order acknowledgment.
TI warrants performance of its components to the specifications applicable at the time of sale, in accordance with the warranty in TI’s terms
and conditions of sale of semiconductor products. Testing and other quality control techniques are used to the extent TI deems necessary
to support this warranty. Except where mandated by applicable law, testing of all parameters of each component is not necessarily
performed.
TI assumes no liability for applications assistance or the design of Buyers’ products. Buyers are responsible for their products and
applications using TI components. To minimize the risks associated with Buyers’ products and applications, Buyers should provide
adequate design and operating safeguards.
TI does not warrant or represent that any license, either express or implied, is granted under any patent right, copyright, mask work right, or
other intellectual property right relating to any combination, machine, or process in which TI components or services are used. Information
published by TI regarding third-party products or services does not constitute a license to use such products or services or a warranty or
endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual property of the
third party, or a license from TI under the patents or other intellectual property of TI.
Reproduction of significant portions of TI information in TI data books or data sheets is permissible only if reproduction is without alteration
and is accompanied by all associated warranties, conditions, limitations, and notices. TI is not responsible or liable for such altered
documentation. Information of third parties may be subject to additional restrictions.
Resale of TI components or services with statements different from or beyond the parameters stated by TI for that component or service
voids all express and any implied warranties for the associated TI component or service and is an unfair and deceptive business practice.
TI is not responsible or liable for any such statements.
Buyer acknowledges and agrees that it is solely responsible for compliance with all legal, regulatory and safety-related requirements
concerning its products, and any use of TI components in its applications, notwithstanding any applications-related information or support
that may be provided by TI. Buyer represents and agrees that it has all the necessary expertise to create and implement safeguards which
anticipate dangerous consequences of failures, monitor failures and their consequences, lessen the likelihood of failures that might cause
harm and take appropriate remedial actions. Buyer will fully indemnify TI and its representatives against any damages arising out of the use
of any TI components in safety-critical applications.
In some cases, TI components may be promoted specifically to facilitate safety-related applications. With such components, TI’s goal is to
help enable customers to design and create their own end-product solutions that meet applicable functional safety standards and
requirements. Nonetheless, such components are subject to these terms.
No TI components are authorized for use in FDA Class III (or similar life-critical medical equipment) unless authorized officers of the parties
have executed a special agreement specifically governing such use.
Only those TI components which TI has specifically designated as military grade or “enhanced plastic” are designed and intended for use in
military/aerospace applications or environments. Buyer acknowledges and agrees that any military or aerospace use of TI components
which have not been so designated is solely at the Buyer's risk, and that Buyer is solely responsible for compliance with all legal and
regulatory requirements in connection with such use.
TI has specifically designated certain components as meeting ISO/TS16949 requirements, mainly for automotive use. In any case of use of
non-designated products, TI will not be responsible for any failure to meet ISO/TS16949.
Products
Applications
Audio
www.ti.com/audio
Automotive and Transportation
www.ti.com/automotive
Amplifiers
amplifier.ti.com
Communications and Telecom
www.ti.com/communications
Data Converters
dataconverter.ti.com
Computers and Peripherals
www.ti.com/computers
DLP® Products
www.dlp.com
Consumer Electronics
www.ti.com/consumer-apps
DSP
dsp.ti.com
Energy and Lighting
www.ti.com/energy
Clocks and Timers
www.ti.com/clocks
Industrial
www.ti.com/industrial
Interface
interface.ti.com
Medical
www.ti.com/medical
Logic
logic.ti.com
Security
www.ti.com/security
Power Mgmt
power.ti.com
Space, Avionics and Defense
www.ti.com/space-avionics-defense
Microcontrollers
microcontroller.ti.com
Video and Imaging
www.ti.com/video
RFID
www.ti-rfid.com
OMAP Applications Processors
www.ti.com/omap
TI E2E Community
e2e.ti.com
Wireless Connectivity
www.ti.com/wirelessconnectivity
Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265
Copyright © 2016, Texas Instruments Incorporated
Similar pages