UT200SpW4RTR SpaceWire 4-Port Router (4/13)

Standard Products
UT200SpW4RTR 4-Port SpaceWire Router
Datasheet
April 8, 2013
www.aeroflex.com/SpaceWire
FEATURES
 Operational environment:
- Total-dose: 100 krad(Si)
- Latchup immune (LET >100 MeV-cm2/mg)
 Packaging options:
- 255-lead CLGA
- 255-lead CBGA
- 255-lead CCGA
 Standard Microcircuit Drawing 5962-08244
-QML Q and QML V
 4-Port SpaceWire Router with a system interface port for a
total of 5 ports
 Data rates up to 200Mbps full duplex on all 4 SpaceWire
ports
 Compliant to the SpaceWire Standard, Document Number
ECSS-E-ST-50-12C (http://www.ecss.nl/)
 Group adaptive routing for 2 ports when using logical
addressing
 Replicated lookup tables for each receive port no arbitration
is necessary when accessing lookup table data
 Host (FIFO) clock max frequency: 50MHz for 200Mbps
-9 by 128 receive and transmit FIFOs on each port
 Non-blocking cross-point switch connecting any receive
port to any transmit port
 Path and logical addressing support
 Internal status/error registers accessible via the
configuration protocol
 Routing is table accessible via the configuration protocol
which holds the logical address to transmit port mapping
 Any SpaceWire port can READ or WRITE to the
configuration port, along with the host processor, by
utilizing the configuration protocol
 Internal control logic to support the operation of arbitration
and group adaptive routing. (Group Adaptive routing for 2
ports)
 In external time-code interface comprising TICK_IN,
TICK_OUT and current tick count value
 System Interface Features
- Low-power FIFO memories
- Clocked PUSH and POP interfaces
- Hard set Full/Almost Full/Empty/Almost Empty flags
- SpaceWire In/out ports are controlled by separate clock
and enable signals. Transmit FIFO input port is controlled
by a free-running clock (HOST_CLK).
 Cold spare on LVDS pins
 3.3V I/O Supply (VDD)
INTRODUCTION
The Aeroflex UT200SpW4RTR is a 4-Port Router capable of
operating at data rates from 10 to 200 Mbps. A parallel host
interface is also provided for a total of 5 ports on the router. The
router implements a non-blocking crosspoint switch and a
"Round Robin" arbitration scheme allowing all 5 receive ports
access to all 5 transmit ports.
Path and logical addressing are supported (Per ECSS-E-ST-5012C) and lookup table storage is replicated 5 times giving each
receive port a dedicated block of memory for logical addressing.
Configuration of lookup tables, as well as access to internal
registers may occur through any of the 5 ports using a simple
configuration protocol. A group adaptive function is also
provided for 2 ports when implementing logical addressing.
Each of the four SpaceWire ports is capable of running at an
independent speed. This allows for systems to be configured
with nodes/instruments running at different speeds. If one
node/instrument does not need to be sampled as often as another
a more efficient power management scheme can be achieved.
The physical interfaces can be either a LVDS or LVCMOS
interface. This allows the user to select the interface that best
meets system and reliability requirements. The LVDS interface
can directly connect and drive up to 10 meters of cable. The
LVCMOS interface must interface to LVDS drivers and
receivers.
Independent look up table memory space is provided for each
port. Having separate look up tables reduces bottle necks by
allowing each port access to a non shared lookup table.
 2.5V Core Supply (VDDC)
 ESD rating Class 2 2000 V for LVDS pins
 Temperature range: -40°C to +105°C
1
Figure 1. UT200SpW4RTR SpaceWire 4-Port Router Block Diagram
2
RX4_S
RX4_D
RX4_S_LV 2
TX4_D
TX4_S
RX4_D_LV 2
TX4_D_LV 2
TX4_S_LV 2
RX3_S
RX3_D
RX3_S_LV 2
TX3_D
TX3_S
RX3_D_LV 2
TX3_D_LV 2
TX3_S_LV 2
RX2_S
RX2_D
RX2_S_LV 2
TX2_D
TX2_S
RX2_D_LV 2
TX2_D_LV 2
TX2_S_LV 2
RX1_S
RX1_D
RX1_S_LV 2
TX1_D
TX1_S
RX1_D_LV 2
TX1_D_LV 2
TX1_S_LV 2
LVCMOS
Phy
Interface
LVDS
LVCMOS
Phy
Interface
LVDS
LVCMOS
Phy
Interface
LVDS
LVCMOS
Phy
Interface
LVDS
RX_FIFO
RX_FIFO
Init
Rc_int
Init
RC_FIFO
Aeroflex SpaceWire
LPH Core
Tx_int
TX_FIFO
Port 4
RX_FIFO
Aeroflex SpaceWire
LPH Core
Tx_int
TX_FIFO
Rx_int
Port 3
Rx_int
Init
Aeroflex SpaceWire
LPH Core
Tx_int
TX_FIFO
Port 2
Rx_int
Init
TX_FIFO
Aeroflex SpaceWire
LPH Core
Tx_int
Port 1
rd_Logic_4
arbiter
wr_Logic_4
rd_Logic_3
arbiter
wr_Logic_3
rd_Logic_2
arbiter
wr_Logic_2
rd_Logic_1
arbiter
wr_Logic_1
Ram Block
look_up_1
arbiter
wr_Logic_ext
rd_Logic_ext
Port_addr_ext
Ram Block
look_up_ext
Time Code
Manager
Read
Capable
System
Receive
FIFO
Write
Capable
System
Transmit
FIFO
we
din
we
din
Look Up Table
Write
8
Configuration
Port_addr_4
Port_addr_3
Port_addr_2
Port_addr_1
Aeroflex UT200SpW4RTR
4 Port SpaceWire Router
9
TICK_IN
TICK_OUT
TIME_CODE 8
RX_EMPTY
RX_AEMPTY
RX_POP
RX_DATA 9
HOST_CLK
TX_PUSH
TX_FULL
TX_AFULL
TX_DATA
TXCLK_IN_3
TXCLK_IN_4
TX_DIV 5
TXCLK_IN_1
TXCLK_IN_2
APPLICATIONS INFORMATION
Aeroflex Colorado Springs' UT200SpW4RTR 4-Port Router
offers a highly adaptable solution for a distributed network. The
number of ports allows for a very reliable system where multiple nodes can be connected together to gain performance. Using
the non-blocking cross-point switch the shortest path between
nodes can be configured. Each node can transmit and receive
packets and each connection between nodes can carry multiple
packets. The 4-Port Router is full duplex on each of the ports.
The router also allows for a small Centralized network configuration.
System
Transmit
FIFO
Write
Capable
System
Receive
FIFO
Read
Capable
100000001
EEP
RX_POP
RX_EMPTY
RX_AEMPTY
HOST_CLK
1.2.2 System Port Receive FIFO
A second 9 bit wide by 128 deep FIFO is provided for the user
interface to receive data. Data received from one of the
SpaceWire ports is read from the receive FIFO on the rising
edge of the HOST clock when RX_POP is “Low”. This FIFO
is first Byte Fall Through.
1.3 SpaceWire Physical Interface
The UT200SpW4RTR provides two different physical interfaces to the user. The first is on chip LVDS that can drive cable
lengths up to 10 meters. The second is single ended LVCMOS
in the event the user wishes to use discrete LVDS drivers and
receivers. Examples of these two configurations are shown in
Figures 4 and 5. In Figure 5 the external LVDS devices are
Aeroflex quad drivers and receivers.
Table 1. EOP and EEP Handling
EOP
RX_DATA 9
Figure 3. System Receive Interface
1.2 System Interface
The UT200SpW4RTR 4-Port Router provides a system
interface to the user in the form of Receive and Transmit
FIFO's. Each FIFO is 9 bits wide by 128 deep. Data format for
the FIFO is 8-bits of data [7:0] and one bit [8] to indicate when
an EOP or an EEP has been received. A EOP is an End-ofPacket marker and is used to indicate that a packet of data has
been successfully sent. An EEP is an Error-End-of-Packet and
signals that there was an error with in the packet. Table 1 shows
the EOP/EEP handling.
100000000
HOST_CLK
1.2.1 System Port Transmit FIFO
The Transmit FIFO is write capable by the user and is 9 bits
wide by 128 deep. Full (TX_FULL) and Almost Full
(TX_AFULL) flags are provided to help the user prevent overwriting the FIFO. Data will be written into the FIFO on the rising edge of the clock when TX_PUSH is “Low”. The levels of
the Almost Full flags can not be changed by the user.
1.1.1 Port Initialization
All four ports follow the initialization procedure as defined
in ECSS-E-ST-50-12C. Following are the key components of
the initialization process. After a reset or disconnect the link
will initiate operation at a signaling rate of 10 Mbps, ±1 Mbps.
This provides the system with a common data rate while the
system is checked for proper operation. Once the operation of
the system is validated each of the four ports will switch to the
specified transmit data rate. Each of the four ports must be capable of running at 10 ± 1 Mbps.
Character Type
9
TX_PUSH
TX_FULL
TX_AFULL
Figure 2. System Transmit Interface
1.0 INTERFACES
1.1 SpaceWire
The UT200SpW4RTR 4-Port Router provides four
ECSS-E-ST-50-12C compliant node interfaces. Each node contains a transmit and receive FIFO used to buffer data being sent
within the network. The transmit FIFO takes data from a host
system and transmits it to a node. Where as the receive FIFO
accepts data from a node and passes it to the host system. A host
system is what the node is connected to and can be a microprocessor, computer, sensor or memory unit and is responsible for
data management.
9-bit Data
TX_DATA
3
SpaceWire Bus
TX1_D_LV
TX1_S_LV
RX1_D_LV
RX1_S_LV
2
2
2
2
LVDS
Port 1
TX1_D
TX1_S
RX1_D
RX1_S
SpaceWire Bus
TX2_D_LV
TX2_S_LV
RX2_D_LV
RX2_S_LV
LVCMOS
2
2
2
2
LVDS
Port 2
TX2_D
TX2_S
RX2_D
RX2_S
SpaceWire Bus
TX3_D_LV
TX3_S_LV
RX3_D_LV
RX3_S_LV
LVCMOS
2
2
2
2
LVDS
Port 3
TX3_D
TX3_S
RX3_D
RX3_S
SpaceWire Bus
TX4_D_LV
TX4_S_LV
RX4_D_LV
RX4_S_LV
UT200SpW4RTR
4 Port SpaceWire
Router
LVCMOS
2
2
2
2
LVDS
Port 4
TX4_D
TX4_S
RX4_D
RX4_S
LVCMOS
Figure 4. 4-Port Router On Chip LVDS Interface
4
TX1_D_LV
TX1_S_LV
RX1_D_LV
RX1_S_LV
UT54LVDS031LV
SpaceWire Bus
UT54LVDS032LV
SpaceWire Bus
UT54LVDS032LV
SpaceWire Bus
UT54LVDS032LV
UT54LVDS031LV
UT54LVDS032LV
UT200SpW4RTR
4 Port SpaceWire
Router
LVCMOS
2
2
2
2
LVDS
Port 2
LVCMOS
2
2
2
2
LVDS
Port 3
TX3_D
TX3_S
RX3_D
RX3_S
TX4_D_LV
TX4_S_LV
RX4_D_LV
RX4_S_LV
SpaceWire Bus
Port 1
TX2_D
TX2_S
RX2_D
RX2_S
TX3_D_LV
TX3_S_LV
RX3_D_LV
RX3_S_LV
UT54LVDS031LV
LVDS
TX1_D
TX1_S
RX1_D
RX1_S
TX2_D_LV
TX2_S_LV
RX2_D_LV
RX2_S_LV
UT54LVDS031LV
2
2
2
2
LVCMOS
2
2
2
2
LVDS
Port 4
TX4_D
TX4_S
RX4_D
RX4_S
LVCMOS
Figure 5. 4-Port Router External LVDS Interface
5
1.4 Power Requirements
The four-port router shall operate with a 2.5V core voltage supply and an I/O supply set at 3.3V.
Table 2. Power and Ground Pins
Pin Name
Pin Number
Description
VDD
T11, T5, N8, P11, N9, P14, N13, M7, K15, M10, J4, K3, J13, I/O and LVDS supply
G3, H4, E7, H13, E10, G13, C11, G15, C14, D8, A5, D9, D13, voltage
A11
VDDC
T8, R1, P8, N4, M15, L6, L11, K5, K12, H1, G5, G12, F6, F11, Core supply voltage
E15, D4, C8, B1, A8
VSS
T1, N14, T14, L5, R8, L13, R11, L15, P3, J15, M1, H15, M5, I/O and Core supply ground
F5, M8, F13, M9, F15, M12, D14, L7, L8, L9, L10, K6, K7,
K8, K9, K10, K11,J1, J5, J6, J7, J8, J9, J10, J11, J12, H5, H6,
H7, H8, H9, H10, H11, H12, G6, G7, G8, G9, G10, G11, F7,
F8, F9, F10, E1, E5, E8, E9, E12, C3, B8, B11, A2, A14, R7,
P5, P6, P7, P9, P10, N5, N6, N7, N10, N11, N12, M4, M6,
M11, L4, K4, H2, G4, F4
N/C
P12, R14, P13, M13, L12, K13, F12, E11, E13, C10, C9, C7, No Connect, Pins must be
L3, M3, N2, E4, E6, D5, D6, D7, D10, D11, D12, C12, C13, left floating
B14
LVDS drivers is a constant current source that delivers a nominal current of ~3.5mA through the 100termination resistor.
Assuming that the outputs are shorted, the 3.5mA flows
through the short between the outputs. If LVDS receiver
inputs are left floating, there is a fail safe mode on the
Receiver that will force the outputs to a high state. The
receiver fail-safe conditions are:
1.4.1 Power Sequencing
To avoid large surge currents, VDD should be powered up
either before VDDC or synchronously with VDDC (VDD >
VDDC). DO NOT power up the core voltage supply VDDC
before the I/O supply VDD; doing so will cause a large in-rush
current from VDDC to VDD that will stress the power supplies
and router components. For proper operation, connect all VDD
pins to 3.3V, VDDC pins to 2.5V, and ground all VSS pins (i.e.,
no floating VDD, VDDC, or VSS input power pins). If VDD and
VDDC are being powered up synchronously ensure that the
voltage difference between VDDC and VDD does not exceed
0.4V (VDDC - VDD < 0.4V). See AC Electrical Characteristics.
Open Input Pins
The unused inputs should be left OPEN. Do not tie unused
receiver inputs to ground or any other voltages. This internal
circuitry will guarantee a HIGH, stable output state for open
inputs.
Terminated Input
If the driver is disconnected (cable unplugged), or if the driver
is in a three-state or poweroff condition, the receiver output
will again be in a HIGH state, even with the end of cable 100
termination resistor across the input pins.
1.4.2 LVCMOS I/O
Tie unused LVCMOS inputs to VSS through a 1k to 10k
resistor. It is good design practice to tie unused inputs to VSS
via a resistor to reduce noise susceptibility. The resistor protects the input pin by limiting the current from high going variations in VSS which could damage the input to the device.
Unused LVCMOS outputs can be left open.
Shorted Inputs
If a fault condition occurs that shorts the receiver inputs
together, thus resulting in a 0V differential input voltage, the
receiver output remains in a HIGH state. If both differential
inputs are at VDD the output will be HIGH. Shorted input failsafe is not supported across the common-mode range of the
device (VSS to 2.4V). It is only supported with inputs shorted.
1.4.3 LVDS I/O
All unused LVDS receiver inputs and driver outputs can be left
open if not in use. No termination resistors are required across
the differential LVDS driver output pins. If the differential outputs on the driver are shorted together, there will be 0V
between the 2 outputs. Assuming that the outputs are only
shorted to each other, no damage will occur. The output of the
6
1.5 Clocks
The UT200SpW4RTR requires a transmit clock input for each
of the ports. Each of the ports is capable of running at an
independent speed up to 200 Mbps. Separate external
clock signals must be provided if each port is going to run
at a different rate.
that applies to HOST_CLK and the output ports of the router. If
one port of the router is configured to run at 200Mbps,
HOST_CLK must run at 50MHz. And if the maximum output
frequency of one of the SpaceWire ports is 100Mbps
HOST_CLK only needs to run at 25MHz. The clock requirements for the 4-Port Router are shown in Table 4.
If each Spacewire port is going to run at a different rate
TX_CLK_IN_1, TX_CLK_IN_2, TX_CLK_IN_3,
TX_CLK_IN_4, as well as HOST_CLK must be provided.
Each of the SpaceWire ports is capable of running at an independent speed up to 200 Mbps (200MHz clock). There is a oneto-one rule for the SpaceWire ports clocks. If Port 1 is going to
run at 160Mbps a clock of 160MHz needs to be provided,
40Mbps requires a 40 MHz clock, etc.
Jitter on the input clocks must be minimized in order to reduce
the cumulative effect on the data-strobe skew. Jitter on the
TX_CLK_IN_n input clocks directly affects the data-strobe
outputs. An unstable clock edge will skew the data-strobe
alignment. Jitter must be accounted for in the system skew budget calculations.
It is recommended that the rate at which a SpaceWire link transmits and receives speeds are within 10x each other. Meaning if
the UT200SpW4RTR is transmitting at 100Mbps, the receive
side should be no less than 10Mbps.
The data values are transmitted directly and the strobe signal
changes state whenever the data remains constant from one data
bit interval to the next. The clock is recovered or extracted by
XORing the data and strobe signals. There is a slight delay between edges of Data/Strobe, and the recovered clock. DS encoding allows for the SpaceWire port speeds to have same
transmit clock speed and offers good jitter tolerance, but the receiver data is asynchronous to local (transmit) clock, refer to
ECSS-E-ST-50-12C.
1.5.1 Initialization and Link Run Data Rates
The SpaceWire standard requires an initialization data rate of
10Mbps, this provides the system with a common data rate
while it is checked for proper operation.The TX_DIV[4:0] input signals are used to load Clock Divide registers for the
10Mbps initialization data rate requirement. Once initialization
is complete the data rate may go to the maximum specified by
the user up to the maximum capability of the device. The user
must know what division factor is needed for each port to divide down to 10Mbps.
The HOST_CLK is used for the FIFO interface and also by the
routing circuitry. The maximum HOST_CLK frequency is
50MHz. HOST_CLK frequency requirements are based on the
fastest SpaceWire port data rate. There is a division by four rule
Table 3: Clock Signals
Signal
I/O
Description
TX_CLK_IN_1
I
Transmit clock for Port 1. Max of 200 MHz
TX_CLK_IN_2
I
Transmit clock for Port 2. Max of 200 MHz
TX_CLK_IN_3
I
Transmit clock for Port 3. Max of 200 MHz
TX_CLK_IN_4
I
Transmit clock for Port 4. Max of 200 MHz
HOST_CLK
I
Used for all internal router functions and to read and write to/
from the External FIFO’s and the SpaceWire FIFO’s
TX_DIV[4:0]
I
Input clock divide for the initial 10 Mbps data rate
7
A "Round Robin" arbiter manages access and makes sure only
one Read Logic Block accesses the Write Logic Block.
If more than one receive ports is waiting to send data out of the
same output port a round-robin arbitration scheme has been implemented.
2.0 ROUTER ARCHITECTURE
The UT200SpW4RTR Router is a modular design consisting of
four major blocks with descriptions of each as follows.
2.1 SpaceWire Link Protocol Handlers
There are four identical Link Protocol Handler (LPH) modules
in the router. Each LPH consists of a Transmit FIFO, a receive
FIFO, Receiver, Transmitter and Initialization block. All
of these blocks combined are designed to handle the SpaceWire
serial protocol as defined in document number ECSS-E-ST-5012C.
It is also important to note that the configuration block will be
accessing the Write Logic Block when read configuration
packets are requested. In this case, the configuration block
is treated as another Read Logic Block.
2.3.1 Arbitration
Each transmit FIFO (TX_FIFO in figure 6.) write logic block
contains an arbiter that manages the flow of data to each of the
four physical interface ports and the System Receive Port.
The arbiter is a "Round Robin" type and gives each receive
port equal opportunity for access. The arbiter starts counting
whenever a request for that port is received from any of the five
receive ports. The count is from Port 1, Port 2, etc.
until the count reaches Port 5, looks for configuration commands, and then starts over.
2.2 Read Logic Block
There is a Read Logic Block connected to all four of the
Receive FIFO's and the System Interface Transmit FIFO. This
block monitors the empty flag on the receive FIFO and reads a
byte of data whenever the FIFO is not empty. This block
also checks the first byte of data read after an EOP to determine
the port address or whether a configuration transaction will be
initiated. A configuration transaction is described later in this
document. For Path or Logical addressing, the Read Logic
Block uses the first byte of data after an EOP/EEP.
Example:
If a transmit Port (this is any of the physical ports or the user
interface port) receives a request, for example, from Port 1 and
Port 5 at the same time, the Port 1 packet will be sent first. If
during the time Port 1 packet is sent and a packet from Port 3 is
requested, the Port 3 packet will be sent before Port 5 because
of the way the arbiter counts.
Example:
If the first byte of data after an EOP/EEP is between 0x20 and
0xFF. The Read Logic Block uses the data as an address for the
lookup table. The data stored in the lookup table will be used as
the port address. The first byte of data with value 0x00 received
by any router port after reset or an EOP/EEP will initiate a configuration transaction. If the first byte after an EOP/EEP is between 0x01 and 0x05 path addressing will be used.
2.4 Configuration
The Configuration block is used to set up lookup tables as well
as registers that control the operation of the router. In addition,
status registers and commands are accessed through this block.
2.3 Write Logic Block
The Write Logic Blocks control the data to the transmit
FIFOs and the System Receive Port (shown in figure 3).
To/From
Physical Interface
Aeroflex SpaceWire
LPH Core
Tx_int
TX_FIFO
Write Logic
arbiter
Init
RX_FIFO
Rx_int
Read Logic
Figure 6. SpaceWire LPH Module
8
3.0 GENERAL OPERATION
The parity bit covers the previous eight bits of a data character
or two bits of a control character, the current parity bit and the
current data-control flag. The parity bit is implemented in each
data or control character to aid in detection of transmission
errors.
The ECSS-E-ST-50-12C defines two types of characters, data
and control characters. These characters are then further defined as either link characters or normal characters. A link character does not get passed from the Exchange Level to the Packet
Level. Some examples of a Link character are flow control tokens (FCT), escape (ESC), NULL control code (ESC + FCT),
and the Time-Codes (ESC + data character). A Normal Character ends with an EOP or EEP and is passed through the router at
a packet level.
3.2 Control Character
A control character is made up of a parity bit, data-control flag
and two control bits. The data control flag is set to one to indicate that the current character is a control character. Parity coverage is similar to that for a data character. One of the four
possible control characters is the escape code (ESC). This can
be used to form control codes. Two control codes are specified
and valid which are the NULL code and the Time-Code. The
LSB of the control character is the parity bit "P", bit "DC" is the
data control Bit and should be set to one for control, and Bits
"C0 and C1" are the control codes. Refer to ECCS-E-ST-5012C section 7.3 for further details. The control codes are defined in Table 4.
3.1 Data Character
Data characters hold an eight-bit data value, transmitted least
significant bit (LSB) to most significant bit (MSB). A data
character contains a parity bit, data-control flag, and eight bits
of data. Per ECSS-E-ST-50-12C data parity is odd.
The parity bit will be calculated by adding the number of ones
that are contained in the previous 8-bits of data. If the number
of 1's in bits added together is even, the data character is said to
have even parity. The data-control flag is set to zero to indicate
that the current character is a data character. The following figure shows the 10-bit data character field. The LSB Bit "P" is the
parity bit, bit "DC" is the data-control flag and must be set to
zero for data, and Bits "D0 to D7" is the data.
P
DC
DC
C0
C1
Figure 8. Control Characters
P
DC
D0
D1
D2
D3
D4
D5
D6
D7
Figure 7A. Data Character
Data Character
P
0
D0
D1
D2
D3
End of Packet
D4
D5
D6
D7
P
Parity Coverage
Figure 7B. Parity Coverage
9
1
0
Flow Control Token
1
P
1
0
0
3.4 Bad Packet
Packets that do not have a valid "Path Address" or do not have
a look up table location configured are considered bad packets.
Bad packets can be read from the receive FIFO, but not sent
to any of the Transmit FIFOs. This is commonly known as
"Spilling the Packet". The router supports Path Address from 1
to 5 (physical output ports on the router) and logical address
from 32 to 255, Figure 5.
3.3 Sending Packets
The first byte of data received on the bus after power up or after
an EOP/EEP is the Header Byte. The EOP/EEP are treated the
same by the router. The Header Byte determines whether Path
Addressing (0x01 to 0x05), Logical Addressing(0x20 to 0xFF),
or a Configuration Transaction 0x00 occur. If no Configuration
Write transaction had occurred path addressing will be supported. Path addressing will be used because the lookup
tables have not yet been configured. Currently, there is no restriction on the size of the packets that can be sent through the
router.
Table 4. Control Character Table
Control Character
Definition
Character Type
C0:C1
FCT
Flow control token
Link
00
EOP
End of packet
Normal
01
EEP
Error end of packet
Normal
10
ESC
Escape
Link
11
Table 5. Bad Address Identification
Bad Address
0x06 to 0x1F
Description
The 4-Port Router has 4 SpaceWire ports and
one external port for a total of 5 ports that
will be supported using Path Addressing
0x20 to 0xFF that contain a value of 0x00 Look up tables will not be reset. Any unused
Logical addresses should be set to contain
hex 0x00.
10
Next, the router ID byte should be set to the value in the receiving router ID register. The Packet Type byte should be set to
Write (see table 6.), followed by the address least significant
byte, the address most significant byte, then the data least significant byte and the data most significant byte.
4.0 CONFIGURATION PROTOCOL
The UT200SpW4RTR 4-Port Router is configured through any
one of the four SpaceWire ports or the External Port. The default configuration is for all ports to be configuration ports. If
one or more ports are set up to be configuration ports only one
configuration command can be sent at a time.
The last byte before the end of packet (EOP) will be the arithmetic Checksum value, which is an arithmetic sum of the final
destination address, the router ID, the Packet Type, the Address
and Data bytes. If the checksum value does not match, the command will not be executed. If the packet has less than eight (8)
bytes or the Checksum value is not the last byte, the command
will not be executed. (ECSS-E-ST-50-12C).
4.1 Configuration Ports
If multiple ports are set up as configuration ports and more than
one configuration command is being sent within the router the
configuration packets will be corrupted.
The first byte of data with value 0x00 received by any router
port after reset or an EOP/EEP will initiate a configuration
transaction. (ECSS-E-ST-50-12C). Configuration transactions
allow access to the lookup tables, configuration registers and
status registers. The packet protocols for configuration reads
and writes are specified in the following two sections.
4.3 Configuration Read
The Read packet will read a number (Count) of 8-bit data values from consecutive 16-bit address locations and transmit the
data to the return location specified. This packet begins with
zero or more hardware or logical address bytes followed by the
final destination address byte set to zero.
4.2 Configuration Write
A configuration write packet loads a 16-bit data word to the
specified 16-bit address location in the configuration memory
space. A configuration write packet begins with zero (0x00) or
can contain additional router address bytes, followed the final
destination address byte set to zero. A Configuration Write
packet is shown in Figure 9.
0 or More
Address Bytes
0x00
Router ID
Protocol ID
Packet Type
Next, the router ID byte should be set to the value in the router
ID register, unless the router ID is being read. The Packet Type
byte should be set to Read, (0x01 or 0x02) followed by the address least significant byte, the address most significant byte,
Address
LSB
Address
MSB
Data
LSB
Data
MSB
Check
Sum
EOP
1 or more
return address
bytes
Check
Sum
EOP
Check Sum Coverage
Figure 9. Configuration Port Write Packet Command
0 or More
Address Bytes
0x00
Router ID
Protocol ID
Packet Type
Address
LSB
Address
MSB
Count
Check Sum Coverage
Figure 10. Configuration Port Read Packet Command
0 or More
Address Bytes
Router ID
Protocol ID
Packet Type
Address
LSB
Address
MSB
1 or more data
byte pairs
(LSB-MSB)
Check Sum Coverage
Figure 11. Configuration Port Read Packet Response
11
Check
Sum
EOP
4.4 Configuration Read Response
The read response will follow the protocol shown in Figure 11
A read response will be sent back to the requesting address after
a Read command is executed. The Read packet command as
shown in figure 5 sets up the address to read data from (Address
LSB/MSB), how many 8-bit values to read (Count), and the return address bytes path. After the Read command is executed a
Read Response command will be issued and will contain the
data byte pairs read from the specified address.
the word count byte, and one or more return path address
byte(s). The order of the return path address bytes are to read in
the order they are received.
That is to say, the first return path address byte will be the path
out of the first router with subsequent bytes to be used for the
next layers of routers.
The last byte will be the checksum value, which is an arithmetic
sum of the destination address, router ID, packet type, address
bytes, data bytes and return path bytes.
4.5 Packet Type Byte Definition
The various configuration protocols define a "Packet Type"
byte. This byte tells the router or the user in the case of the Read
Response type what type of transaction is being commanded or
received. Table 6 defines the different Packet Types.
If the checksum received does not match the calculated value,
an error end of packet will be sent to the return address. The
word count byte must be greater than zero. A value of zero will
cause the command to not be executed. The return address path
must contain one or more bytes and the first header byte must
not be zero; otherwise the command will be considered invalid
and not be executed. Figure 10 shows the bytes required for a
Read Packet Command.
Table 6. Packet Type Definitions
4.3.1 Read No Clear Packet Type
A read no clear packet type will read the data as requested in by
the read request packet.
4.3.2 Read Clear Packet Type
The Read Clear packet type will read the data in the requested
address space and delete the information contained there.
12
Packet Type
Value (HEx)
Write
0x00
Read no clear
0x01
Read clear
0x02
Read response
0x03
Reserved
0x04-0xFF
5.2.5 Enable Group Adaptive Bit
Bit [11] is used to enable the Group Adaptive function on the
router. Setting this bit high tells the router to use bits [9:5] for
the port select in the event the port select for the Primary Address Bits is busy.
5.0 PORT ADDRESSING
5.1 Path Addressing
For any byte received immediately after an EOP/EEP byte with
the value 0x01 to 0x05, path addressing will be implemented.
Addresses from 0x06 to 0x1F will be spilled. The entire port address space is defined in Table 13.
5.2.6 Unused Bits
Look up table bits [14:12] need to be set to 0x00. In order for
the parity bit to be correct all three unused bits need to contain
0’s. If these bits are set to something other than 0x00 the parity
calculation it will not be the same as what the router is calculating.
5.2 Logical Addressing
There are 4 lookup tables (one for each port) on the router. Each
lookup table is 224 by 16 and all 4 lookup tables have the same
data written into them using the Configuration Protocol. A single configuration write will load each of the lookup tables with
identical data The format for the lookup table data is described
in the following sections.
5.2.7 Parity Bit
A Parity Bit is included for each lookup table location. The parity is even. When the header byte is decoded and falls between
address 0x20 and 0xFF, a lookup table address will be retrieved
by the lookup table.
5.2.1 Lookup Table Data Format
The lookup tables on the router are organized into 16-bits and
are organized as shown in Table 7 below.
Again, parity will be calculated by adding the number of ones
that are contained in the previous 8-bits data. If the total number
of 1's in bits added together is odd, the parity is odd parity. And
if the number of 1's in bits added is even it is said to have even
parity. The current parity bit will then be compared to the calculated parity and if they are not the same, the packet will be
read out of the receive FIFO. This is commonly referred to as
"Spilling the Packet". Additionally, the Parity Error Register
will be incremented.
5.2.2 Primary Logical Address Bits
The five LSB bits [4:0] are the Primary Logical Address bits
and are for selecting ports 1 through 4 regardless of whether
Group Adaptive has been enabled or not. When Group Adaptive has been enabled the router looks at the port address specified by these bits first and if that port is busy will then look at
the port specified by the Group Adaptive Address Bits.
5.2.3 Group Adaptive Address Bits
Bits [9:5] are used when Group Adaptive has been enabled and
the port selected by the Primary Logical Address Bits is busy.
If group adaptive routing is not enabled and port selected by the
Primary Logical Address Bits is busy the packet will have to
wait until the selected port is free.
Parity error register is different from the previously discusses
SpaceWire parity. The parity error register is based on the data
in the lookup table.
5.2.4 Enable Header Delete Bit
Bit [10] is used to enable the header delete function for the port
selected by either the Group Adaptive Address bits or the Primary Logical Address Bits. Whenever this bit is set high the
router will delete the header before sending the packet out of
the requested transmit port.
Table 7. Lookup Table Data Format
Parity
15
Unused
14
13
12
Enable
Group
Adaptive
Enable
Header
Delete
11
10
Group Adaptive Address Bits
Primary Logical Address Bits
9
4
8
13
7
6
5
3
2
1
0
Table 9. Link Run Register
6.0 CONFIGURATION AND STATUS REGISTERS
Address
0x0103
The router has a number of configuration and status registers
which are used for initial setup of the router and for monitoring
the router's performance. Table 14 is a summary of all the router
registers with detailed descriptions outlined in each subsection.
6.1 Router Identification Register
The Router Identification Register is accessed through configuration address 0x0100 in Hex. The router ID defaults to 0x00
upon reset and the user can write an 8-bit value using the configuration write protocol and using 00 for the router ID byte in
the protocol.
6.6 Router Error Count
Address 0x0105 manages error counting. The port has an error
counter that is 4-bits wide. Refer to Table 10 for the bit assignments for each error counter.
Table 10. Router Error Count Registers
6.2 Version
This read only register located at address 0x0101 will tell the
user what version of the router is being accessed.
6.3 Configure Port Enable
At power up, by default all of the ports on the router can be used
as configuration ports. A Read and Write register at address
0X0102 allows the user the ability to specify certain ports as
configuration ports. Refer to Table 8 for the bit mapping for this
register.
Table 8. Configure Port Enable
0x0102
Bit Number
High
0
Disable Port 1
Enable Port 1
1
Disable Port 2
Enable Port 2
2
Disable Port 3
Enable Port 3
3
Disable Port 4
Enable Port 4
4
Address
HEX
Range
Error Counter
0x0105
[3:0]
Port 1
[7:4]
Port 2
[11:8]
Port 3
[15:9]
Port 4
6.7 Parity Error Register
Any time a parity error is detected during a lookup table access
register 0x0106 will get written to. Data is formatted as follows.
Bit 5 indicates whether there has been a parity error during a
Receive transaction. Bits 4 to 0 indicate which Receive Port the
error occurred on.
Description and Comments
Low
Port Number
1
2
3
4
External
6.5 Transmit Full Register
Address 0x0104 indicates to the user which Transmit port
FIFO's are full. Bit 0 is for port 1 and bit 4 is for the External
Port.
Configuring the router ID register allows multiple routers to be
networked together. Assuming each router has unique identifier, the router ID bits used in the configuration protocol will allow each individual router on the network, to have different
look up table.
Address
Bit Number
0
1
2
3
4
6.8 Link Disable Register
All ports on the router can be enabled or disabled by writing
into register 0x0107. Writing the appropriate bit in the Link
Disable Register will disable that port. Refer to Table 11 for the
bit assignments.
Disable External Enable External
Table 11. Link Disable Register
6.4 Link Run Register
Address 0x0103 indicates to the user which ports are in the run
state. Bit 0 is for port 1 and bit 4 is for the External Port.
Address
0x0107
14
Bit Number
Description and Comments
High
Low
0
Disable Port 1
Enable Port 1
1
Disable Port 2
Enable Port 2
2
Disable Port 3
Enable Port 3
3
Disable Port 4
Enable Port 4
ceived a normal character before reaching the run state.
6.12 Router Reset
A write command to the address 0x0114 will reset the router
with exception to the look up tables. SpaceWire ports are not reset, only the router which includes the state machines used to
select ports and read and write to FIFO's.
6.9 Port Busy Registers
Registers 0x0109 to 0x010D are to indicate which transmit port
is busy administering a receive port. The five bit data field is
used to indicate which transmit port is connected to the desired
receive port.
6.10 Time Master Register
The Time Code Master Register, 0x010E, is used to tell the
router which port is connected to the time master of the network. The default is Port 5, the external port.
6.13 Receive FIFO Reset
Writing to address 0x0115 and setting any or all of the 5 bits
will reset the appropriate Receive FIFO. For example, setting
bit 0 will reset the Receive FIFO of Port 1. Setting bit 1 will reset the Port 2 Receive FIFO and so on.
6.11 Initialization Divide Registers
Used to set the correct 10Mbps transmit data rate during initialization. Value stored in registers 0x010F, 0x0110, 0x0111, and
0x0112 are 5-bit registers are used to divide the TX_CLK thus
deriving the 10Mbps clock. On power up or reset the router will
load the TX_DIV[4:0] bits into all 4 registers. The port that will
be used to configure the router will have to have the correct value set by TX_DIV.
6.14 Transmit FIFO Reset
This 5-bit register at address 0x0116 is used to reset any or all
of the Transmit FIFOs. Bit 0 will reset Port 1, Bit 1 will reset
Port 2 and so on.
Table 13. Header Byte Memory Map
Example: If the user wishes to configure the router through
Port 3 and the transmit speed will be 100Mbps the user will
need to set TX_DIV to 0x0A or 10 in decimal. Port 3 will have
the correct divider for the 10Mbps clock and will be able to initialize the SpaceWire link. If the other ports are transmitting at
different data rates the 10Mbps initialization data rate will not
be correct. The user will then use Port 3 to set the Transmit
10Mbps Register such that the initialization data rate will be
10Mbps. Table 13 shows some common data rates along with
the correct register value to achieve the 10Mbps initialization
data rate.
Table 12. Clock Settings and Unit Data Rate
TX_CLK
(Mbps)
TX_DIV[4:0]
(HEX)
Initialization Data
Rate (Mbps)
200
0x14
10
150
0x0F
10
10
0x01
10
5
0x01
5
It is important to note that if TX_CLK is set to less than
10Mbps the Initialization Divide Register must be set to 0x01.
The 4-Port Router will be able to initialize at these data rates.
The user needs to be aware however to be careful not to send
any data until the links are in the run state. If the initialization
data rates are different, one side of the link could reach the run
state before the other and if that link begins to send data there
is a good possibility the other side will disconnect because it re-
15
Port Address Byte (HEX)
Port
0x00
Configuration access
0x01
Path address for Port 1
0x02
Path address for Port 2
0x03
Path address for Port 3
0x04
Path address for Port 4
0x05
Path address for Port 5
0x06 to 0x1F
Not used
0x20 to 0xFF
Logical address locations
Table 14: Configuration and Status Registers
Address
(Hex)
R/W
Name
Default
(Hex)
Description
0x00200x00FF
R/W
Lookup table
XXXX
Logical Address Lookup Table. Look up
tables are not reset. User should initialize
the unused addresses to 0x00.
0x0100
R/W
Router ID
0X00
Router Identification Register
0x0101
R
Version register
0X01
Router Version Register
0x0102
R/W
0X1F
Using this register, ports can be enabled or 5
disabled as configuration ports.
0x0103
R
Link run register
0X00
Indicates which ports are in the run state. 4
One bit for each port
0x0104
R
Transmit full register
0X00
Transmit FIFO Full Register. Indicates
5
which Transmit FIFO's are Full, one bit for
each Transmit FIFO
0x0105
R/RC
Router error count
0X00
Router Error Count Registers. Each nibble
within this register represents the
SpaceWire error count for a given router
port.
0x0106
R/RC
Parity error register
0X00
Indicates when a parity error has occurred 6
and the receive port number that last
showed an error
0x0107
R/W
Link disable register
0X00
Enables or Disables individual links
0x0108
R/W
Reserved
0X00
0x0109 to
0x010D
R
Port busy registers
0X00
These registers indicate the current
5
receive port to transmit port connection.
Address 0109 is for Receive Port 1 and
address 010D is for the External Port
0x010E
R/W
Time master select register
0X05
This register is used to tell the router which 3
port is connected to the time master
0x010F
R/W
Port 1 initialization divide register
TX_DIV
Port 1 10Mbps data rate divider
5
0x0110
R/W
Port 2 initialization divide register
TX_DIV
Port 2 10Mbps data rate divider
5
0x0111
R/W
Port 3 initialization divide register
TX_DIV
Port 3 10Mbps data rate divider
5
0x0112
R/W
Port 4 initialization divide register
TX_DIV
Port 4 10Mbps data rate divider
5
0x0113
R/W
Protocol ID
0X00
Programmable Protocol Identifier
0x0114
W
Router reset
N/A
A write command to this address will reset
the entire router. The data in this case is
don't care
0x0115
W
Receive FIFO reset
N/A
Used to Reset any or all of the Receive
FIFO's
5
0x0116
W
Transmit FIFO reset
N/A
Used to Reset any or all of the Transmit
FIFO's
5
16
Number
Bits
8
4
7.0 TIME CODES
Time codes are handled as they are described in the standard. A
time code distributes system time over a network. A Time code
does not get saved into the FIFO memory buffer. Any valid
time code received on a router port will be sent to all of the other ports of the router. A valid time code is defined as a time code
value that is one greater than the previous time code value.
The transmitter sends N-Chars if the node at the other end of the
link has room in the receive FIFO buffer. A transmit transaction
is initiated by the node at the end of the link sending a FCT, this
tells the transmitter that the node that it is ready to accept another 8 N-Chars. The transmitter keeps track of the FCTs received
and the number of N-Chars sent to avoid input buffer overflow.
This is done by the transmitter holding a credit count of the
number of characters it has been given permission to send.
A time code is made up of an ESC character followed by eight
bit data character. The data character holds six bits of system
time and two reserved bits. Bits "T0 to T5" are the 6-bit time
counter and are the LSB of the time code. Bits "T6 to T7" are
the timing control flags (currently reserved by the working
group) and should both be set to zero. Figure 12 illustrates a
time-code packet
7.3 Time Code Latency
SpaceWire system time accuracy is dependent on the number of
links traversed and the operating speed of each link. A delay approximately 14 bit periods (ESC + data character) is added to
the system time for each link the time code traverses. Time code
skew across a network is equal to tTCSKEW = (14*S)/A where
S is the number of SpaceWire links traversed, A is the average
link operating speed, and 14 is the time code bit period.
7.1 System Time Management
The timing of the system is controlled by two signals, TICK_IN
and TICK_OUT. TICK_IN and TICK_OUT are the system
time controllers is the external port is the time master. When a
TICK_IN is received it tells the node to send a Time Code
Character. Only one node in the system should have an active
TICK_IN and that node will provide the master time reference
for the entire network. Then TICK_OUT is asserted it tells the
user that a Valid time code character has been received.
7.4 Transmitter Status
The transmitter can be in one of four states:
Reset: The transmitter does nothing.
Send NULLs: Transmitter will only send NULLs out on the
link. No N-Chars are read in from the Transmit Host Interface.
Transmitter will not accept an order to send FCT from the Host
System. It does not send Time-Codes.
7.2 Transmit Time
The transmitter encodes data and transmits it through the network using DS encoding. The transmitter must receive either a
Time-Code, flow control token (FCT), or an N-Char (data, EOP
or EEP) to initiate a transmit transaction. If the transmitter does
not have any data to send it will send NULL characters.
Send FCTs or NULLs: Transmitter can send flow control tokens or NULLs, but still does not read N-Chars from the Transmit Host Interface. It does not send Time-Codes.
Send Time-Codes, FCTs, N-Chars or NULLs: Normal system
operation. Transmitter is sending NULLs, FCTs, Time-Codes
and N-Chars.
PP
11
11
1P
11
01
T0 T1 T2 T3 T4 T5 T6 T7
Figure 12. Time Code
17
8.3 CSEL
Allows the state of the control signals for FIFOs to be connected to internal router logic. If CSEL is "High" the signals
TX_PUSH, RX_POP, and any other backend inputs should not
be allowed to be passed on to internal logic. Additionally, output signals RX_DATA and TIME_CODE[7:0] should be tristated. See Table 16.
8.0 CONTROL SIGNALS
8.1 LV_CM
Allows the user to select the external interface either LVDS or
LVCMOS. When LV_CM is high the LVDS interface will be
active. For example signal I/O that will be active are
TX1_D_LV[1:0], TX1_S_LV[1:0], RX1_D_LV[1:0], and
RX1_S_LV[1:0]. While TX1_D, TX1_S, RX1_D, and RX1_S
would be tri-stated. Table 15 shows the relationship between
LV_CM and the transmit receive interface.
It should be noted that TICK_IN and TICK_OUT are independent of the states of output enable (OE), chip select (CSEL), reset (RST). And the time code (TIME_CODE[7:0]) port will
come up as an input port because the default time master is the
external port.
8.2 OE
This signal is used to control the outputs of the Receive FIFO.
OE supports the memory interface timing of host controller that
incorporates multiplexed address and data on the bus. If no OE
signal is available for the host controller, and the CSEL signal
is asserted while the controller still has its address information
on the bus, data may be driven onto the bus and cause bus contention.
Table 15. Control Signals
LV_CM
TX#_D_LV/TX#_S_LV
RX#_D_LV/RX#_S_LV
(LVDS)
TX#_D/TX#_S
RX#_D/RX#_S
(LVCMOS)
1
Active
Z
0
Z
Active
Table 16. Enable and Select Signals Truth Table
OE
CSEL
RST
TIME_CODE[7:0]
RX_DATA[8:0]
1
1
1
Active
Z
TX_PUSH
RX_POP
Inactive
1
1
0
Z
Z
Inactive
1
0
1
Active
Z
Active
1
0
0
Z
Z
Inactive
0
1
1
Active
Z
Inactive
0
1
0
Z
Z
Inactive
0
0
1
Active
Active
Active
0
0
0
Z
Z
Inactive
18
Routers can be connected together via the system ports to expand to an 8-Port Router. External logic will be required to connect the system ports together in this way. The router ID
register for each of the routers connected in this way should be
unique. An extra path addressing byte will be needed to route
packets between the routers connected through the system
ports.
9.0 SERVICE CONFIGURATION
There are a few different ways that the UT200SpW4RTR can
be configured to service multiple system requirements
9.1 Stand Alone Router
The router can be used as a stand-alone router with up to four
SpaceWire links connected to it, Figure 13. Configuration of
the lookup tables should be done by sending packets containing
configuration commands.
Three routers can be connected to a FPGA or processor through
the system port and to each other through the SpaceWire ports.
This configuration generates eight SpaceWire ports for connection to SpaceWire nodes. The system ports of each router are
used to connect to user logic in an FPGA or processor.
SpW Node 3
SpW Node 1
1
3
4 Port 5
Router
1
3
4 Port 5
Router
4
2
SpW Node 2
4
2
Processor
Figure 13. Stand Alone Router Configuration
1
3
4 Port 5
Router
9.2 Interfacing Multiple Routers
Network topology may require a router with more than four
SpaceWire ports or more system ports. Multiple four port routers can be interfaced together in numerous configurations to
produce the required I/O count.
Processor
4
2
1
3
4 Port
5
Router
1
2
3
4 Port 5
Router
2
1
4
Figure 15. 8-Port Extended Configuration Using uP
Control
Logic
3
4 Port 5
Router
2
4
4
Figure 14. 8-Port Extended Configuration
using External Logic
19
10.0 NETWORKING
Many network configurations are possible using the 4-Port
Router. Certain parameters need to be considered when choosing a network topology to use. Performance, fault-tolerance,
and harness mass, are key attributes a designer must consider
when designing a SpW network.
1
2
3
10.1 Centralized Networks
In a centralized network configuration all communications are
routed by a router at the center of the network. Allows certain
functions are handled by the router, resulting in high performance. If a failure occurs on one node, other nodes are not affected. Centralized networks are simple to configure because
the look up tables do not need to be configured. Data can be easily accessed from all nodes via the central router. Centralization's weaknesses is the heavy reliance on the central router and
the high harness mass required.
5
4
3
5
2
3
5
2
4
3
5
Processor
2
4 Port
Router
4
3
5
1
4 Port 4
Router
3
5
1
4 Port
Router
1
4 Port 4
Router
4 Port 4
Router
5
2
4 Port 4
Router
3
5
Figure 17A. Distributed Network Example #1
4 Port
Router 1
4 Port
Router 2
4 Port
Router 0
4 Port
Router 3
4 Port
Router 5
4 Port
Router 4
Figure 17B. Distributed Network Example #2
SpW Node 1
SpW Node 2
3
2
1
4 Port
Router
3
SpW Node 3
2
5
1
4 Port 4
Router
1
2
10.2 Distributed Networks
Distributed network configurations are characterized by smaller routers all connected together. Many configurations are possible, allowing for a more reliable system. All nodes on the
network are connected together through some route. Data can
be accessed from all nodes but a path must be specified for how
to route the data through the network. Distributed networks are
more complex to configure because the Lookup tables usually
need to be configured resulting in slower performance.
3
4 Port
5
Router
2
1
2
1
1
4 Port 4
Router
Processor
4
SpW Node 4
Figure 16. Centralized Network Example
20
11.0 255-Lead CLGA Pin Out
T
R
P
N
M
L
K
J
H
1
VSS
VDDC
TX_CLK_IN_1
HOST_CLK
VSS
CSEL
OE
VSS
VDDC
TX_DATA3
TX_DATA2
VSS
TS_DATA1
TX_DATA0
VDDC
2
TIME_CODE0
TIME_CODE3
TX_CLK_IN_2
NC
TX_DIV0
TX_DIV1
TX_DIV2
LV_CM
VSS
TX_DATA8
TX_DATA7
TX_DATA6
TX_DATA5
TX_DATA4
RST
VSS
3
TIME_CODE1
TIME_CODE4
VSS
TX_CLK_IN_4
NC
NC
VDD
TX_DIV3
TX_DIV4
VDD
TX_AFULL
TX_FULL
TX_PUSH
VSS
RX_DATA4
RX_DATA0
4
TIME_CODE2
TIME_CODE5
TX_CLK_IN_3
VDDC
VSS
VSS
VSS
VDD
VDD
VSS
VSS
NC
VDDC
RX_POP
RX_DATA5
RX_DATA1
5
VDD
TIME_CODE6
VSS
VSS
VSS
VSS
VDDC
VSS
VSS
VDDC
VSS
VSS
NC
RX_EMPTY
RX_DATA6
VDD
6
TICK_IN
TIME_CODE7
VSS
VSS
VSS
VDDC
VSS
VSS
VSS
VSS
VDDC
NC
NC
RX_AEMPTY
RX_DATA7
RX_DATA2
7
TICK_OUT
VSS
VSS
VSS
VDD
VSS
VSS
VSS
VSS
VSS
VSS
VDD
NC
NC
RX_DATA8
RX_DATA3
8
VDDC
VSS
VDDC
VDD
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VDD
VDDC
VSS
VDDC
9
TX2_D
RX2_D
VSS
VDD
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VDD
NC
RX3_D
TX3_D
10
TX2_S
RX2_S
VSS
VSS
VDD
VSS
VSS
VSS
VSS
VSS
VSS
VDD
NC
NC
RX3_S
TX3_S
11
VDD
VSS
VDD
VSS
VSS
VDDC
VSS
VSS
VSS
VSS
VDDC
NC
NC
VDD
VSS
VDD
12
TX1_D
RX1_D
NC
VSS
VSS
NC
VDDC
VSS
VSS
VDDC
NC
VSS
NC
NC
RX4_D
TX4_D
13
TX1_S
RX1_S
NC
VDD
NC
VSS
NC
VDD
VDD
VDD
VSS
NC
VDD
NC
RX4_S
TX4_S
14
VSS
NC
VDD
VSS
TX2_D_LV-
TX2_D_LV+
TX2_S_LV-
TX2_S_LV+
TX3_D_LV-
TX3_D_LV+
TX3_S_LV-
TX3_S_LV+
VSS
VDD
NC
VSS
15
TX1_D_LV-
TX1_D_LV+
TX1_S_LV-
TX1_S_LV+
VDDC
VSS
VDD
VSS
VSS
VDD
VSS
VDDC
TX4_D_LV-
TX4_D_LV+
TX4_S_LV-
TX4_S_LV+
16
RX1_D_LV-
RX1_D_LV+
RX1_S_LV-
RX1_S_LV+
RX2_D_LV-
RX2_D_LV+
RX2_S_LV-
RXS_S_LV+
RX3_D_LV-
RX3_D_LV+
RX3_S_LV-
RX3_S_LV+
RX4_D_LV-
RX4_D_LV+
RX4_S_LV-
RX4_S_LV+
21
G
F
E
D
C
B
A
12.0 PIN DESCRIPTIONS
System Pins
I/O
Type
VDDC
PWR
Power
Core Power Supply 2.5V
VDD
PWR
Power
I/O Power Supply3.3V
GND
PWR
Power
Ground VSS
I
LVCMOS
I/O
Type
Description
Pin No.
N1
Pin Name
HOST_CLK
Description
50MHz system clock
SpaceWire Interface
Pin No.
Pin Name
B2
RST
I
LVCMOS
Schmitt
RST must remain low for 6 clock cycles before transitioning
high, and must transition high 3 clock cycles before valid data.
P1
TXCLK_IN_1
I
LVCMOS
Clock input 1 to transmitter used to clock LVDS output. Any
phase relationship is allowed between TXCLK _IN &
HOST_CLK.
P2
TXCLK_IN_2
I
LVCMOS
Clock input 2 to transmitter used to clock LVDS output. Any
phase relationship is allowed between TXCLK_IN &
HOST_CLK.
P4
TXCLK_IN_3
I
LVCMOS
Clock input 3 to transmitter used to clock LVDS output. Any
phase relationship is allowed between TXCLK_IN &
HOST_CLK.
N3
TXCLK_IN_4
I
LVCMOS
Clock input 4 to transmitter used to clock LVDS output. Any
phase relationship is allowed between TXCLK_IN &
HOST_CLK.
T12
TX1_D
O
HS-LVCMOS
Transmit data for Port 1
High speed CMOS 12mA I/O buffers
T13
TXI_S
O
HS-LVCMOS
Transmit strobe for Port 1
R12
RXI_D
I
HS-LVCMOS
Receive data for Port 1
R13
RXI_S
I
HS-LVCMOS
Receive strobe for Port 1
T9
TX2_D
O
HS-LVCMOS
Transmit data for Port 2
T10
TX2_S
O
HS-LVCMOS
Transmit strobe for Port 2
R9
RX2_D
I
HS-LVCMOS
Receive data for Port 2
R10
RX2_S
I
HS-LVCMOS
Receive strobe for Port 2
A9
TX3_D
O
HS-LVCMOS
Transmit data for Port 3
A10
TX3_S
O
HS-LVCMOS
Transmit strobe for Port 3
B9
RX3_D
I
HS-LVCMOS
Receive data for Port 3
B10
RX3_S
I
HS-LVCMOS
Receive strobe for Port 3
A12
TX4_D
O
HS-LVCMOS
Transmit data for Port 4
22
SpaceWire Interface
Pin No.
Pin Name
I/O
Type
Description
A13
TX4_S
O
HS-LVCMOS
Transmit strobe for Port 4
B12
RX4_D
I
HS-LVCMOS
Receive data for Port 4
B13
RX4_S
I
HS-LVCMOS
Receive strobe for Port 4
M2
L2
K2
J3
H3
TX_DIV[4:0]
I
LVCMOS
R16
T16
RX1_D_LV+
RX1_D_LV-
I
LVDS
Port 1 Non-inverting receive data input pin
Port 1 Inverting receive data input pin
N16
P16
RX1_S_LV+
RX1_S_LV-
I
LVDS
Port 1 Non-inverting receive strobe input pin
Port 1 Inverting receive strobe input pin
R15
T15
TX1_D_LV+
TX1_D_LV-
O
LVDS
Port 1 Inverting transmit data output pin
Port 1 Non-inverting transmit data output pin
N15
P15
TX1_S_LV+
TX1_S_LV-
O
LVDS
Port 1 Non-inverting transmit strobe output pin
Port 1 Inverting transmit strobe output pin
L16
M16
RX2_D_LV+
RX2_D_LV-
I
LVDS
Port 2 Non-inverting receive data input pin
Port 2 Inverting receive data input pin
J16
K16
RX2_S_LV+
RX2_S_LV-
I
LVDS
Port 2 Non-inverting receive strobe input pin
Port 2 Inverting receive strobe input pin
L14
M14
TX2_D_LV+
TX2_D_LV-
O
LVDS
Port 2 Non-inverting transmit data output pin
Port 2 Inverting transmit data output pin
J14
K14
TX2_S_LV+
TX2_S_LV-
O
LVDS
Port 2 Non-inverting transmit strobe output pin
Port 2 Inverting transmit strobe output pin
G16
H16
RX3_D_LV+
RX3_D_LV-
I
LVDS
Port 3 Non-inverting receive data input pin
Port 3 Inverting receive data input pin
E16
F16
RX3_S_LV+
RX3_S_LV-
I
LVDS
Port 3 Non-inverting receive strobe input pin
Port 3 Inverting receive strobe input pin
G14
H14
TX3_D_LV+
TX3_D_LV-
O
LVDS
Port 3 Non-inverting transmit data output pin
Port 3 Inverting transmit data output pin
E14
F14
TX3_S_LV+
TX3_S_LV-
O
LVDS
Port 3 Non-inverting transmit strobe output pin
Port 3 Inverting transmit strobe output pin
C16
D16
RX4_D_LV+
RX4_D_LV-
I
LVDS
Port 4 Non-inverting receive data input pin
Port 4 Inverting receive data input pin
A16
B16
RX4_S_LV+
RX4_S_LV-
I
LVDS
Port 4 Non-inverting receive strobe input pin
Port 4 Inverting receive strobe input pin
C15
D15
TX4_D_LV+
TX4_D_LV-
O
LVDS
Port 4 Non-inverting transmit data output pin
Port 4 Inverting transmit data output pin
A15
B15
TX4_S_LV+
TX4_S_LV-
O
LVDS
Port 4 Non-inverting transmit strobe output pin
Port 4 Inverting transmit strobe output pin
Initial Transmit divide by input. On power up of RST assertion
the data set by these pins will be loaded into all 4 of the
Initialization Divide Registers.
23
SpaceWire Interface
Pin No.
J2
Pin Name
LV_CM
I/O
Type
I
LVCMOS
I/O
Type
Description
Description
Interface Enable used to select LVDS I/O or LVCMOS
Time Code Signal
Pin No.
Pin Name
T6
TICK_IN
I
LVCMOS
When asserted and the link interface is in the Run state the
transmitter sends a Time-Code immediately after the current
character has been transmitted. Six-bit time input port, a twobit control flag input port.
T7
TICK_OUT
O
LVCMOS
Will be asserted whenever the link interface is in the Run state
and the receiver receives a valid Time-Code. A six-bit time
output port and a two-bit control flag output port.
T2
T3
T4
R2
R3
R4
R5
R6
TIME_CODE0
TIME_CODE1
TIME_CODE2
TIME_CODE3
TIME_CODE4
TIME_CODE5
TIME_CODE6
TIME_CODE7
I/O
LVCMOS
8 bit Time code port.
I/O
Type
System Interface FIFOs
Pin No.
Pin Name
Description
C1
D1
F1
G1
C2
D2
E2
F2
G2
TX_DATA0
TX_DATA1
TX_DATA2
TX_DATA3
TX_DATA4
TX_DATA5
TX_DATA6
TX_DATA7
TX_DATA8
I
LVCMOS
Data Inputs for 9-bit Bus
A3
A4
A6
A7
B3
B4
B5
B6
B7
RX_DATA0
RX_DATA1
RX_DATA2
RX_DATA3
RX_DATA4
RX_DATA5
RX_DATA6
RX_DATA7
RX_DATA8
O
LVCMOS
Data Outputs for 9-bit Bus
K1
OE
I
LVCMOS
External Port Output Enable
L1
CSEL
I
LVCMOS
External Chip Select input
24
System Interface FIFOs
Pin No.
Pin Name
I/O
Type
Description
D3
TX_PUSH
I
LVCMOS
Transmit Push signal. One location of data will be loaded in the
Transmit FIFO on the Rising Edge of HOST_CLK when
TX_PUSH is Low
C4
RX_POP
I
LVCMOS
Receive Pop Signal. FIFO pop request, active low
C5
RX_EMPTY
O
LVCMOS
Empty Flag: When RX_EMPTY is High, the RECEIVE FIFO
is empty. Synchronized to HOST_CLK.
E3
TX_FULL
O
LVCMOS
Full Flag: When TX_FULL is HIGH, the TRANSMIT FIFO is
full. FF is synchronized to HOST-CLK.
C6
RX_AEMPTY
O
LVCMOS
Almost Empty: When the RX_AEMPTY is High, the RECEIVE
FIFO is 8 locations from being empty.
F3
TX_AFULL
O
LVCMOS
Almost Full: When the TX_AFULL is High, the TRANSMIT
FIFO is 8 locations from being full.
25
13.0 OPERATIONAL ENVIRONMENT
PARAMETER
LIMITS
UNITS
Total Ionizing Dose (TID)
>1E5
rads(Si)
Single Event Latchup (SEL) 2
>100
MeV-cm2/mg
SEU Saturated Cross-Section
1.1E-6
cm2/port
Onset Single Event Upset (SEU) LET3
>28
MeV-cm2/mg
Neutron Fluence
1E14
n/cm2
Notes:
1. Worst case temperature and voltage of TC = +125oC, VDD = 3.6V, VDDC = 2.7V for SEL.
2. Worst case test temperature and voltage of TC = +25oC, VDD = 3.0V, VDDC = 2.5V for SEU.
26
14.0 ELECTRICAL CHARACTERISTICS
14.1 ABSOLUTE MAXIMUM RATINGS:1
(Referenced to VSS)
SYMBOL
DESCRIPTION
LIMITS
UNITS
VDDC
Core supply voltage
-0.3 to 3.6
V
VDD
I/O supply voltage
-0.3 to 4.3
V
VI/O
Voltage on any pin during operation
-0.3 to VDD + 0.3
V
+10
mA
11
W
-65 to +150
C
II
DC Input Current
PD2
Maximum Package Power Dissipation
permitted at TC=105oC
TSTG
Storage Temperature
JC
Thermal Resistance, Junction to Case
4.0
C/W
Junction Temperature
150
C
TJ
Notes:
1.Stresses outside the listed absolute maximum ratings may caught permanent damage to the device. This is stress rating only, functional operation of the device at
these or any other conditions beyond limits indicated in the operational sections is not recommended. Exposure to absolute maximum rating conditions for extended
periods may affect device reliability and performance.
2. Per MIL-STD-883, Method 1012.1, Section 3.4.1, PD = TJ(MAX) -TC(MAX)
JC
14.2 RECOMMENDED OPERATING CONDITIONS
SYMBOL
DESCRIPTION
LIMITS
UNITS
VDDC
Core supply voltage
2.3 to 2.7
V
VDD
I/O supply voltage
3.0 to 3.6
V
VIN
Input voltage on any pin
0 to VDD
V
TC
Case Temperature
-40 to +105
C
CMOS Inputs (VIL-VIH)
< 20
ns
LVDS Inputs (VTL-VTH)
< 20
ns
CMOS Inputs (VIH-VIL)
< 20
ns
LVDS Inputs (VTH-VTL)
< 20
ns
tRISE
Input Rise Time
tFALL
Input Fall Time
27
14.3 DC ELECTRICAL CHARACTERISTICS - LVDS Driver (Pre and Post-Radiation) *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature range ordered
SYMBOL
PARAMETER
CONDITION
MIN
MAX
UNIT
VOL
Low-level output voltage
RL = 100
0.8
---
V
VOH5
High-level output voltage
RL = 100
---
2.1
V
VOD1,5
Differential Output Voltage
RL = 100
250
600
mV
VOD1
Change in Magnitude of VOD for
Complementary Output States
RL = 100
---
35
mV
VOS5
Offset Voltage
RL = 100,
1.1
1.8
V
VOS
Change in Magnitude of VOS for
Complementary Output States
RL = 100VOH + VOL
---
25
mV
IOS2, 3
Output Short Circuit Current
VOUT+ = 0V or VDD
VOUT- = 0V or VDD
-9.0
9.0
mA
IOZ
Output Three-State Current
LV_CM = VSS
VO = 0V or VDD, VDD = 3.6V
-10
+10

COUTLVDS4
LVDS Output Capacitance
---
10
pF
2
= VOS
Notes:
*For devices procured with a total ionizing dose tolerance guarantee, the post-irradiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition A, up to the maximum TID level procured.
1. Current into device pins is defined as positive. Current out of device pins is defined as negative. All voltages are referenced to ground except differential voltages.
2. Guaranteed by characterization
3. Output short circuit current (IOS) is specified as magnitude only, minus sign indicates direction only.
4. Capacitance is measured for initial qualification and when design changes may affect the input/output capacitance. Capacitance is measured between the designated
terminal and VSS at a frequency of 1MHz and a signal amplitude of 50mV maximum.
5. Supplied as a design guideline, not tested or guaranteed.
28
14.4 DC ELECTRICAL CHARACTERISTICS - LVDS Receiver (Pre and Post-Radiation) *1
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature range ordered.
SYMBOL
PARAMETER
CONDITION
MIN
MAX
UNIT
VCMR2
Common mode supply voltage
VID = 200mV peak-to-peak
0.1
2.3
V
ILVDIN
Receiver input current
VIN =2.4V
-15
+15

Cold spare leakage current
VIN = 3.6V, VDD = VSS
-10
+10

VTH2
Differential input high threshold
VCM = +1.2V
VCM +0.1
---
V
VTL2
Differential input low threshold
VCM = +1.2V
---
VCM -0.1
V
VCL
Input Clamp Voltage
IIN = + 1.0mA
-1.5
-0.4
V
---
10
pF
ICS
CINLVDS3
LVDS Input Capacitance
Notes:
*For devices procured with a total ionizing dose tolerance guarantee, the post-irradiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition A, up to the maximum TID level procured.
1. Current into device pins is defined as positive. Current out of device pins is defined as negative. All voltages are referenced to ground.
2. Guaranteed by characterization and functionally tested.
3. Capacitance is measured for initial qualification and when design changes may affect the input/output capacitance. Capacitance is measured between the designated
terminal and VSS at a frequency of 1MHz and a signal amplitude of 50mV maximum.
29
14.5 DC ELECTRICAL CHARACTERISTICS - LVCMOS I/O (Pre and Post-Radiation)*
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature range ordered.
SYMBOL
PARAMETER
CONDITION
MIN
MAX
UNIT
VIH1
High-level input voltage
0.7VDD
---
V
VIL1
Low-level input voltage
---
0.3VDD
V
VOL
Low-level output voltage
IOL = 8.0mA
IOL = 12mA
IOL = 100A
0.4
0.4
0.25
V
---
VOH
High-level output voltage
IOH = -8.0mA
IOH = -12mA
IOH = -100A
VDD-0.6
VDD-0.6
VDD-0.25
---
-1
1

---
1.3
V
ICMOSIN
Input leakage current
VIN = VDD or VSS
V
VT-
RST Pin Input Low Threshold
VT+
RST Pin Input HIGH Threshold
1.65
---
V
VH
RST Pin Hysteresis
0.6
---
V
-100
100
mA
12
mA
IOS3,4
Output Short Circuit Current
VO = VDD and VSS
IOL125
Output Current (Sink)
VIN = VDD or VSS
VOL = 0.4V
TX1_D, TX1_S, TX2_D, TX2_S,
TX3_D, TX3_S, TX4_D, TX4_S
IOH125
IOL85
IOH85
CINCMOS6
Output Current (Souce)
Output Current (Sink)
Output Current (Source)
VIN = VDD or VSS
VOH = VDD - 0.6V
TX1_D, TX1_S, TX2_D, TX2_S,
TX3_D, TX3_S, TX4_D, TX4_S
VIN = VDD or VSS
VOL = 0.4V
TICK_OUT, RX_DATA[8:0],
RX_EMPTY, TX_FULL,
AEMTY_FLAG, AFULL_FLAG,
TIME_CODE[7:0]
VIN = VDD or VSS
VOH = VDD -0.6V
TICK_OUT, RX_DATA[8:0],
RX_EMPTY, TX_FULL,
AEMTY_FLAG, AFULL_FLAG,
TIME_CODE[7:0]
Input Capacitance
COUTCMOS6 Output Capacitance
30
---
-12
mA
---
8
mA
---
-8
mA
---
15
pF
15
pF
Notes
*For devices procured with a total ionizing dose tolerance guarantee, the post-irradiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition A, up to the maximum TID level procured.
1. Functional tests are conducted in accordance with MIL-STD-883 with the following input test conditions: VIH = VIH (min) + 20%, - 0%; VIL = VIL (max) + 0%, 50%, as specified herein, for TTL, CMOS, or Schmitt compatible inputs. Devices may be tested using any input voltage within the above specified range, but are
guaranteed to VIH (min) and VIL (max).
2. Per MIL-PRF-38535, for current density 5.0E5 amps/cm2, the maximum product of load capacitance (per output buffer) times frequency should not exceed 3,765
pF/MHz.
3. Supplied as a design limit but not guaranteed or tested.
4. Not more than one output may be shorted at a time for maximum duration of one second.
5. Guaranteed by characterization.
6. Capacitance measured for initial qualification and when design changes may affect the value. Capacitance is measured between the designated terminal and VSS at
frequency of 1MHz and a signal amplitude of 50mV rms maximum.
31
14.6 DC ELECTRICAL CHARACTERISTICS - Power Supply Operating Characteristics (pre- and post-radiation) *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
PARAMETER
IDDCLV1
Active core power supply
current one SpW port
Active (LVDS)
IDDCLV4
IDDLV1
IDDLV4
IDDCS
Active core power supply
current four SpW ports
Active (LVDS)
Active I/O power supply
current one SpW port
Active (LVDS)
Active I/O power supply
current four SpW ports
Active (LVDS)
Standby core power supply
current
CONDITION
VDDC = 2.7V
VDDC = 2.7V
VDD = 3.6V
VDD = 3.6V
VDDC=2.7V
HOST_CLK=0MHz
TXCLK_IN1=0MHz
TXCLK_IN2=0MHz
TXCLK_IN3=0MHz
TXCLK_IN4=0MHz
32
MIN
MAX
UNIT
HOST_CLK = 2.5MHz
One TXCLK_IN =
10MHz
60
HOST_CLK = 25MHz
One TXCLK_IN =
100MHz
480
HOST_CLK = 50MHz
One TXCLK_IN =
200MHz
960
HOST_CLK = 2.5MHz
TXCLK_IN[1:4] =
10MHz
75
HOST_CLK = 25MHz
TXCLK_IN[1:4] =
100MHz
650
HOST_CLK = 50MHz
TXCLK_IN[1:4] =
200MHz
1275
HOST_CLK = 2.5MHz
One TXCLK_IN =
10MHz
21
HOST_CLK = 25MHz
One TXCLK_IN =
100MHz
26
HOST_CLK = 50MHz
One TXCLK_IN =
200MHz
29
HOST_CLK = 2.5MHz
TXCLK_IN[1:4] =
10MHz
30
HOST_CLK = 25MHz
TXCLK_IN[1:4] =
100MHz
50
HOST_CLK = 50MHz
TXCLK_IN[1:4] =
200MHz
60
ROOM/COLD
200
A
HOT
12
mA
mA
mA
mA
mA
SYMBOL
PARAMETER
IDDS
Standby I/O power supply
current
VDD = 3.6V
Active core power supply
current one SpW port
Active (LVCMOS)
VDDC = 2.7V
IDDCCM1
IDDCCM4
IDDCM1
IDDCM4
Active core power supply
current four SpW ports
Active (LVCMOS)
Active I/O power supply
current one SpW port
Active (LVCMOS)
Active I/O power supply
current four SpW ports
Active (LVCMOS)
CONDITION
MIN
MAX
UNIT
20
m
HOST_CLK = 0MHz,
TXCLK_IN1 = 0MHz, TXCLK2_IN = 0MHz,
TXCLK_IN3 = 0MHz, TXCLK_IN4 = 0MHz
VDDC = 2.7V
VDD = 3.6V
VDD = 3.6V
HOST_CLK = 2.5MHz
One TXCLK_IN =
10MHz
60
HOST_CLK = 25MHz
One TXCLK_IN =
100MHz
480
HOST_CLK = 50MHz
One TXCLK_IN =
200MHz
960
HOST_CLK = 2.5MHz
TXCLK_IN[1.4] =
10MHz
74
HOST_CLK = 25MHz
TXCLK_IN[1.4] =
100MHz
650
HOST_CLK = 50MHz
TXCLK_IN[1.4] =
200MHz
1275
HOST_CLK = 2.5MHz
One TXCLK_IN =
10MHz
20
HOST_CLK = 25MHz
One TXCLK_IN =
100MHz
35
HOST_CLK = 50MHz
One TXCLK_IN =
200MHz
45
HOST_CLK = 2.5MHz
TXCLK_IN[1.4] =
10MHz
22
HOST_CLK = 25MHz
TXCLK_IN[1.4] =
100MHz
60
HOST_CLK = 50MHz
TXCLK_IN[1.4] =
200MHz
110
mA
mA
mA
mA
* For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
33
14.7 AC ELECTRICAL CHARACTERISTICS - Power Sequencing and Reset *
(VDD = 3.3V + 0.3V; VDDC = 2.5V+ 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
PARAMETER
CONDITION
MIN
MAX
UNIT
VDD > 3.0V; VDDC > 2.25V
0
-
ns
tVCD1
VDD valid to VDDC delay
tDRST1
Minimum number of full clock cycles
(HOST_CLK) between Rising Edge of RST
and inputs valid
-
3
-
HOST_CLK
tCRST1
Minimum number of full clock cycles
(HOST_CLK) that RST must remain low
before RST can transition high
-
6
-
HOST_CLK
* For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Guaranteed by design.
34
Figure 18. Power Sequencing and Reset Timing Diagram
Figure 19. Reset Timing Diagram
35
14.8 AC ELECTRICAL CHARACTERISTICS - LVDS Transmit Port 1,2 *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered
SYMBOL
t
PARAMETER
MIN
MAX
UNIT
tSKDD
Differential Skew
---
500
ps
tRISED4
Rise Time
---
2.2
ns
t
Fall Time
---
2.2
ns
LVDS Data/Strobe Output Skew (Per Port)
---
1
ns
4
FALLD
DSSKEWLV
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Generator waveform for all tests unless otherwise specified: f =1 MHz, Zo = 50, tr < 1ns, and tf < 1ns.
2. CL includes probe and jig capacitance.
3. Guaranteed by characterization.
4. Guaranteed by design.
Figure 20. LVDS Driver Differential Skew, Rise and Fall Time Test Circuit
36
Figure 21. LVDS Driver tSKDD Timing Diagram
Figure 22. LVDS Driver Rise and Fall Timing Diagram
37
Figure 23. LVDS Driver Data/Strobe Output Skew
38
14.9 AC ELECTRICAL CHARACTERISTICS - LVDS Receiver Port 1, 2*
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
t
DSSEP
PARAMETER
Minimum Data/Strobe Separation (Per Port)
MIN
MAX
UNIT
3.5
---
ns
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Generator waveform for all tests unless otherwise specified: f =1 MHz, Zo = 50, tr < 1ns, and tf < 1ns.
2. CL includes probe and jig capacitance.
Figure 24. LVDS Receiver Equivalent Test Circuit
Figure 25. LVDS Receive Port Minimum Data/Strobe Separation
VDDIO
VDDIO
100 
DUT
40pF
Figure 26. CMOS Equivalent Test Load
39
100 
14.10 AC ELECTRICAL CHARACTERISTICS - LVCMOS SpW TRANSMIT PORT *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
t
MIN
MAX
UNIT
Data/Strobe Output Skew (Per Port)
---
1.5
ns
1
LVCMOS SPW Transmit Output Rise Time
---
2.4
ns
1
LVCMOS SPW Transmit Output Fall Time
---
1.3
ns
DSSKEWCM
t
t
TLHCM
THLCM
PARAMETER
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Guaranteed by design.
tDSKEWCM
Figure 27. LVCMOS Transmit Port Data/Strobe Output Skew
14.11 AC ELECTRICAL CHARACTERISTICS - LVCMOS SpW RECEIVE PORT*
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
PARAMETER
tDSSEPCM
Minimum Data/Strobe Separation (Per Port)
MIN
3.5
MAX
UNIT
ns
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
Figure 28. LVCMOS Receive Port Minimum Data/Strobe Separation
40
14.12 AC ELECTRICAL CHARACTERISTICS - HOST CLOCK and SpW INPUT CLOCKS *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
f
1
HOST
f
TXCLKIN
PARAMETER
MIN
MAX
UNIT
HOST_CLK frequency
2.5
50
MHz
SpaceWire ports Input clock frequencies TXCLK_IN_1, TXCLK_IN_2,
TXCLK_IN_3, and TXCLK_IN_4
10
200
MHz
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. HOST_CLK must run at 0.25X the fastest TXCLK_IN frequency.
Figure 29. HOST_CLK max TXCLK_IN requirements
41
14.13 AC ELECTRICAL CHARACTERISTICS - Time Code Interface *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
t
t
TCDS
t
t
MAX
UNIT
2
Time Code Data Setup time to HOST_CLK Rising Edge and TICK_IN
High
2
---
ns
2
Time Code Data Hold time from HOST_CLK Rising Edge and TICK_IN
High
0
---
ns
2.5
---
ns
0
---
ns
5.753
15
ns
TIS
TICK_IN Setup time to HOST_CLK Rising Edge and TIME_CODE
Valid
TIH
TICK_IN Hold time from HOST_CLK Rising Edge and TIME_CODE
Valid
2
TCV
Time from HOST_CLK Rising Edge to TIME_CODE Transition
THVH
Time from HOST_CLK Rising Edge to TICK_OUT High
3
15
ns
tTHVL
Time from HOST_CLK Rising Edge to TICK_OUT Low
3
15
ns
1,2
TICK_OUT High to Low (HOST_CLK)
---
1.5
ns
1,2
TICK_OUT Low to High (HOST_CLK)
---
1.5
ns
t
t
MIN
TCDH
t
t
PARAMETER
TOHL
TOLH
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Guaranteed by design.
2. Time code signals Time-Code6 and Time-Code7 are excluded.
3. Guaranteed by characterization.
Figure 30. TICK_IN
The TICK_IN signal requests the transmission of a time code. The 8-bit TIME_CODE port sends the time code value. When the router is in the
Run state and TICK_IN is asserted, the router will send a time-code immediately after the character currently being transmitted has finished.
42
Figure 31. TICK_OUT Interface
TICK_OUT signals that a time code has arrived at a SpaceWire interface. TICK_OUT is asserted whenever the link interface is in the Run state and
the receiver receives a valid time code. The 8-bit time code port TIME_CODE[7:0] will reflect the current value of the time code. A valid time code
is a time code that is one more than the current value of the router's time-counter.
Figure 32. HOST_CLK to TIME_CODE Transition
43
14.14 AC ELECTRICAL CHARACTERISTICS - Transmit FIFO *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
UNIT
3
---
ns
TXH
Transmit Data Hold time from HOST_CLK Rising Edge
(TX_PUSH and CSEL Valid Low)
0
---
ns
6
---
ns
Transmit PUSH Hold time from HOST_CLK Rising Edge and CSEL
Low
0
---
ns
CSPUSHS
Chip SelectSetup time to HOST_CLK Rising Edge and TX_PUSH Low
12
---
ns
CSPUSHH
Chip Select Hold time from HOST_CLK Rising Edge and TX_PUSH
Low
0
---
ns
Almost Full to Full flag
8
---
# PUSHES
Time from last Transmit PUSH to TX_AFULL
---
9.5
ns
Time from last Transmit Push to TX_FULL
---
9.5
ns
t
Transmit PUSH Setup time to HOST_CLK Rising Edge and CSEL Low
TXPUSHS
TXPUSHH
t
t
MAX
Transmit Data Setup time to HOST_CLK Rising Edge
(TX_PUSH and CSEL Valid Low)
t
t
MIN
TXS
t
t
PARAMETER
1
ALM2FULL
tTXAF
t
TXF
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Guaranteed by design.
Figure 33. Transmit FIFO Almost Full Flag
44
Figure 34.Transmit Port PUSH
Note: This figure is for illustrative purposes. Max throughput on system port is 200Mbps.
Figure 35.Transmit Port PUSH with Chip Select Transition
45
14.15 AC ELECTRICAL CHARACTERISTICS - Receive FIFO *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V)Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
MIN
MAX
UNIT
Receive Data Transition time from
HOST_CLK Rising Edge (RX_POPand CSEL Valid Low)
3
12.252
ns
RXPOPS
Receive POP Setup time to
HOST_CLKRising Edge and CSEL Low
3
---
ns
RXPOPH
Receive POP Hold time from
HOST_CLK Rising Edge and CSEL Low
0
---
ns
t
CSPOPS
Chip Select Setup time to HOST_CLK Rising Edge and RX_POP Low
13
---
ns
CSPOPH
Chip Select Hold time from HOST_CLK Rising Edge and RX_POP Low
0
---
ns
Almost Empty flag to Empty flag
8
---
# POPS
Time from last Receive data POP to RX_AEMPTY
---
9.5
ns
Time from last Receive data POP to RX_EMPTY High
---
9.5
ns
t
t
t
t
t
RXH
ALM2EMY
tRXAE
t
RXE
1
PARAMETER
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
1. Guaranteed by design.
2. Guaranteed by characterization.
Figure 37. Receive FIFO Almost Empty Flag
46
Figure 37. Receive Port POP
System port read timing specification, reading data out of System Port FIFO
Figure 38. HOST_CLK to RX_DATA Transition
47
14.16 AC ELECTRICAL CHARACTERISTICS - CONTROL INPUTS and RESET *
(VDD = 3.3V + 0.3V; VDDC = 2.5V + 0.2V) Unless otherwise noted, Tc is per the temperature ordered.
SYMBOL
t
PARAMETER
MIN
MAX
UNIT
RXOE
Time from OE Low to valid Output Data (See Table 16)
---
10
ns
t
Time from CSEL Low to valid Output Data (See Table 16)
---
10
ns
RXOEZ
Time from OE High to Tri-state (See Table 16)
---
10
ns
t
Time from CSEL High to Tri-state (See Table 16)
---
10
ns
t
RXCS
RXCSZ
Notes:
*For devices procured with total ionizing dose tolerance guarantee, the post-radiation performance is guaranteed at 25oC per MIL-STD-883 Method 1019, Condition
A up to the maximum TID level procured.
Figure 39. Control Inputs Timing Information
48
15.0 Packaging
Figure 40. 255-CCGA
49
Figure 41. 255-CBGA
50
Figure 42. 255-CLGA
51
ORDERING INFORMATION
UT200SpW4RTR 4-Port SpaceWire Router:
UT200SpW 4RTR *- *
*
*
Lead Finish: (Note 1)
(A) = Hot Solder Dipped or Tinned
(C) = Gold
Screening: (Notes 2 & 3)
(P) = Prototype Flow (Temperature Range: 25oC only)
(E) = HiRel Flow
(Temperature Range: -40C to +105C)
Package Type:
(Z) = 255-lead ceramic land grid array (CLGA)
(S) = 255-lead ceramic column grid array (CCGA)
(C) = 255-lead ceramic ball grid array (CBGA)
TID Tolerance:
(-) = None
Device Type:
(4RTR) =4-Port SpaceWire Router
Device Type:
Generic UT200SpW SpaceWire Base Part Number
Notes:
1. Lead finish (A or C) must be specified according to the table below.
2. Prototype flow per Aeroflex Colorado Springs Manufacturing Flows Document. Tested at 25C only. Radiation neither tested nor guaranteed.
3. HiRel Flow per Aeroflex Colorado Springs Manufacturing Flows Document. Radiation neither tested nor guaranteed.
Package Option
Associated Lead Finish
(Z) 255 CLGA
(C) Gold
(S) 255 CCGA
(A) Hot Solder Dipped
(C) 255 CBGA
(A) Hot Solder Dipped
52
4-Port SpaceWire Router: SMD
5962 * _08244*** *******
Lead Finish: (Note 1)
(C) = Gold
Case Outline: (Note 2)
(X) = 255-lead ceramic land grid array
(Y) = 255-lead ceramic column grid array
Class Designator:
(Q) = QML Class Q
(V) = QML Class V
Device Type
(01) = UT200SpW4RTR (Temperature Range -40oC to +105oC)
Drawing Number: 08244
Total Dose
(R) = 1E5 rad(Si)
Federal Stock Class Designator: No Options
Notes:
1. Lead finish is "C" (gold) only.
2. Using an Altered Item Drawing (AID), Aeroflex offers Column Attachment as an additional service for the Ceramic Land Grid Array (Case outline X). If
needed, please ask for COLUMN ATTACHMENT when submitting your request for quotation.
53
Aeroflex Colorado Springs - Datasheet Definition
Advanced Datasheet - Product In Development
Preliminary Datasheet - Shipping Prototype
Datasheet - Shipping QML & Reduced Hi-Rel
COLORADO
Toll Free: 800-645-8862
Fax: 719-594-8468
INTERNATIONAL
Tel: 805-778-9229
Fax: 805-778-1980
NORTHEAST
Tel: 603-888-3975
Fax: 603-888-4585
SE AND MID-ATLANTIC
Tel: 321-951-4164
Fax: 321-951-4254
WEST COAST
Tel: 949-362-2260
Fax: 949-362-2266
CENTRAL
Tel: 719-594-8017
Fax: 719-594-8468
www.aeroflex.com
[email protected]
Aeroflex Colorado Springs, Inc., reserves the right to make
changes to any products and services herein at any time
without notice. Consult Aeroflex or an authorized sales
representative to verify that the information in this data sheet
is current before using this product. Aeroflex does not assume
any responsibility or liability arising out of the application or
use of any product or service described herein, except as
expressly agreed to in writing by Aeroflex; nor does the
purchase, lease, or use of a product or service from Aeroflex
convey a license under any patent rights, copyrights,
trademark rights, or any other of the intellectual rights of
Aeroflex or of third parties.
Our passion for performance is defined by three
attributes represented by these three icons:
solution-minded, performance-driven and customer-focused
54