CM41-00314-8

本ドキュメントは Cypress (サイプレス) 製品に関する情報が記載されております。本ドキュメント
には、仕様の開発元企業として「スパンション」または「Spansion」の名が記載されておりますが、
これらの製品は Cypress が新規および既存のお客様に引き続き提供してまいります。
商品仕様の継続性について
Cypress 製品として提供することに伴う商品仕様としての変更はなく、ドキュメントとしての変更も
ありません。また本ページのお知らせは、変更情報として追記いたしません。本ドキュメントに変更
情報が記載されている場合、それは本お知らせを除いた前版からの変更点です。なお、今後改訂は必
要に応じて行われますが、その際の変更内容は改訂後のドキュメントに記載いたします。
オーダ型格および品名について
Spansion は既存のオーダ型格および品名を引き続きサポートいたします。これらの製品をご注文の
際は、このドキュメントに記載されているオーダ型格および品名をご使用ください。
詳しいお問い合わせ先
Cypress 製品およびそのソリューションの詳細につきましては、お近くの営業所へお問い合わせくだ
さい。
サイプレスについて
サイプレス (銘柄コード:CY) は、車載や産業機器、ネットワーキング プラットフォームから高機能
民生機器およびモバイル機器まで、今日の最先端組み込みシステム向けに高性能で高品質のソリュー
TM
TM
ションを提供します。NOR フラッシュ メモリや F-RAM 、SRAM、Traveo マイクロコントロー
®
ラー、業界唯一の PSoC プログラマブル システムオンチップ ソリューション、アナログおよび
PMIC Power Management IC、CapSense®静電容量タッチセンシング コントローラー、Wireless
®
BLE Bluetooth Low-Energy、USB コネクティビティ ソリューションなど、幅広い差別化製品ポート
フォリオを、一貫した革新性と業界最高クラスの技術サポート、比類のないシステム バリューとと
もにグローバルに提供します。
F2MC®-16
ファミリ
®
SOFTUNE WORKBENCH
コマンドリファレンスマニュアル
Software Support Manual
Publication Number CM41-00314
MB90880ÉVÉäÅ[ÉY Cover Sheet
Revision 9.0
Issue Date July 31, 2015
F2MC®-16
ファミリ
®
SOFTUNE WORKBENCH
コマンドリファレンスマニュアル
Software Support Manual
MB90880ÉVÉäÅ[ÉY Cover Sheet
S o ft w a r e
S u p p o r t
M a n u a l
はじめに
■ SOFTUNE Workbench とは
このSOFTUNE Workbenchは, Spansion製マイクロプロセッサ/マイクロコントローラF2MC16 ファミリのプログラムの開発を行うためのサポートソフトウェアです。
開発マネージャ , シミュレータデバッガ , エミュレータデバッガ , モニタデバッガを 1
つに統合しており , 効率よく開発を進めることができる統合型開発環境です。
■ 本書の目的と対象読者
本書は , SOFTUNE Workbench のコマンドおよび組込み変数 / 関数についてリファレン
ス形式で解説したものです。
本書は , SOFTUNE Workbench を使用して各種製品を開発される技術者の方を対象にし
ています。本書をご一読ください。
■ 商標
SOFTUNE は Spansion LLC の登録商標です。
F2MC は Spansion LLC の登録商標です。
Windows は , 米国 Microsoft Corporation の米国およびその他の国における登録商標です。
その他の記載されている社名および製品名などの固有名詞は , 各社の商標または登録
商標です。
July 31, 2015, CM41-00314-9
i
So ft war e
S up po r t
M a nu al
■ 本書の全体構成
本書は , 以下に示す 14 の章および付録で構成されています。
第 1 章 環境設定コマンド
環境設定コマンドについて説明します。
第 2 章 プログラム実行コマンド
プログラム実行コマンドについて説明します。
第 3 章 ブレーク / イベント制御コマンド
ブレーク / イベント制御コマンドについて説明します。
第 4 章 プログラム実行の 解析コマンド
プログラム実行の解析コマンドについて説明します。
第 5 章 メモリ / レジスタ 操作コマンド
メモリ / レジスタ操作コマンドについて説明します。
第 6 章 アセンブル / 逆アセンブルコマンド
アセンブル / 逆アセンブルコマンドについて説明します。
第 7 章 ロード / セーブコマンド
ロード / セーブコマンドについて説明します。
第 8 章 ソースファイル / シンボルコマンド
ソースファイル / シンボルコマンドについて説明します。
第 9 章 コマンドプロシージャ コマンド
コマンドプロシージャコマンドについて説明する。
第 10 章 置き換えコマンド
置き換えコマンドについて説明します。
第 11 章 ユーティリティコマンド
ユーティリティコマンドについて説明します。
第 12 章 タスクデバッグコマンド
タスクデバッグコマンドについて説明します。
第 13 章 制御コマンド
制御コマンドについて説明します。
第 14 章 組込み変数 / 関数
組込み変数 / 関数について説明します。
付録
マネージャ関係およびデバッガ関係の , メッセージを掲載します。
ii
CM41-00314-9, July 31, 2015
S o ft w a r e
July 31, 2015, CM41-00314-9
S u p p o r t
M a n u a l
iii
So ft war e
S up po r t
M a nu al
本書の読み方
■ 本書のページ構成
本書の各セクションには,タイトルの下に必ずそのセクションの要約が付いていますの
で , その要約だけ追っていただいても , 本製品の概要を把握できます。
また , 上位セクションのタイトルを下位セクションにも記述していますので , 現在読ん
でいる場所がどのセクションに位置しているのかを把握できます。
iv
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
コマンドリファレンス記述形式
コマンドリファレンスの記述形式は , 以下のようになっています。
コマンド名
■ 対応デバッガ
■ 形式
■ 機能
■ 例
コマンド名:
説明が記述されているコマンド名です。
対応デバッガ:
デバッガの種別によりコマンドの使用可否が異なります。またエミュレータデバッ
ガの場合は使用するエミュレータによっても使用可否が異なります。
[ デバッガ種別 ]
Simulator:シミュレータデバッガ
Emulator :エミュレータデバッガ
Monitor :モニタデバッガ
[ コマンドの使用可否 ]
◎:使用できます。
○:ユーザプログラム実行中を除き , 使用できます。
×:使用できません。
-:デバッガが存在しません。
形式:
コマンドの書式 , パラメータ , コマンド修飾子について説明しています。コマンド
入力は , この形式に従って入力します。
「●パラメータ」では以下の記載があります。
• (デフォルト n 進数)はプリフィックスを省略した場合に扱う基数を表します。
• (アドレス式)は『SOFTUNE Workbench 操作マニュアル』の「2.1.5 アドレス式
の指定方法」を参照してください。
機能:
コマンドの機能について説明しています。
July 31, 2015, CM41-00314-9
v
So ft war e
S up po r t
M a nu al
例:
コマンドの記述例です。実際とは , 多少異なる場合があります。
vi
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
目次
第1章
環境設定コマンド ...................................................................................... 1
1.1
1.2
1.3
1.4
1.5
1.6
1.7
1.8
1.9
1.10
1.11
1.12
1.13
1.14
1.15
1.16
1.17
1.18
1.19
1.20
1.21
1.22
1.23
1.24
1.25
1.26
1.27
1.28
1.29
1.30
1.31
1.32
1.33
1.34
1.35
1.36
1.37
1.38
1.39
1.40
1.41
1.42
1.43
1.44
INITIALIZE .............................................................................................................................. 3
EXIT........................................................................................................................................ 4
RESET.................................................................................................................................... 5
SET RESET............................................................................................................................ 7
SHOW RESET........................................................................................................................ 8
SET RUNMODE ..................................................................................................................... 9
SHOW RUNMODE ............................................................................................................... 11
SET MODE (type 1).............................................................................................................. 12
SET MODE (type 2).............................................................................................................. 15
SHOW MODE....................................................................................................................... 17
SET DEBUG ......................................................................................................................... 18
SHOW DEBUG..................................................................................................................... 20
SET TIMERSCALE(type1).................................................................................................... 21
SET TIMERSCALE(type2).................................................................................................... 22
SHOW TIMERSCALE(type1) ............................................................................................... 24
SHOW TIMERSCALE(type2) ............................................................................................... 25
CANCEL TIMERSCALE ....................................................................................................... 26
SET RADIX........................................................................................................................... 27
SHOW RADIX....................................................................................................................... 28
SET SOURCE ...................................................................................................................... 29
SHOW SOURCE .................................................................................................................. 30
SHOW SYSTEM................................................................................................................... 31
SET MAP(type 1).................................................................................................................. 33
SET MAP(type 2).................................................................................................................. 36
SHOW MAP.......................................................................................................................... 37
CANCEL MAP ...................................................................................................................... 38
ENABLE VERIFYMODE....................................................................................................... 39
DISABLE VERIFYMODE...................................................................................................... 40
SHOW VERIFYMODE.......................................................................................................... 41
SET INPORT ........................................................................................................................ 42
SHOW INPORT .................................................................................................................... 44
CANCEL INPORT................................................................................................................. 45
SET OUTPORT .................................................................................................................... 46
SHOW OUTPORT ................................................................................................................ 48
CANCEL OUTPORT............................................................................................................. 49
SET INTERRUPT ................................................................................................................. 50
SHOW INTERRUPT ............................................................................................................. 51
CANCEL INTERRUPT.......................................................................................................... 52
SET VECTOR....................................................................................................................... 53
SHOW VECTOR................................................................................................................... 54
ENABLE WATCHDOG ......................................................................................................... 55
DISABLE WATCHDOG ........................................................................................................ 56
SHOW WATCHDOG ............................................................................................................ 57
SET WATCH......................................................................................................................... 58
July 31, 2015, CM41-00314-9
vii
So ft war e
1.45
1.46
1.47
1.48
1.49
1.50
1.51
1.52
1.53
1.54
1.55
1.56
1.57
1.58
1.59
1.60
1.61
1.62
1.63
1.64
viii
S up po r t
M a nu al
CANCEL WATCH ................................................................................................................. 60
SET PERIPHERALMODE .................................................................................................... 61
SHOW PERIPHERALMODE ................................................................................................ 62
SET FREQUENCY ............................................................................................................... 63
SHOW FREQUENCY ........................................................................................................... 65
CANCEL FREQUENCY........................................................................................................ 66
SET GUARDMAP ................................................................................................................. 67
SHOW GUARDMAP............................................................................................................. 69
CANCEL GUARDMAP ......................................................................................................... 70
ENABLE POWERONDEBUG............................................................................................... 71
DISABLE POWERONDEBUG.............................................................................................. 72
SHOW POWERONDEBUG.................................................................................................. 73
SYNCHRONIZE FLASH ....................................................................................................... 74
ERASE FLASH ..................................................................................................................... 76
ENABLE DMA....................................................................................................................... 77
DISABLE DMA...................................................................................................................... 78
SHOW DMA.......................................................................................................................... 79
ENABLE CLOCKSUPERVISOR........................................................................................... 80
DISABLE CLOCKSUPERVISOR.......................................................................................... 81
SHOW CLOCKSUPERVISOR.............................................................................................. 82
第2章
プログラム実行コマンド.......................................................................... 83
2.1
2.2
2.3
2.4
2.5
2.6
2.7
2.8
2.9
2.10
2.11
2.12
GO ........................................................................................................................................ 84
SET GO ................................................................................................................................ 86
SHOW GO ............................................................................................................................ 87
ABORT ................................................................................................................................. 88
SET ABORT ......................................................................................................................... 90
SHOW ABORT ..................................................................................................................... 91
STEP .................................................................................................................................... 92
SET STEP ............................................................................................................................ 94
SHOW STEP ........................................................................................................................ 96
CALL..................................................................................................................................... 97
CLEAR CALL........................................................................................................................ 99
SHOW STATUS ................................................................................................................. 100
第3章
ブレーク / イベント制御コマンド .......................................................... 103
3.1
3.2
3.3
3.4
3.5
3.6
3.7
3.8
3.9
3.10
3.11
3.12
3.13
SET BREAK (type 1) .......................................................................................................... 105
SET BREAK (type 2) .......................................................................................................... 108
SET BREAK (type 3) .......................................................................................................... 110
SHOW BREAK (type 1) ...................................................................................................... 111
SHOW BREAK (type 2) ...................................................................................................... 113
CANCEL BREAK ................................................................................................................ 114
ENABLE BREAK ................................................................................................................ 116
DISABLE BREAK ............................................................................................................... 118
SET DATABREAK (type 1)................................................................................................. 120
SET DATABREAK (type 2)................................................................................................. 123
SET DATABREAK (type 3)................................................................................................. 125
SHOW DATABREAK.......................................................................................................... 128
CANCEL DATABREAK ...................................................................................................... 129
CM41-00314-9, July 31, 2015
S o ft w a r e
3.14
3.15
3.16
3.17
3.18
3.19
3.20
3.21
3.22
3.23
3.24
3.25
3.26
3.27
3.28
3.29
3.30
3.31
3.32
3.33
3.34
3.35
3.36
3.37
3.38
3.39
S u p p o r t
M a n u a l
ENABLE DATABREAK....................................................................................................... 130
DISABLE DATABREAK...................................................................................................... 131
SET EVENT (type 1)........................................................................................................... 132
SET EVENT (type 2)........................................................................................................... 135
SET EVENT (type 3)........................................................................................................... 138
SHOW EVENT.................................................................................................................... 142
CANCEL EVENT ................................................................................................................ 144
ENABLE EVENT................................................................................................................. 146
DISABLE EVENT................................................................................................................ 147
SET SEQUENCE (type 1) .................................................................................................. 148
SET SEQUENCE (type 2) .................................................................................................. 150
SET SEQUENCE (type 3) .................................................................................................. 152
SET SEQUENCE (type 4) .................................................................................................. 155
SHOW SEQUENCE (type 1) .............................................................................................. 156
SHOW SEQUENCE (type 2) .............................................................................................. 159
SHOW SEQUENCE (type 3) .............................................................................................. 160
CANCEL SEQUENCE (type 1)........................................................................................... 161
CANCEL SEQUENCE (type 2)........................................................................................... 163
ENABLE SEQUENCE (type 1) ........................................................................................... 164
ENABLE SEQUENCE (type 2) ........................................................................................... 165
DISABLE SEQUENCE (type 1) .......................................................................................... 166
DISABLE SEQUENCE (type 2) .......................................................................................... 167
SET DELAY ........................................................................................................................ 168
SHOW DELAY.................................................................................................................... 169
SET TRIGGER ................................................................................................................... 170
SHOW TRIGGER ............................................................................................................... 171
第4章
プログラム実行の解析コマンド............................................................. 173
4.1
4.2
4.3
4.4
4.5
4.6
4.7
4.8
4.9
4.10
4.11
4.12
4.13
4.14
4.15
4.16
4.17
4.18
4.19
4.20
4.21
SET MULTITRACE............................................................................................................. 175
SHOW MULTITRACE......................................................................................................... 177
CLEAR MULTITRACE........................................................................................................ 180
ENABLE MULTITRACE...................................................................................................... 181
DISABLE MULTITRACE..................................................................................................... 182
SEARCH MULTITRACE..................................................................................................... 183
SET PERFORMANCE (type 1)........................................................................................... 185
SET PERFORMANCE (type 2)........................................................................................... 187
SET PERFORMANCE (type 3)........................................................................................... 189
SET PERFORMANCE (type 4)........................................................................................... 190
SHOW PERFORMANCE (type 1) ...................................................................................... 191
SHOW PERFORMANCE (type 2) ...................................................................................... 195
CLEAR PERFORMANCE................................................................................................... 196
ENABLE PERFORMANCE................................................................................................. 197
DISABLE PERFORMANCE................................................................................................ 198
CANCEL PERFORMANCE (type1) .................................................................................... 199
CANCEL PERFORMANCE (type2) .................................................................................... 200
SET COVERAGE ............................................................................................................... 201
SHOW COVERAGE ........................................................................................................... 203
CANCEL COVERAGE........................................................................................................ 206
CLEAR COVERAGE .......................................................................................................... 207
July 31, 2015, CM41-00314-9
ix
So ft war e
4.22
4.23
4.24
4.25
4.26
4.27
4.28
4.29
4.30
4.31
4.32
4.33
4.34
4.35
4.36
4.37
4.38
4.39
4.40
4.41
4.42
4.43
4.44
4.45
4.46
4.47
4.48
4.49
4.50
4.51
M a nu al
ENABLE COVERAGE ........................................................................................................ 208
DISABLE COVERAGE ....................................................................................................... 209
SET SAMPLING ................................................................................................................. 210
SHOW SAMPLING ............................................................................................................. 211
SHOW CALLS .................................................................................................................... 212
SHOW TIMER .................................................................................................................... 213
CLEAR TIMER.................................................................................................................... 215
SET TRACE (type 1) .......................................................................................................... 216
SET TRACE (type 2) .......................................................................................................... 217
SHOW TRACE (type 1) ...................................................................................................... 219
SHOW TRACE (type 2) ...................................................................................................... 222
SHOW TRACE (type 3) ...................................................................................................... 224
CLEAR TRACE................................................................................................................... 226
ENABLE TRACE ................................................................................................................ 227
DISABLE TRACE ............................................................................................................... 229
SEARCH TRACE................................................................................................................ 231
SET DATATRACEAREA (type 1) ....................................................................................... 234
SET DATATRACEAREA (type 2) ....................................................................................... 236
SHOW DATATRACEAREA ................................................................................................ 237
CANCEL DATATRACEAREA............................................................................................. 238
SET TRACETRIGGER ....................................................................................................... 240
SHOW TRACETRIGGER ................................................................................................... 243
CANCEL TRACETRIGGER................................................................................................ 244
SET DELAY ........................................................................................................................ 245
SHOW DELAY.................................................................................................................... 247
SET RAMCHECK ............................................................................................................... 248
SHOW RAMCHECK ........................................................................................................... 250
CANCEL RAMCHECK........................................................................................................ 251
ENABLE RAMCHECK ........................................................................................................ 253
DISABLE RAMCHECK ....................................................................................................... 255
第5章
メモリ / レジスタ操作コマンド.............................................................. 257
5.1
5.2
5.3
5.4
5.5
5.6
5.7
5.8
5.9
5.10
5.11
5.12
5.13
EXAMINE............................................................................................................................ 258
ENTER................................................................................................................................ 260
SET MEMORY.................................................................................................................... 262
SHOW MEMORY ............................................................................................................... 264
SEARCH MEMORY............................................................................................................ 267
SET REGISTER ................................................................................................................. 269
SHOW REGISTER ............................................................................................................. 270
COMPARE.......................................................................................................................... 272
FILL .................................................................................................................................... 273
MOVE ................................................................................................................................. 275
DUMP ................................................................................................................................. 276
COPY ................................................................................................................................. 278
VERIFY............................................................................................................................... 279
第6章
6.1
6.2
x
S up po r t
アセンブル / 逆アセンブルコマンド ...................................................... 281
ASSEMBLE ........................................................................................................................ 282
DISASSEMBLE .................................................................................................................. 284
CM41-00314-9, July 31, 2015
S o ft w a r e
第7章
7.1
7.2
ロード / セーブコマンド ........................................................................ 287
ソースファイル / シンボルコマンド ...................................................... 293
LIST .................................................................................................................................... 294
SET PATH .......................................................................................................................... 296
SHOW PATH ...................................................................................................................... 297
SHOW SCOPE ................................................................................................................... 298
UP....................................................................................................................................... 299
DOWN ................................................................................................................................ 300
第9章
9.1
9.2
コマンドプロシージャコマンド............................................................. 301
BATCH................................................................................................................................ 302
QUIT ................................................................................................................................... 304
第 10 章
10.1
10.2
10.3
10.4
10.5
10.6
置き換えコマンド .................................................................................. 305
SET ALIAS ......................................................................................................................... 306
SHOW ALIAS ..................................................................................................................... 307
CANCEL ALIAS .................................................................................................................. 308
SET VARIABLE .................................................................................................................. 309
SHOW VARIABLE .............................................................................................................. 310
CANCEL VARIABLE........................................................................................................... 312
第 11 章
11.1
11.2
11.3
11.4
11.5
11.6
11.7
11.8
M a n u a l
LOAD .................................................................................................................................. 288
SAVE .................................................................................................................................. 291
第8章
8.1
8.2
8.3
8.4
8.5
8.6
S u p p o r t
ユーティリティコマンド........................................................................ 313
SET LOGGING ................................................................................................................... 314
SHOW LOGGING............................................................................................................... 316
CANCEL LOGGING ........................................................................................................... 317
ENABLE LOGGING............................................................................................................ 318
DISABLE LOGGING........................................................................................................... 319
PRINTF............................................................................................................................... 320
SET OUTPUT ..................................................................................................................... 322
SHOW OUTPUT................................................................................................................. 323
第 12 章
タスクデバッグコマンド........................................................................ 325
12.1 SYSTEMCALL .................................................................................................................... 326
12.2 SHOW OBJECT ................................................................................................................. 328
第 13 章
13.1
13.2
13.3
13.4
制御コマンド.......................................................................................... 331
IF ........................................................................................................................................ 332
REPEAT ............................................................................................................................. 334
WHILE ................................................................................................................................ 335
BREAK ............................................................................................................................... 336
第 14 章
組込み変数 / 関数 ................................................................................... 337
14.1 %CALL ............................................................................................................................... 338
14.2 %ERRNUM......................................................................................................................... 339
14.3 %ENTRY ............................................................................................................................ 340
July 31, 2015, CM41-00314-9
xi
So ft war e
14.4
14.5
14.6
14.7
14.8
14.9
14.10
14.11
14.12
14.13
14.14
14.15
14.16
14.17
14.18
14.19
14.20
14.21
14.22
14.23
14.24
14.25
M a nu al
%STKTOP .......................................................................................................................... 341
%RADIX.............................................................................................................................. 342
%SCPADR.......................................................................................................................... 343
%LOADNUM....................................................................................................................... 344
%BIT, %B, %W, %L, %S, %D ............................................................................................ 345
%STRGET .......................................................................................................................... 347
%STRSTR .......................................................................................................................... 348
%STRCMP ......................................................................................................................... 349
%STRLEN .......................................................................................................................... 350
%STRCAT .......................................................................................................................... 351
%SYMLEN.......................................................................................................................... 352
%TOVAL............................................................................................................................. 353
%TOSTR ............................................................................................................................ 354
%EVAL ............................................................................................................................... 355
%EVENTNUM .................................................................................................................... 356
%TRIGGERNUM ................................................................................................................ 358
%PFMEVENTNUM............................................................................................................. 359
%DEBUGFUNCTION ......................................................................................................... 360
%GET_CORESTATE ......................................................................................................... 361
%GET_TRACESTATE ....................................................................................................... 362
%GET_EVENTSTATE........................................................................................................ 363
%GET_PERFORMANCESTATE........................................................................................ 365
付録
付録 A
付録 B
付録 C
付録 D
xii
S up po r t
............................................................................................................... 367
マネージャ関係のエラーメッセージ ............................................................................. 368
デバッガ関係のエラーメッセージ................................................................................. 396
デバッガ中断メッセージ ............................................................................................... 436
主な変更内容 ................................................................................................................. 443
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第1章
環境設定コマンド
環境設定コマンドについて説明します。
1.1 INITIALIZE
1.2 EXIT
1.3 RESET
1.4 SET RESET
1.5 SHOW RESET
1.6 SET RUNMODE
1.7 SHOW RUNMODE
1.8 SET MODE (type 1)
1.9 SET MODE (type 2)
1.10 SHOW MODE
1.11 SET DEBUG
1.12 SHOW DEBUG
1.13 SET TIMERSCALE(type1)
1.14 SET TIMERSCALE(type2)
1.15 SHOW TIMERSCALE(type1)
1.16 SHOW TIMERSCALE(type2)
1.17 CANCEL TIMERSCALE
1.18 SET RADIX
1.19 SHOW RADIX
1.20 SET SOURCE
1.21 SHOW SOURCE
1.22 SHOW SYSTEM
1.23 SET MAP(type 1)
1.24 SET MAP(type 2)
1.25 SHOW MAP
1.26 CANCEL MAP
July 31, 2015, CM41-00314-9
1
第 1 章 環境設定コマンド
So ft war e
S up po r t
M a nu al
1.27 ENABLE VERIFYMODE
1.28 DISABLE VERIFYMODE
1.29 SHOW VERIFYMODE
1.30 SET INPORT
1.31 SHOW INPORT
1.32 CANCEL INPORT
1.33 SET OUTPORT
1.34 SHOW OUTPORT
1.35 CANCEL OUTPORT
1.36 SET INTERRUPT
1.37 SHOW INTERRUPT
1.38 CANCEL INTERRUPT
1.39 SET VECTOR
1.40 SHOW VECTOR
1.41 ENABLE WATCHDOG
1.42 DISABLE WATCHDOG
1.43 SHOW WATCHDOG
1.44 SET WATCH
1.45 CANCEL WATCH
1.46 SET PERIPHERALMODE
1.47 SHOW PERIPHERALMODE
1.48 SET FREQUENCY
1.49 SHOW FREQUENCY
1.50 CANCEL FREQUENCY
1.51 SET GUARDMAP
1.52 SHOW GUARDMAP
1.53 CANCEL GUARDMAP
1.54 ENABLE POWERONDEBUG
1.55 DISABLE POWERONDEBUG
1.56 SHOW POWERONDEBUG
1.57 SYNCHRONIZE FLASH
1.58 ERASE FLASH
1.59 ENABLE DMA
1.60 DISABLE DMA
1.61 SHOW DMA
1.62 ENABLE CLOCKSUPERVISOR
1.63 DISABLE CLOCKSUPERVISOR
1.64 SHOW CLOCKSUPERVISOR
2
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.1 INITIALIZE
S o ft w a r e
1.1
S u p p o r t
M a n u a l
INITIALIZE
デバッガを初期化します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
INITIALIZE
■ 機能
デバッガを初期化します。
マクロ , エイリアス , デバッグ変数以外のすべての設定が無効となります。
■ 例
>INITIALIZE
July 31, 2015, CM41-00314-9
3
第 1 章 環境設定コマンド
1.2 EXIT
So ft war e
1.2
S up po r t
M a nu al
EXIT
デバッグセッションを終了します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
EXIT
● コマンド修飾子
/ALL
デバッグセッションを終了後 , SOFTUNE Workbench も終了します。
■ 機能
デバッグセッションを終了します。
■ 例
【デバッグセッションを終了する場合】
>EXIT
【デバッグセッション終了後 , SOFTUNE Workbench も終了する場合】
>EXIT /ALL
4
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.3 RESET
S o ft w a r e
1.3
S u p p o r t
M a n u a l
RESET
MCU にリセット信号を入力します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
RESET
● コマンド修飾子
• リセットレベル
/CHIP ( 起動時のデフォルト )
チップリセットを発行します。
MB2100-01 の場合だけ指定できます。
/LOWLEVEL
ローレベルリセットを発行します。
MB2100-01 の場合だけ指定できます。
■ 機能
MCU にリセット信号を入力します。
■ 例
> RESET
【MB2100-01 の場合】
> RESET /LOWLEVEL
July 31, 2015, CM41-00314-9
5
第 1 章 環境設定コマンド
1.3 RESET
So ft war e
S up po r t
M a nu al
<注意事項>
【MB2100-01 の場合】
• コマンド修飾子を省略した場合は , SET RESET で設定されたリセットレベルが適用さ
れます。
• チップリセットとローレベルリセットの詳細はご使用の品種のハードウェアマニュア
ルを参照してください。
6
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.4 SET RESET
S o ft w a r e
1.4
S u p p o r t
M a n u a l
SET RESET
RESET コマンドでリセットレベルを指定しなかった場合の動作を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET RESET
● コマンド修飾子
• リセットレベル
/CHIP ( 省略時のデフォルト )
RESETコマンド使用時 , デフォルトでチップリセットを発行するように設定します。
/LOWLEVEL
RESET コマンド使用時 , デフォルトでローレベルリセットを発行するように設定し
ます。
■ 機能
RESET コマンドでリセットレベルを指定しなかった場合の動作を設定します。
■ 例
>SET RESET /LOWLEVEL
July 31, 2015, CM41-00314-9
7
第 1 章 環境設定コマンド
1.5 SHOW RESET
So ft war e
1.5
S up po r t
M a nu al
SHOW RESET
SET RESET コマンドで指定したリセットレベルを表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW RESET
■ 機能
SET RESET コマンドで指定したリセットレベルを表示します。
■ 例
>SET RESET/CHIP
>SHOW RESET
reset level : chip
>SET RESET/LOWLEVEL
>SHOW RESET
reset level : lowlevel
8
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.6 SET RUNMODE
S o ft w a r e
1.6
S u p p o r t
M a n u a l
SET RUNMODE
MCU の動作モードを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET RUNMODE
● コマンド修飾子
• 動作モードの設定
/DEBUG( 起動時のデフォルト )
動作モードをデバッグモードにします。
MB2141/MB2147-01 だけ有効です。
/NATIVE
動作モードをネイティブモードにします。
MB2141/MB2147-01 だけ有効です。
• エミュレータの動作速度の設定
/LOW( 起動時のデフォルト )
MCU の動作が低速の場合に設定します。
MB2141 だけ有効です。
/HIGH
MCU の動作が高速の場合に設定します。
MB2141 だけ有効です。
ターゲットが 16H で CPU クロックが 25MHz 以上の場合は , このモードを使用して
ください。
• MCU 動作モードの設定
/TRACE ( 起動時のデフォルト )
MCU 動作モードをフルトレースモードにします。
MB2198 だけ有効です。
July 31, 2015, CM41-00314-9
9
第 1 章 環境設定コマンド
1.6 SET RUNMODE
So ft war e
S up po r t
M a nu al
/REALTIME
MCU 動作モードをリアルタイムモードにします。
MB2198 だけ有効です。
■ 機能
● 動作モードの設定
動作モードにはデバッグモードとネイティブモードがあり , 次のような違いがありま
す。
• デバッグモード
すべての MCU 動作をデバッグできますが , 多少実行速度が遅くなります。
• ネイティブモード
リアルタイムで実行できますが , 一部のデバッグ機能に制限があります。
● エミュレータの動作速度の設定
エミュレータの動作速度は , 通常は低速モードにしてください。F2MC-16H/16F を高速
動作させる場合で , エミュレータが誤動作する場合は高速モードにしてください。
● MCU 動作モードの設定
MCU 動作モードにはフルトレースモード , リアルタイムモードがあり , 次のような違
いがあります。
• フルトレースモード
トレース機能について制限なく使用できますが , 実時間で動作できないという制限
があります。
• リアルタイムモード
実時間でのプログラム実行ができます。
ただし , 次の条件ではトレース情報の出力を充分に出力できずに , トレースデータ
が欠落することがあります。
- 11 サイクル以内に 3 回以上分岐が行われたとき
■ 例
>SET RUNMODE/TRACE
10
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.7 SHOW RUNMODE
S o ft w a r e
1.7
S u p p o r t
M a n u a l
SHOW RUNMODE
SET RUNMODE コマンドで設定した MCU の動作モードを表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
×
×
Monitor
■ 形式
SHOW RUNMODE
■ 機能
SET RUNMODE コマンドで設定した MCU の動作モードを表示します。
■ 例
【MB2141 の場合】
>SHOW RUNMODE
run mode :
DEBUG
speed :
HIGH
【MB2147-01 の場合】
>SHOW RUNMODE
run mode :
DEBUG
【MB2198 の場合】
>SHOW RUNMODE
run mode :
July 31, 2015, CM41-00314-9
TRACE
11
第 1 章 環境設定コマンド
1.8 SET MODE (type 1)
So ft war e
1.8
S up po r t
M a nu al
SET MODE (type 1)
イベントモード , デバッグ機能の設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1>
SET MODE
< 形式 2>
SET MODE/CONFIG デバッグ機能番号
● パラメータ
• 形式 2
デバッグ機能番号
有効にするデバッグ機能を指定します。
以下のいずれかを指定してください。
0: RAM チェッカ機能
1: トレース強化機能
● コマンド修飾子
• 形式 1
イベントモードの設定
/NORMAL ( 起動時のデフォルト )
イベントモードをトレースモードにします。
/MULTITRACE
イベントモードをマルチトレースモードにします。
/PERFORMANCE
イベントモードをパフォーマンスモードにします。
12
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.8 SET MODE (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
• 形式 2
デバッグ機能の設定
/CONFIG
デバッグ機能の設定を行います。
■ 機能
イベントモードまたはデバッグ機能の設定を行います。2つのモードの同時設定も可能
です。
• 形式 1
イベントモードの設定を行います。
- トレースモード
イベント機能をトレース関連に使用します。データ監視 , トレーストリガ , シー
ケンサ関連のコマンド設定が有効となります。
- パフォーマンスモード
イベント機能をパフォーマンス測定に使用します。PERFORMANCE 関連のコマ
ンド設定が有効となります。
イベント関係のコマンドは全モードで使用できますが , それぞれのモードで異なっ
た値を保持しています。したがって , モードを変更すると , そのモードで以前設定
してあった値に戻ります。
また , モードを変更すると , トレース , パフォーマンスのすべてのバッファがクリア
されます。起動時は /NORMAL に設定されています。
• 形式 2
デバッグ機能の設定を行います。選択できるデバッグ機能とその機能番号は ,
SHOW MODE コマンドで確認できます。
- RAM Checker モード
RAM チェッカ機能を有効にします。監視アドレスのアクセス履歴をログファイ
ルに記録できるようになります。
- Trace Enhancement モード
トレース強化機能を有効にします。
詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照し
てください。
選択できるデバッグ機能はエミュレータまたはその接続形態によって変わります。
選択された機能だけ使用できます。
また , モードを変更すると , トレース , パフォーマンスのデータがクリアされます。
起動時は RAM Checker モードに設定されています。
July 31, 2015, CM41-00314-9
13
第 1 章 環境設定コマンド
1.8 SET MODE (type 1)
So ft war e
S up po r t
M a nu al
■ 例
[ 形式 1]
>SET MODE/PERFORMANCE
[ 形式 2]
>SHOW MODE
debug function:
* 0 RAM Checker
1 Trace Enhancement
>SET MODE/CONFIG 1
14
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.9 SET MODE (type 2)
S o ft w a r e
1.9
S u p p o r t
M a n u a l
SET MODE (type 2)
実行時間モード , パスカウントモードの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET MODE
● コマンド修飾子
• 実行時間モードの選択
/TIME ( 起動時のデフォルト )
時間測定モードに変更します。
/PERFORMANCE
パフォーマンスモードに変更します。
• パスカウントモードの選択
/SEQUENCE
シーケンスモードに変更します。
/PASSCOUNTBREAK ( 起動時のデフォルト )
パスカウントブレークモードに変更します。
■ 機能
実行時間モードまたはパスカウントモードの設定を行います。2 つのモードの同時設定
も可能です。
• 実行時間モード
- 時間測定モード
ユーザプログラムを実行してからブレークするまでを測定する「時間測定」機能
を有効にします。
- パフォーマンスモード
設定したイベント 2 点間の通過時間を測定する「パフォーマンス」機能を有効に
します。
July 31, 2015, CM41-00314-9
15
第 1 章 環境設定コマンド
1.9 SET MODE (type 2)
So ft war e
S up po r t
M a nu al
• パスカウントモード
- シーケンスモード
パスカウントが設定できるイベントを「シーケンス」機能として使用します。
- パスカウントブレークモード
パスカウントが設定できるイベントを「パスカウントブレーク」機能として使用
します。
■ 例
>SET MODE /PERFORMANCE /PASSCOUNTBREAK
<注意事項>
パスカウントモードが変更された場合 , 設定中のイベントはすべて削除されます。
16
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.10 SHOW MODE
S o ft w a r e
1.10
S u p p o r t
M a n u a l
SHOW MODE
各デバッグ機能の設定状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW MODE
■ 機能
【MB2198 の場合】
イベントモード , デバッグ機能の設定状態を表示します。
【MB2100-01 の場合】
実行時間モードとパスカウントモードの情報を表示します。
■ 例
【MB2147-01 の場合】
>SHOW MODE
event mode
: normal
debug function :
*0: Real Time Memory
1: RAM Checker
【MB2100-01 の場合】
>SHOW MODE
timer : performance
passcount mode : passcount break
>SHOW MODE
timer : time measurement
passcount mode : sequence
July 31, 2015, CM41-00314-9
17
第 1 章 環境設定コマンド
1.11 SET DEBUG
So ft war e
1.11
S up po r t
M a nu al
SET DEBUG
デバッグ領域の設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET DEBUG 領域番号 , 領域開始バンク
● パラメータ
領域番号
デバッグ領域の番号を指定します。
領域開始バンク ( デフォルト 16 進数 )
デバッグ領域の開始バンクを指定します。
● コマンド修飾子
【MB2141】
• コード領域
/CODE( 省略時のデフォルト )
デバッグ領域でブレークポイント領域を指定。
• データ領域
/READ
リード領域にします。
/WRITE
ライト領域にします。
MB2141 では , コマンド修飾子に次のパターンが指定できます。
/CODE, /READ, /WRITE, /READ/WRITE
コマンド修飾子の設定は , ブレーク関連にだけ有効な情報で , カバレッジには影響を与
えません。
18
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.11 SET DEBUG
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
デバッグ領域の設定を行います。
【MB2141】
この設定は , ブレークポイントとカバレッジ領域の設定に影響を与えます。
ブレークポイントでは , その設定と同じ属性であれば , 最大 65535 個までのブレー
クポイントを設定できます。
カバレッジ測定では , その設定領域内だけに測定範囲を指定できます。
デバッグ領域は , DEBUG1 と DEBUG2 の 2 領域が準備されています ( 領域番号 1 と
2)。それぞれについて 512K(8 バンク ) 分の領域が設定されます。ただし , 開始バン
クの下位 3 ビットは無視されます。
設定を行うと , ブレークおよびカバレッジの情報はすべてクリアされます。
【MB2147-01】
この設定は , カバレッジ領域の設定に影響を与えます。
カバレッジ測定は , その設定領域内で測定範囲を指定できます。
デバッグ領域は , DEBUG1 から DEBUG4 の 4 領域が準備されています。それぞれ
について連続した 1MB(16 バンク ) 分の領域が設定されます。
ただし , DEBUG1, DEBUG2 は次の設定で固定です。
DEBUG1:0x00000..0x0FFFFF
DEBUG2:0xF0000..0xFFFFFF
設定を行うと , カバレッジの情報はすべてクリアされます。
【MB2147-05】
この設定は , ブレークポイントの設定に影響を与えます。
デバッグ領域内であれば , 最大 65535 個までのブレークポイントを設定できます。
デバッグ領域は , DEBUG1 から DEBUG4 の 4 領域が準備されています。それぞれ
について連続した 1MB(16 バンク ) 分の領域が設定されます。
ただし , DEBUG1, DEBUG2 は次の設定で固定です。
DEBUG1:0x00000..0x0FFFFF
DEBUG2:0xF0000..0xFFFFFF
設定を行うと , ブレークの情報はすべてクリアされます。
■ 例
>SET DEBUG/CODE
1, F8
>SET DEBUG/READ/WRITE
July 31, 2015, CM41-00314-9
2, 00
19
第 1 章 環境設定コマンド
1.12 SHOW DEBUG
So ft war e
1.12
S up po r t
M a nu al
SHOW DEBUG
SET DEBUG コマンドで設定したデバッグ領域を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW DEBUG
■ 機能
SET DEBUG コマンドで設定したデバッグ領域を表示します。
■ 例
>SHOW DEBUG
20
DEBUG1
/CODE
F8
(F80000..FFFFFF)
DEBUG2
READ/WRITE
00
(000000..07FFFF)
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.13 SET TIMERSCALE(type1)
S o ft w a r e
1.13
S u p p o r t
M a n u a l
SET TIMERSCALE(type1)
タイマの最小測定単位を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET TIMERSCALE
● コマンド修飾子
• タイマの最小測定単位の設定
/1us( 省略時のデフォルト )
タイマの最小測定単位を 1s にします。
/100ns
タイマの最小測定単位を 100ns にします。
■ 機能
タイマの最小測定単位を設定します。
1s, 100ns のどちらかを設定できます。起動時は , 1s になっています。この設定によ
り次のタイマの最小測定単位が決まります。
• シーケンサのタイマ
• エミュレーションタイマ
• パフォーマンス測定用タイマ
■ 例
>SET TIMERSCALE/100ns
July 31, 2015, CM41-00314-9
21
第 1 章 環境設定コマンド
1.14 SET TIMERSCALE(type2)
So ft war e
1.14
S up po r t
M a nu al
SET TIMERSCALE(type2)
実行時間測定モードと実行サイクル換算周波数を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET TIMERSCALE [ 実行サイクル換算周波数 ]
● コマンド修飾子
• 実行時間測定モードの指定
/CPU ( 起動時のデフォルト )
サイクル数を測定します。
• 実行サイクル換算周波数の単位の指定
実行サイクル換算周波数を指定しなかった場合は以下の修飾子は無効になります。
/MHZ ( 省略時のデフォルト )
指定した実行サイクル換算周波数を MHz として測定します。
/KHZ
指定した実行サイクル換算周波数を kHz として測定します。
/HZ
指定した実行サイクル換算周波数を Hz として測定します。
● パラメータ
実行サイクル換算周波数
サイクル数測定時に , 取得したクロック数を実時間に変換して表示する際に使用す
る周波数を整数で設定します。省略時には実行サイクル換算周波数の変更しませ
ん。
■ 機能
実行時間測定モードと実行サイクル換算周波数を設定します。
22
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.14 SET TIMERSCALE(type2)
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
【実行サイクル換算周波数を 12.5MHz に指定する場合】
>SET TIMERSCALE /KHZ 12500
【CPU クロックを基準にし , 実行サイクル換算周波数を 4MHz に指定する場合】
>SET TIMERSCALE /CPU 4
July 31, 2015, CM41-00314-9
23
第 1 章 環境設定コマンド
1.15 SHOW TIMERSCALE(type1)
So ft war e
1.15
S up po r t
M a nu al
SHOW TIMERSCALE(type1)
タイマの最小測定単位を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SHOW TIMERSCALE
■ 機能
タイマの最小測定単位を表示します。
■ 例
>SHOW TIMERSCALE
Timer scale : 100ns
24
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.16 SHOW TIMERSCALE(type2)
S o ft w a r e
1.16
S u p p o r t
M a n u a l
SHOW TIMERSCALE(type2)
実行時間測定モードと実行サイクル換算周波数の設定状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW TIMERSCALE
■ 機能
実行時間測定モードと実行サイクル換算周波数の設定状態を以下のように表示しま
す。
• 実行時間測定モードがサイクル測定の場合
Timer scale :〈実行時間測定モード〉
CPU clock :〈実行サイクル換算周波数 ) ( 指定なしの場合には "None"〉
■ 例
【実行サイクル換算周波数を 32768Hz に指定している場合】
>SHOW TIMERSCALE
Timer scale
: CPU clock
CPU clock
: 32768Hz
【実行サイクル換算周波数を指定していない場合】
>SHOW TIMERSCALE
July 31, 2015, CM41-00314-9
Timer scale
: CPU clock
CPU clock
: None
25
第 1 章 環境設定コマンド
1.17 CANCEL TIMERSCALE
So ft war e
1.17
S up po r t
M a nu al
CANCEL TIMERSCALE
実行サイクル換算周波数の設定をクリアします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
CANCEL TIMERSCALE
■ 機能
実行サイクル換算周波数の設定をクリアします。
■ 例
【実行サイクル換算周波数の指定をクリアする場合】
>CANCEL TIMERSCALE
26
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.18 SET RADIX
S o ft w a r e
1.18
S u p p o r t
M a n u a l
SET RADIX
デフォルト基数を設定します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SET RADIX
● コマンド修飾子
/BINARY
省略時の基数を 2 進数に設定します。
/OCTAL
省略時の基数を 8 進数に設定します。
/DECIMAL
省略時の基数を 10 進数に設定します。
/HEXADECIMAL( デフォルト )
省略時の基数を 16 進数に設定します。
■ 機能
デフォルト基数を設定します。
■ 例
>SET RADIX/HEXADECIMAL
July 31, 2015, CM41-00314-9
27
第 1 章 環境設定コマンド
1.19 SHOW RADIX
So ft war e
1.19
S up po r t
M a nu al
SHOW RADIX
現在の基数を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW RADIX
■ 機能
現在の基数を表示します。
■ 例
>SHOW RADIX
default radix : hexadecimal
28
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.20 SET SOURCE
S o ft w a r e
1.20
S u p p o r t
M a n u a l
SET SOURCE
ソース行表示のモードを設定します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SET SOURCE
● コマンド修飾子
• ソース行表示モード
/DISPLAY( 起動時のデフォルト )
ソース行表示を行うモードに設定します。
/NODISPLAY
ソース行表示を行わないモードに設定します。
■ 機能
逆アセンブルリストを表示する場合に , ソース行を付加して表示を行うか , 行わないか
を設定します。
起動時は , ソース行を表示するモードに設定されています。
■ 例
>SET SOURCE/DISPLAY
July 31, 2015, CM41-00314-9
29
第 1 章 環境設定コマンド
1.21 SHOW SOURCE
So ft war e
1.21
S up po r t
M a nu al
SHOW SOURCE
SET SOURCE コマンドで設定したソース行表示モードを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW SOURCE
■ 機能
SET SOURCE コマンドで設定したソース行表示モードを表示します。
■ 例
>SHOW SOURCE
source mode : display
30
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.22 SHOW SYSTEM
S o ft w a r e
1.22
S u p p o r t
M a n u a l
SHOW SYSTEM
システム情報を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
○
Monitor
■ 形式
SHOW SYSTEM
■ 機能
システム情報を表示します。
表示内容はデバッガ種別ごとに異なります。
■ 例
>SHOW SYSTEM
F2MC-16 Family SOFTUNE Workbench V30L36
Debugger type
= Emulator Debugger
MCU type
= MB90F394
VCpu dll name
= C:\Release\Softune\BIN\wv907e2.dll
Common version
= V02L06
Monitor version
= V02L04
MCU frequency
= 5.501 MHz
Communication device = USB
July 31, 2015, CM41-00314-9
31
第 1 章 環境設定コマンド
1.22 SHOW SYSTEM
So ft war e
S up po r t
M a nu al
【MB2100-01 の場合】
>SHOW SYSTEM
F2MC-16 Family SOFTUNE Workbench V30L36
32
Debugger type
= Emulator Debugger
MCU type
= MB96F675
VCpu dll name
= C:\Softune\Bin\wv907e5.dll
DSU type
= OCDU
Adapter version
= V11L01(V01L01*)
FPGA version
= V11L01
Maker ID
= 0x0400
CPU family ID
= 0x0100
DSU type ID
= 0x1
DSU version ID
= 0x1
Device ID
= 0x0010
Device version ID
= 0x1
OSC clock
= 1249877 (1250000) Hz
PLL clock
= 87 (90) MHz
Communication mode
= Normal(High)
Communication type
= 0
Communication device
= USB
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.23 SET MAP(type 1)
S o ft w a r e
1.23
S u p p o r t
M a n u a l
SET MAP(type 1)
メモリ空間のエリア種別とアクセス属性を設定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET MAP { アドレス | アドレス範囲 }
● パラメータ
アドレス ( アドレス式 )
属性を設定したいメモリアドレスを指定します。
アドレス範囲 ( アドレス式 )
属性を設定したいメモリ領域を指定します。
● コマンド修飾子
• アクセス属性の指定
/READ
データリードアクセスを有効にします。
/WRITE
データライトアクセスを有効にします。
/CODE
コードリードアクセスを有効にします。
省略時は /READ/WRITE に設定されます。
• エリア種別の指定
/USER 【MB2141】【MB2147-01】
【MB2147-05】
ユーザメモリエリアに設定します。
/EMULATION( 省略時のデフォルト )【MB2141】
【MB2147-01】
【MB2147-05】
エミュレーションメモリエリアに設定します。
/MIRROR 【MB2141】
ミラーエリアに設定します。
July 31, 2015, CM41-00314-9
33
第 1 章 環境設定コマンド
1.23 SET MAP(type 1)
So ft war e
S up po r t
M a nu al
• ミラー領域の内容コピー
/COPY 【MB2141】
ミラー領域設定時に , ユーザメモリの内容をコピーします。
/MIRROR 指定時以外は無視されます。
/NOCOPY( 省略時のデフォルト ) 【MB2141】
ミラー領域設定時に , ユーザメモリの内容をコピーしません。
/MIRROR 指定時以外は無視されます。
■ 機能
メモリ空間のエリア種別とアクセス属性を設定します。
【シミュレータデバッガの場合】
最大 31 領域まで設定できます (1 バイト(8 ビット)単位に指定できます ) 。
LOAD コマンドによってロードモジュールファイルをロードした場合は , ファイルの
情報を元にして自動的に属性を設定します。
【エミュレータデバッガ(MB2141)の場合】
• ユーザメモリエリア (/USER)
2 バイト単位で最大 8 エリアまで設定できます。1 エリアの大きさに制限はありま
せん。
• エミュレーションエリア (/EMULATION)
2バイト単位で最大7エリア(ミラーエリア,内部ROMエリア含む)まで設定できます。
1 エリアの大きさは最大 64K バイトです。64K バイト以上の領域を指定した場合は ,
自動的に 2 エリア以上の領域を確保します。
64K バイト以内の指定でも , バンク境界を含む場合は 2 エリア必要とします。
• ミラーエリア (/MIRROR)
エミュレーションエリアと合わせて最大 5 エリアまで設定できます。属性の指定は
無効です。また , 同時に内容コピーのコマンド修飾子 (/COPY, /NOCOPY) を指定で
きます。
【エミュレータデバッガ(MB2147-01)の場合】
• ユーザメモリエリア (/USER)
256 バイト単位で最大 4 エリアまで設定できます。1 エリアの大きさに制限はあり
ません。
• エミュレーションエリア (/EMULATION)
256 バイト単位で最大 4 エリアまで設定できます。
1 エリアの大きさは最大 1M バイトです。
【エミュレータデバッガ(MB2147-05)の場合】
• ユーザメモリエリア (/USER)
256 バイト単位で最大 4 エリアまで設定できます。1 エリアの大きさに制限はあり
ません。
34
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.23 SET MAP(type 1)
S o ft w a r e
S u p p o r t
M a n u a l
• エミュレーションエリア (/EMULATION)
256 バイト単位で最大 4 エリアまで設定できます。
1 エリアの大きさは最大 256K バイトです。
■ 例
>SET MAP/READ/WRITE 1000..1FFF
July 31, 2015, CM41-00314-9
35
第 1 章 環境設定コマンド
1.24 SET MAP(type 2)
So ft war e
1.24
S up po r t
M a nu al
SET MAP(type 2)
未定義エリアのアクセス属性を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET MAP { /GUARD | / NOGUARD }
● コマンド修飾子
• 未定義エリアのアクセス属性
/GUARD( 内部 ROM がある場合デフォルト )
未定義エリアをアクセス禁止にします。
/NOGUARD( 内部 ROM がない場合デフォルト )
未定義エリアをアクセス自由にします。
■ 機能
未定義エリアのアクセス属性を設定します。
未定義エリアには , アクセス自由または禁止 (/NOGUARD, /GUARD) のどちらかを指定
できます。
■ 例
>SET MAP/GUARD
<注意事項>
MB2147-01 および MB2147-05 エミュレータでは , 00 バンクにユーザメモリまたはエミュ
レーションメモリを設定すると , 00 バンク内の未定義領域へのアクセスでガーデッドブ
レークが発生しなくなります。
36
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.25 SHOW MAP
S o ft w a r e
1.25
S u p p o r t
M a n u a l
SHOW MAP
メモリ空間のアクセス属性を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW MAP
■ 機能
メモリ空間のアクセス属性を表示します。
■ 例
>SHOW MAP
address
attribute
type
000000 .. 0000BF
read write
IO
000100 .. 0028FF
code read write
internal RAM
003500 .. 003FFF
read write
user
004000 .. 00FFFF
code read
internal ROM(image)
F90000 .. FBFFFF
code read
internal ROM
FD0000 .. FFFFFF
code read
internal ROM
----------------------------------------------------------undefined area : guard
setup possibility : user = 3
July 31, 2015, CM41-00314-9
emulation = 2
37
第 1 章 環境設定コマンド
1.26 CANCEL MAP
So ft war e
1.26
S up po r t
M a nu al
CANCEL MAP
指定されたアドレスのエリアを未定義属性にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
CANCEL MAP [ アドレス | アドレス範囲 ]
● パラメータ
アドレス ( アドレス式 )
未定義属性にするアドレスを指定します。
アドレス範囲 ( アドレス式 )
未定義属性にするアドレス範囲を指定します。
● コマンド修飾子
/ALL
マップの設定をすべて未定義属性にします。
■ 機能
指定されたアドレスのエリアを未定義属性にします。
■ 例
>CANCEL MAP/ALL
38
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.27 ENABLE VERIFYMODE
S o ft w a r e
1.27
S u p p o r t
M a n u a l
ENABLE VERIFYMODE
メモリ書込みを行う際のベリファイを有効に設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
ENABLE VERIFYMODE
■ 機能
コマンドでメモリ書込みを行う際のベリファイを有効に設定します。
起動時はベリファイが有効になっています。
■ 例
>ENABLE VERIFYMODE
July 31, 2015, CM41-00314-9
39
第 1 章 環境設定コマンド
1.28 DISABLE VERIFYMODE
So ft war e
1.28
S up po r t
M a nu al
DISABLE VERIFYMODE
メモリ書込みを行う際のベリファイを無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
DISABLE VERIFYMODE
■ 機能
コマンドでメモリ書込みを行う際のベリファイを無効にします。
起動時はベリファイが有効になっています。
■ 例
>DISABLE VERIFYMODE
40
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.29 SHOW VERIFYMODE
S o ft w a r e
1.29
S u p p o r t
M a n u a l
SHOW VERIFYMODE
ベリファイモード状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW VERIFYMODE
■ 機能
ベリファイモード ( コマンドでのメモリ書込み時のベリファイ動作が有効 / 無効を示す
モード ) 状態を表示します。
■ 例
>SHOW VERIFYMODE
verify mode : enable
July 31, 2015, CM41-00314-9
41
第 1 章 環境設定コマンド
1.30 SET INPORT
So ft war e
1.30
S up po r t
M a nu al
SET INPORT
指定されたポートに対してデータを入力するように指定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET INPORT ポートアドレス , ビットパターン , データ入力元 [ , サイクル数 ]
● パラメータ
ポートアドレス
ポートのアドレスを指定します。
マスクデータ
マスクデータを指定します。
マスクデータのビットが 1 であるところだけがポートデータとして有効となりま
す。
データ入力元
入力ポートに対してプログラムから読み出されるか , またはプログラム命令実行サ
イクル数が指定サイクル数を超えた場合に与えるデータの入力元
( ファイル名 , 入力ターミナル ) を指定します。
入力ターミナルは , $TERMINAL と指定します。
ファイル名として , $TERMINAL は使用できません。
サイクル数 ( デフォルト 10 進数 )
命令実行サイクル数 (D'1 ~ D'4,294,967,295) を指定します。
● コマンド修飾子
• アクセスサイズ
/BYTE( 省略時のデフォルト )
指定アドレスに 1 バイト(8 ビット)アクセスを行ったときをポートアクセスとし
ます。
42
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.30 SET INPORT
S o ft w a r e
S u p p o r t
M a n u a l
/WORD
指定アドレスに 2 バイト(16 ビット)アクセスを行ったときをポートアクセスとし
ます。
/LONG
指定アドレスに 4 バイト(32 ビット)アクセスを行ったときをポートアクセスとし
ます。
/ASCII
入力されたデータの文字コードをそのまま入力値にします。
/ASCII を指定するとアクセスサイズは , 常に /BYTE となります。
■ 機能
指定されたポートに対してプログラムから読み出されるたび,またはプログラム命令実
行サイクル数が指定サイクル数を超えるたびに,ポートにデータを入力するように指定
します。
パラメータにサイクル数を指定しなかった場合は,ポートがプログラムから読み出され
るたびに指定されたデータ入力元からデータを読み出します。
パラメータにサイクル数を指定した場合は,ポートのアクセスとは無関係に一定サイク
ルごとにポートの内容が更新されます。
データ入力元がファイルの場合は,最後のデータを入力するとファイルの先頭に戻りま
す。
データ入力元が入力ターミナル ($TERMINAL) の場合は , 設定されたポートにリードア
クセスされると , データ入力を要求するダイアログが表示されますので , 入力データを
入力します。
シミュレーションできるポートアドレスは 4096 個までです。
■ 例
>SET INPORT 0, 1F, INBUF0. DAT
>SHOW INPORT
July 31, 2015, CM41-00314-9
address
bitpattern
size
cycle
input
000000
00001F
byte
----------
INBUF0. DAT
00004F
00000F
ascii
----------
$terminal
43
第 1 章 環境設定コマンド
1.31 SHOW INPORT
So ft war e
1.31
S up po r t
M a nu al
SHOW INPORT
SET INPORT コマンドで設定されている内容を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW INPORT
■ 機能
SET INPORT コマンドで設定されている内容を表示します。
■ 例
>SHOW INPORT
44
address
bitpattern
size
cycle
input
0000FF
0000FF
byte
----------
$terminal
00004F
00000F
ascii
----------
$terminal
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.32 CANCEL INPORT
S o ft w a r e
1.32
S u p p o r t
M a n u a l
CANCEL INPORT
指定されたポートアドレスのポートのシミュレーションを解除します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
CANCEL INPORT [ ポートアドレス [ , ... ]]
● パラメータ
ポートアドレス
ポートのアドレスを指定します。
● コマンド修飾子
/ALL
SET INPORT コマンドで設定されているすべての設定を解除します。
■ 機能
指定されたポートアドレスのポートのシミュレーションを解除します。
■ 例
>CANCEL INPORT/ALL
July 31, 2015, CM41-00314-9
45
第 1 章 環境設定コマンド
1.33 SET OUTPORT
So ft war e
1.33
S up po r t
M a nu al
SET OUTPORT
指定されたポートに対してデータを出力するように指定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET OUTPORT ポートアドレス , ビットパターン , データ出力先
● パラメータ
ポートアドレス
アドレスは , ポートのアドレスを指定します。
マスクデータ
マスクデータを指定します。
マスクデータのビットが 1 であるところだけがポートデータとして有効となりま
す。
データ出力先
出力ポートに対してプログラムから書き込まれたデータの出力先 ( ファイル名 , 出
力ターミナル ) を指定します。
出力ターミナルは , $TERMINAL と指定します。
ファイル名として , $TERMINAL は使用できません。
また , ファイル名同士でも同じ名前は使用できません。
● コマンド修飾子
• アクセス属性
/BYTE( 省略時のデフォルト )
指定アドレスに 1 バイト(8 ビット)アクセスを行ったときをポートアクセスとし
ます。
/WORD
指定アドレスに 2 バイト(16 ビット)アクセスを行ったときをポートアクセスとし
ます。
46
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.33 SET OUTPORT
S o ft w a r e
S u p p o r t
M a n u a l
/LONG
指定アドレスに 4 バイト(32 ビット)アクセスを行ったときをポートアクセスとし
ます。
/ASCII
データ出力先が $TERMINAL 指定の場合 , ポートに出力されたデータを , アスキー
コードとみなして文字に変換して画面に表示します。
データ出力先がファイルの場合は , バイナリコードをそのまま出力します。
/ASCII を指定するとアクセスサイズは , 常に /BYTE となります。
■ 機能
指定されたポートに対してプログラムからデータを書き込まれるたびに , 指定された
データ出力先にデータを格納するように指定します。
データ出力先がファイルの場合は,ディスクの容量がいっぱいになるとエラーメッセー
ジを表示し , 以降ファイルへのデータの格納を行いません。
データ出力先が出力ターミナル ($TERMINAL) の場合は , ポートに出力されたデータを
16 進数で画面に表示します。
ただし , コマンド修飾子 "/ASCII" が指定された場合は , データを文字コードとみなしそ
の文字を表示します。
シミュレーションできるポートアドレスは 4096 個までです。
■ 例
>SET OUTPORT 0, 3F, OU1. DAT
>SHOW OUTPORT
July 31, 2015, CM41-00314-9
address
bitpattern
size
output
000000
00003F
byte
OU1. DAT
000040
0000FF
ascii
$terminal
47
第 1 章 環境設定コマンド
1.34 SHOW OUTPORT
So ft war e
1.34
S up po r t
M a nu al
SHOW OUTPORT
SET OUTPORT コマンドで設定されている内容を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW OUTPORT
■ 機能
SET OUTPORT コマンドで設定されている内容を表示します。
■ 例
>SHOW OUTPORT
48
address
bitpattern
size
output
000000
00003F
byte
OU1. DAT
000040
0000FF
ascii
$TERMINAL
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.35 CANCEL OUTPORT
S o ft w a r e
1.35
S u p p o r t
M a n u a l
CANCEL OUTPORT
指定されたポートアドレスのポートのシミュレーションを解除します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
CANCEL OUTPORT [ ポートアドレス [ , ... ]]
● パラメータ
ポートアドレス
ポートのアドレスを指定します。
● コマンド修飾子
/ALL
SET OUTPORT コマンドで設定されているポートのシミュレーションを解除しま
す。
■ 機能
指定されたポートアドレスのポートのシミュレーションを解除します。
■ 例
>CANCEL OUTPORT/ALL
July 31, 2015, CM41-00314-9
49
第 1 章 環境設定コマンド
1.36 SET INTERRUPT
So ft war e
1.36
S up po r t
M a nu al
SET INTERRUPT
割込み発生条件を設定します。
■ 対応デバッガ
○
Simulator
Emulator
Monitor
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
■ 形式
SET INTERRUPT 割込み番号 , サイクル数
● パラメータ
割込み番号 ( デフォルト 10 進数 )
割込みのベクタ番号を指定します。
使用できる割込みの個数に関しては使用する製品の『データシート』を参照してく
ださい。
サイクル数 ( デフォルト 10 進数 )
命令実行サイクル数 (D'1 ~ D'4,294,967,295) を指定します。
● コマンド修飾子
/INTERVAL
割込みが周期的に発生するように指定します。
■ 機能
プログラムが指定した命令実行サイクル数以上実行されたときに指定された割込みを
発生させ , 割込み発生条件を解除します。
"/INTERVAL" を指定した場合は , プログラム実行中に , 指定した命令実行サイクル数
ごとに指定された割込みを発生させるようにします。
割込み発生条件は , CANCEL INTERRUPT コマンドで解除されるまで有効です。
■ 例
>SET INTERRUPT 4, 12367
50
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.37 SHOW INTERRUPT
S o ft w a r e
1.37
S u p p o r t
M a n u a l
SHOW INTERRUPT
SET INTERRUPT コマンドで設定されている割込み発生条件を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW INTERRUPT
■ 機能
SET INTERRUPT コマンドで設定されている割込みのベクタ番号 , サイクル数 (10 進数 ),
/INTERVAL の有無の順で表示します。
/INTERVAL の有無は次の表記で表示します。
shot
:
INTERVAL なし ( 一度だけ割込みが入る指定 )
interval
:
INTERVAL あり ( 繰り返し割込みが入る指定 )
■ 例
>SHOW INTERRUPT
July 31, 2015, CM41-00314-9
no
cycle
kind
18
1258
shot
22
9823
interval
51
第 1 章 環境設定コマンド
1.38 CANCEL INTERRUPT
So ft war e
1.38
S up po r t
M a nu al
CANCEL INTERRUPT
割込み発生条件を解除します。
■ 対応デバッガ
○
Simulator
Emulator
Monitor
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
■ 形式
CANCEL INTERRUPT[ 割込み番号 [ , ... ]]
● パラメータ
割込み番号 ( デフォルト 10 進数 )
割込みのベクタ番号を指定します。
● コマンド修飾子
/ALL
SET INTERRUPT コマンドで設定されているすべての割込み発生条件を解除しま
す。
■ 機能
SET INTERRUPT コマンドで設定されている割込み発生条件を解除します。
■ 例
>CANCEL INTERRUPT/ALL
52
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.39 SET VECTOR
S o ft w a r e
1.39
S u p p o r t
M a n u a l
SET VECTOR
ベクタ番号の内容を設定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SET VECTOR ベクタ番号 , 設定アドレス値
● パラメータ
ベクタ番号
設定するベクタ番号を設定します。
設定アドレス
該当ベクタ番号のルーチン先頭アドレス値です。
■ 機能
指定された領域のベクタ番号のアドレス値を設定します。
■ 例
July 31, 2015, CM41-00314-9
>SET VECTOR
11,FF1000
>SHOW VECTOR
11..11
VectorNo.
Address
11
FF1000
Symbol
Factor
timebase timer
53
第 1 章 環境設定コマンド
1.40 SHOW VECTOR
So ft war e
1.40
S up po r t
M a nu al
SHOW VECTOR
ベクタ番号の内容を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
○
Monitor
■ 形式
SHOW VECTOR[ ベクタ番号の範囲 ]
● パラメータ
ベクタ番号の範囲
表示するベクタ番号の範囲を指定します。
指定方法は , [ ( 始めの番号 ) . . ( 終わりの番号 ) ] となります。
■ 機能
ベクタ番号の内容を表示します。
続けて省略して行うと , 続きのベクタ番号から表示します。
■ 例
>SHOW VECTOR 9..13
VectorNo.
54
address
Symbol
Factor
9
FF0000
__start
INT9
10
000000
exception
11
FF1000
timebase timer
12
000000
external interrupt #0
13
000000
CAN #0 (receive complete)
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.41 ENABLE WATCHDOG
S o ft w a r e
1.41
S u p p o r t
M a n u a l
ENABLE WATCHDOG
ウォッチドッグタイマ機能を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
×
Monitor
■ 形式
ENABLE WATCHDOG
■ 機能
ウォッチドッグタイマ機能を有効にします。
■ 例
>ENABLE
July 31, 2015, CM41-00314-9
WATCHDOG
55
第 1 章 環境設定コマンド
1.42 DISABLE WATCHDOG
So ft war e
1.42
S up po r t
M a nu al
DISABLE WATCHDOG
ウォッチドッグタイマ機能を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
DISABLE WATCHDOG
■ 機能
ウォッチドッグタイマ機能を無効にします。
■ 例
>DISABLE WATCHDOG
56
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.43 SHOW WATCHDOG
S o ft w a r e
1.43
S u p p o r t
M a n u a l
SHOW WATCHDOG
ウォッチドッグタイマ機能の有効 / 無効を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW WATCHDOG
■ 機能
ウォッチドッグタイマ機能の有効 / 無効を表示します。
■ 例
>SHOW WATCHDOG
watchdog : enable
July 31, 2015, CM41-00314-9
57
第 1 章 環境設定コマンド
1.44 SET WATCH
So ft war e
1.44
S up po r t
M a nu al
SET WATCH
指定された変数をウォッチウィンドウへ登録します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SET WATCH 変数名 [ , ウォッチウィンドウ番号 ]
● パラメータ
変数名
ウォッチウィンドウに登録する変数または式を指定します。
ウォッチウィンドウ番号
変数を追加するウォッチウィンドウの番号(1 ~ 4)を指定します。
省略した場合は , ウォッチウィンドウ 1 が指定されます。
● コマンド修飾子
• 基数
/BINARY
変数値を 2 進数で表示することを指定します。
/OCTAL
変数値を 8 進数で表示することを指定します。
/DECIMAL
変数値を 10 進数で表示することを指定します。
/HEXADECIMAL
変数値を 16 進数で表示することを指定します。
• ウォッチモード
/AUTOMATIC
変数をデバッグ環境で設定されているウォッチモードで解釈します。
デバッグ環境の設定を変更すると , 変数のウォッチモードも変更されます。
58
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.44 SET WATCH
S o ft w a r e
S u p p o r t
M a n u a l
/C
変数を C 言語式として解釈します。
/ASSEMBLER
変数をアセンブラ式として解釈します。
• データサイズ
/BYTE
アセンブラモード時の表示をバイト(8 ビット)長にすることを指定します。
/WORD
アセンブラモード時の表示を 2 バイト(16 ビット)長にすることを指定します。
/LONG
アセンブラモード時の表示を 4 バイト(32 ビット)長にすることを指定します。
/SINGLE
アセンブラモード時の表示を単精度浮動小数点数にすることを指定します。
/DOUBLE
アセンブラモード時の表示を倍精度浮動小数点数にすることを指定します。
• 個別モニタリング設定
/MONITORING ( 省略時のデフォルト )
変数の個別モニタリング設定を ON にします。
/NOMONITORING
変数の個別モニタリング設定を OFF にします。
■ 機能
変数を指定されたウォッチウィンドウへ登録します。既に登録されている変数を指定
した場合は , 同じ変数が複数登録されます。
基数 , ウォッチモード , データサイズのコマンド修飾子を省略した場合は , デバッグ環
境で指定された設定となります。
データサイズの指定は , /ASSEMBLER の設定が指定された場合だけ有効です。
ウォッチポイントの設定はデバッガ起動時に以前の情報が復元されますので , デバッ
ガ起動時などにバッチファイルでウォッチポイントを設定している場合は , 始めに
CANCEL WATCH/ALL などで一度全部のウォッチポイントを削除するようにしてくだ
さい。
■ 例
>SET WATCH strsym.a,1
>SET WATCH/HEXADECIMAL/ASSEMBLER/WORD/NOMONITORING LABEL1,1
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
59
第 1 章 環境設定コマンド
1.45 CANCEL WATCH
So ft war e
1.45
S up po r t
M a nu al
CANCEL WATCH
指定された変数をウォッチウィンドウから削除します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
CANCEL WATCH 変数名 [ , ウォッチウィンドウ番号 ]
CANCEL WATCH /ALL [ ウォッチウィンドウ番号 ]
● パラメータ
変数名
ウォッチウィンドウから削除する変数または式を指定します。
ウォッチウィンドウ番号
変数を削除するウォッチウィンドウの番号(1 ~ 4)を指定します。
省略した場合は , ウォッチウィンドウ 1 が指定されます。
● コマンド修飾子
/ALL
指定されたウィンドウからすべてのウォッチポイントを削除します。
■ 機能
指定されたウォッチウィンドウの変数を削除します。
同名の変数が複数存在する場合は , 最初に登場する変数だけ削除します。
■ 例
>CANCEL WATCH flag,1
>CANCEL WATCH/ALL 2
60
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.46 SET PERIPHERALMODE
S o ft w a r e
1.46
S u p p o r t
M a n u a l
SET PERIPHERALMODE
周辺リソースを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET PERIPHERALMODE
● コマンド修飾子
/STOP ( 省略時のデフォルト )
ユーザプログラムブレーク時に周辺リソースを停止するように指定します。
/NOSTOP
ユーザプログラムブレーク時に周辺リソースを停止せず , 動作し続けるように指定
します。
■ 機能
ユーザプログラムブレーク時の周辺リソースの動作を指定します。
■ 例
>SET PERIPHERALMODE /STOP
<注意事項>
" ブレーク時に停止 " を選択した場合 , SOFTUNE Workbench にて周辺リソースの読み書
きは行わないでください。MCU がハングアップする可能性があります。
July 31, 2015, CM41-00314-9
61
第 1 章 環境設定コマンド
1.47 SHOW PERIPHERALMODE
So ft war e
1.47
S up po r t
M a nu al
SHOW PERIPHERALMODE
SET PERIPHERALMODE コマンドで設定されている周辺リソースの動作を表示し
ます。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
×
Monitor
×
■ 形式
SHOW PERIPHERALMODE
■ 機能
SET PERIPHERALMODE コマンドで設定されている周辺リソースの動作を表示しま
す。
周辺リソースは以下のように動作します。
stop
:ユーザプログラムブレーク時に周辺リソースを停止します。
nostop:ユーザプログラムブレーク時に周辺リソースを停止せず , 動作させます。
■ 例
>SHOW PERIPHERALMODE
peripheral mode when break : stop
62
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.48 SET FREQUENCY
S o ft w a r e
1.48
S u p p o r t
M a n u a l
SET FREQUENCY
CPU の最大動作周波数または高速通信用の基準クロック周波数を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
【MB2198 の場合】
SET FREQUENCY /MAX 最大動作周波数
【MB2100-01 の場合】
SET FREQUENCY /MAX 高速通信用の基準クロック周波数
● パラメータ
最大動作周波数 ( デフォルト 10 進数 )
CPU の最大動作周波数(MHz)を設定します。MB2198 の場合だけ有効です。
この設定は最大周波数を設定するものであり , 実際の動作周波数を変更するもので
はありません。
高速通信用の基準クロック周波数 ( デフォルト 10 進数 )
高速通信用の基準クロック周波数を設定します。MB2100-01 の場合だけ有効です。
設定した周波数を基に , 自動的にデバッグ時の通信速度を高速にします。
● コマンド修飾子
/MAX ( 省略不可 )
CPU の最大動作周波数を設定します。
/RESET
設定した最大動作周波数を CPU 情報ファイルのデフォルト値に戻します。
MB2198 の場合だけ有効です。
July 31, 2015, CM41-00314-9
63
第 1 章 環境設定コマンド
1.48 SET FREQUENCY
So ft war e
S up po r t
M a nu al
● 周波数の単位指定
/MHZ(省略時のデフォルト)
指定する周波数を MHz 単位で扱います。
MB2100-01 の場合だけ指定できます。
/KHZ
指定する周波数を KHz 単位で扱います。
MB2100-01 の場合だけ指定できます。
/HZ
指定する周波数を Hz 単位で扱います。
MB2100-01 の場合だけ指定できます。
■ 機能
【MB2198 の場合】
CPU の最大動作周波数を設定します。
設定した最大動作周波数を基に , 最適なオートウェイト値を自動的に算出し設定しま
す。
これにより , デバッグ時に最適な応答速度が設定されます。
【MB2100-01 の場合】
高速通信用の基準クロック周波数を設定します。
設定した周波数を基に , 自動的にデバッグ時の通信速度を高速に設定します。
■ 例
【MB2198 の場合】
>SET FREQUENCY /MAX 64
【MB2100-01 の場合】
>SET FREQUENCY /MAX /MHZ 56
<注意事項>
• 実際に使用する動作周波数より低い値を設定すると , エミュレータが誤動作する可能
性があります。
【MB2100-01 の場合】
• 高速通信中に本コマンドを実行した場合 , リセットを入力するまで設定は反映されま
せん。
64
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.49 SHOW FREQUENCY
S o ft w a r e
1.49
S u p p o r t
M a n u a l
SHOW FREQUENCY
SET FREQUENCY コマンドで設定されている最大動作周波数または高速通信用の基
準クロック周波数を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW FREQUENCY
● コマンド修飾子
/MAX ( 省略不可 )
CPU の最大動作周波数または高速通信用の基準クロック周波数を表示します。
■ 機能
SET FREQUENCY コマンドで設定されている , 周波数を表示します。
MB2198 の場合
: 現在の CPU の最大動作周波数
MB2100-01 の場合 : 高速通信用の基準クロック周波数
■ 例
【MB2198 の場合】
>SHOW FREQUENCY/MAX
Max frequency:33MHz
【MB2100-01 の場合】
( 通常通信 )
>SHOW FREQUENCY /MAX
Communication mode: normal
Max frequency: 40 MHz
( 高速通信 )
Communication mode: high
Max frequency: 100 MHz
July 31, 2015, CM41-00314-9
65
第 1 章 環境設定コマンド
1.50 CANCEL FREQUENCY
So ft war e
1.50
S up po r t
M a nu al
CANCEL FREQUENCY
高速通信を抑止し , 通常通信モードに変更します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
○
Monitor
×
■ 形式
CANCEL FREQUENCY
● コマンド修飾子
/MAX(省略不可)
高速通信を抑止します。
■ 機能
高速通信を抑止し , 通常通信モードに変更します。
本コマンドを実行した場合 , デバッガの通信速度が通常に戻ります。
■ 例
>CANCEL FREQUENCY /MAX
66
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.51 SET GUARDMAP
S o ft w a r e
1.51
S u p p o r t
M a n u a l
SET GUARDMAP
指定した領域へのアクセスがあった場合にブレークする , ガーデッド領域を設定し
ます。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET GUARDMAP /READ/WRITE/CODE { アドレス | アドレス範囲 }
● パラメータ
アドレス ( アドレス式 )
ガーデッド領域に設定したいアドレスを指定します。
アドレス範囲 ( アドレス式 )
ガーデッド領域に設定したいメモリ領域を指定します。
● コマンド修飾子
• アクセス属性の指定
/READ ( 起動時のデフォルト )
指定領域内のデータリードアクセスを禁止します。
/WRITE ( 起動時のデフォルト )
指定領域内のデータライトアクセスを禁止します。
/CODE ( 起動時のデフォルト )
指定領域内のコードリードアクセスを禁止します。
省略時は /READ/WRITE/CODE に設定されます。
■ 機能
指定した領域へのアクセスがあった場合にブレークする, ガーデッド領域を設定します。
MB2198 エミュレータでは最大 8 領域設定できます。
■ 例
>SET GUARDMAP/READ/WRITE 1000..1FFF
July 31, 2015, CM41-00314-9
67
第 1 章 環境設定コマンド
1.51 SET GUARDMAP
So ft war e
S up po r t
M a nu al
<注意事項>
異なる属性で設定した領域が重なった場合 , 以下のように動作します。
例 ) 以下の 2 領域を設定している場合 , 0x1800 番地でリードまたはライトのどちらのア
クセスがあった場合もブレークします。
[ アドレス範囲 ]
[ 属性]
0x001000..0x001FFF
READ
0x001500..0x002FFF
WRITE
よって上記のように設定したガーデッド領域の属性が異なる場合は注意してください。
68
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.52 SHOW GUARDMAP
S o ft w a r e
1.52
S u p p o r t
M a n u a l
SHOW GUARDMAP
設定中のガーデッド領域を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
SHOW GUARDMAP
■ 機能
現在設定中のガーデッド領域を表示します。
■ 例
>SHOW GUARDMAP
July 31, 2015, CM41-00314-9
address
attribute
000000 .. 0011FF
read write
001200 .. FEFFFF
undefined
FF0000 .. FFFFFF
read code
69
第 1 章 環境設定コマンド
1.53 CANCEL GUARDMAP
So ft war e
1.53
S up po r t
M a nu al
CANCEL GUARDMAP
設定中のガーデッド領域を削除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
CANCEL GUARDMAP アドレス
● パラメータ
アドレス ( アドレス式 )
削除するガーデッド領域のアドレスを指定します。
● コマンド修飾子
/ALL
現在設定中のすべてのガーデッド領域を削除します。
■ 機能
現在設定中のガーデッド領域の中から , 指定したアドレスを含む領域を削除します。
■ 例
>CANCEL GUARDMAP/ALL
<注意事項>
設定中の領域が異なる属性で重なっている場合 , 以下のように動作します。
例 ) 以下の 2 領域を設定している場合 , 0x1800 番地を指定し CANCEL GUARDMAP コマ
ンドを実行すると , 以下の 2 領域とも削除します。
[ アドレス範囲 ]
[ 属性]
0x001000..0x001FFF
READ
0x001500..0x002FFF
WRITE
よって上記のように設定したガーデッド領域の属性が異なる場合は注意してください。
70
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.54 ENABLE POWERONDEBUG
S o ft w a r e
1.54
S u p p o r t
M a n u a l
ENABLE POWERONDEBUG
パワーオンデバッグを有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
ENABLE POWERONDEBUG
■ 機能
パワーオンデバッグを有効にします。
■ 例
>ENABLE POWERONDEBUG
<注意事項>
【MB2100-01 の場合】
セキュリティが有効な場合 , パワーオンデバッグは使用できません。
セキュリティの詳細は『SOFTUNE Workbench 機能説明書』の「2.6.1.2 セキュリティ」
を参照してください。
July 31, 2015, CM41-00314-9
71
第 1 章 環境設定コマンド
1.55 DISABLE POWERONDEBUG
So ft war e
1.55
S up po r t
M a nu al
DISABLE POWERONDEBUG
パワーオンデバッグを無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
○
Monitor
×
■ 形式
DISABLE POWERONDEBUG
■ 機能
パワーオンデバッグを無効にします。
■ 例
>DISABLE POWERONDEBUG
72
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.56 SHOW POWERONDEBUG
S o ft w a r e
1.56
S u p p o r t
M a n u a l
SHOW POWERONDEBUG
現在のパワーオンデバッグの状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW POWERONDEBUG
■ 機能
現在のパワーオンデバッグの有効 / 無効状態を表示します。
■ 例
パワーオンデバッグが有効の場合
>SHOW POWERONDEBUG
power on debug : enable
パワーオンデバッグが無効の場合
>SHOW POWERONDEBUG
power on debug : disable
July 31, 2015, CM41-00314-9
73
第 1 章 環境設定コマンド
1.57 SYNCHRONIZE FLASH
So ft war e
1.57
S up po r t
M a nu al
SYNCHRONIZE FLASH
デバッガが保持しているメモリ内容とフラッシュメモリの同期を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
○
Monitor
×
■ 形式
< 形式 1>
SYNCHRONIZE FLASH /FROMDEBUGGER { アドレス|アドレス範囲 } [, ... ]
< 形式 2>
SYNCHRONIZE FLASH /TODEBUGGER { アドレス|アドレス範囲 } [, ... ]
< 形式 3>
SYNCHRONIZE FLASH /FROMDEBUGGER /ALL
< 形式 4>
SYNCHRONIZE FLASH /TODEBUGGER /ALL
● パラメータ
アドレス ( アドレス式 )
フラッシュメモリの同期をおこなう領域の先頭アドレスを指定します。
アドレス範囲 ( アドレス式 )
フラッシュメモリの同期をおこなうメモリ領域を指定します。
● コマンド修飾子
• 対象範囲
/ALL
全領域を対象にフラッシュメモリとの同期を行います。
• フラッシュメモリの同期
/FROMDEBUGGER
デバッガが保持しているメモリ内容をフラッシュメモリに書き込むことで同期を
行います。
74
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.57 SYNCHRONIZE FLASH
S o ft w a r e
S u p p o r t
M a n u a l
/TODEBUGGER
デバッガが保持しているメモリに対し , フラッシュメモリの内容を反映することで
同期を行います。
■ 機能
デバッガが保持しているメモリ内容とフラッシュメモリの同期を行います。
MCU 内部のフラッシュメモリ領域に対して , デバッガがメモリ領域を確保します。
本コマンドではこのメモリ領域に対して以下のいずれかの操作を行います。
• フラッシュメモリの内容を読み出して , デバッガのメモリ領域へコピーする
• デバッガのメモリ領域の内容を , フラッシュメモリ領域へ書き込む
■ 例
>SYNCHRONIZE FLASH /FROMDEBUGGER 1000..1FFF
<注意事項>
1 バイトでもデバッガが保持しているメモリ内容を変更していると , フラッシュにデータ
を反映する際に , 変更対象のセクタ全体に対して書き込みを行います。
July 31, 2015, CM41-00314-9
75
第 1 章 環境設定コマンド
1.58 ERASE FLASH
So ft war e
1.58
S up po r t
M a nu al
ERASE FLASH
フラッシュメモリの消去を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
○
Monitor
×
■ 形式
ERASE FLASH { アドレス|アドレス範囲 } [, ... ]
ERASE FLASH /ALL
● パラメータ
• リセットレベル
アドレス ( アドレス式 )
フラッシュメモリの消去をおこなう領域の先頭アドレスを指定します。
アドレス範囲 ( アドレス式 )
フラッシュメモリの消去をおこなうメモリ領域を指定します。
● コマンド修飾子
• 対象範囲
/ALL
フラッシュメモリ全領域の消去を行います。
■ 機能
MCU 内部のフラッシュメモリ領域に対して , デバッガがメモリ領域を確保します。
本コマンドではこのメモリ領域に対して消去を行います。
■ 例
>ERASE FLASH 1000..1FFF
76
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.59 ENABLE DMA
S o ft w a r e
1.59
S u p p o r t
M a n u a l
ENABLE DMA
ブレーク中の DMA 動作を許可します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
ENABLE DMA
■ 機能
ブレーク中の DMA 動作を許可します。
■ 例
>ENABLE DMA
<注意事項>
ユーザプログラムブレーク中は DMA 転送でデバッグワーク RAM にアクセスしないでく
ださい。アクセスした場合 , ユーザ DMA を動作させた結果が正しい結果にならない可能
性があります。
デバッグワーク RAM については , ご使用の品種のハードウェアマニュアルを参照してく
ださい。
July 31, 2015, CM41-00314-9
77
第 1 章 環境設定コマンド
1.60 DISABLE DMA
So ft war e
1.60
S up po r t
M a nu al
DISABLE DMA
ブレーク中の DMA 動作を禁止します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
DISABLE DMA
■ 機能
ブレーク時の DMA 動作を禁止します。
■ 例
>DISABLE DMA
78
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.61 SHOW DMA
S o ft w a r e
1.61
S u p p o r t
M a n u a l
SHOW DMA
ブレーク時の DMA 動作の設定を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW DMA
■ 機能
ブレーク時の DMA 動作の設定を表示します。
■ 例
ブレーク時の DMA 動作が許可の場合
>SHOW DMA
accepting DMA : enable
ブレーク中の DMA 動作が禁止の場合
>SHOW DMA
accepting DMA : disable
July 31, 2015, CM41-00314-9
79
第 1 章 環境設定コマンド
1.62 ENABLE CLOCKSUPERVISOR
So ft war e
1.62
S up po r t
M a nu al
ENABLE CLOCKSUPERVISOR
デバッグ時の応答速度最適化を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
ENABLE CLOCKSUPERVISOR
■ 機能
デバッグ時の応答速度最適化を有効にします。
■ 例
>ENABLE CLOCKSUPERVISOR
80
CM41-00314-9, July 31, 2015
第 1 章 環境設定コマンド
1.63 DISABLE CLOCKSUPERVISOR
S o ft w a r e
1.63
S u p p o r t
M a n u a l
DISABLE CLOCKSUPERVISOR
デバッグ時の応答速度最適化を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
DISABLE CLOCKSUPERVISOR
■ 機能
デバッグ時の応答速度最適化を無効にします。
■ 例
>DISABLE CLOCKSUPERVISOR
July 31, 2015, CM41-00314-9
81
第 1 章 環境設定コマンド
1.64 SHOW CLOCKSUPERVISOR
So ft war e
1.64
S up po r t
M a nu al
SHOW CLOCKSUPERVISOR
デバッグ時の応答速度最適化の設定状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW CLOCKSUPERVISOR
■ 機能
デバッグ時の応答速度最適化の設定状態を表示します。
■ 例
デバッグ時の応答速度最適化が有効の場合
>SHOW CLOCKSUPERVISOR
clock supervisor : enable
デバッグ時の応答速度最適化が無効の場合
>SHOW CLOCKSUPERVISOR
clock supervisor : disable
82
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第2章
プログラム実行コマンド
プログラム実行コマンドについて説明します。
2.1 GO
2.2 SET GO
2.3 SHOW GO
2.4 ABORT
2.5 SET ABORT
2.6 SHOW ABORT
2.7 STEP
2.8 SET STEP
2.9 SHOW STEP
2.10 CALL
2.11 CLEAR CALL
2.12 SHOW STATUS
July 31, 2015, CM41-00314-9
83
第 2 章 プログラム実行コマンド
2.1 GO
So ft war e
2.1
S up po r t
M a nu al
GO
指定した開始アドレスからプログラムを実行します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
GO[ 開始アドレス ][ , ブレークアドレス 1][ , ブレークアドレス 2]
● パラメータ
開始アドレス ( アドレス式 )
プログラムの実行開始アドレスを指定します。
/RETURN を指定した場合は無効となります。
ブレークアドレス ( アドレス式 )
プログラムの実行停止アドレスを指定します。
/RETURN または /NOWAIT を指定した場合は無効となります。
● コマンド修飾子
• リターン指定
/RETURN
現在実行中の関数から親関数に戻る位置までプログラムを実行します。
この機能は , C 言語で作成されたプログラムだけ使用できます。
また , 最適化されたプログラムは正常に停止しない場合があります。
• 実行中のコマンド入力指定
/WAIT ( 省略時のデフォルト )
ユーザプログラム実行後 , プログラムが停止するまで他のコマンド入力は受け付け
ません。
/NOWAIT
ユーザプログラム実行後 , プログラムの停止を待たずにコマンド入力が行えます。
/RETURN を指定した場合は指定できません。
84
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.1 GO
S o ft w a r e
S u p p o r t
M a n u a l
• 割込みマスクの指定
/MASK
割込みをマスクします。MB2198 では指定できません。
/NOMASK
割込みをマスクしません。MB2198 では指定できません。
【MB2141/MB2147-01】
• トレース制御
/ENABLETRACE
実行開始時にトレース機能を有効にします。
/DISABLETRACE
実行開始時にトレース機能を無効にします。
■ 機能
指定した開始アドレスからプログラムを実行します。
開始アドレスが省略された場合は,現在のプログラムカウンタの示すアドレスから実行
します。
GO コマンドで設定されたブレークアドレスは , 実行停止時に自動的に削除されます。
コマンド修飾子の /ENABLETRACE, /DISABLETRACE は , シーケンサによるトレース
制御を行う場合に指定します。
■ 例
>GO power$20
Break at main$10
>GO power$20, main$5
July 31, 2015, CM41-00314-9
85
第 2 章 プログラム実行コマンド
2.2 SET GO
So ft war e
2.2
S up po r t
M a nu al
SET GO
GO コマンドでコマンド修飾子を省略した場合の実行条件を指定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET GO
● コマンド修飾子
• 割込みマスクの指定
/MASK
割込みをマスクします。
/NOMASK( 起動時のデフォルト )
割込みをマスクしません。
【MB2141/MB2147-01】
• トレース制御
/ENABLETRACE( 起動時のデフォルト )
実行開始時にトレース機能を有効にします。
/DISABLETRACE
実行開始時にトレース機能を無効にします。
■ 機能
GO コマンドでコマンド修飾子を省略した場合の実行条件を指定します。
■ 例
>SET GO /MASK
>GO
86
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.3 SHOW GO
S o ft w a r e
2.3
S u p p o r t
M a n u a l
SHOW GO
現在の GO コマンドの実行条件 (SET GO コマンドの設定 ) を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SHOW GO
■ 機能
現在の GO コマンドの実行条件 (SET GO コマンドの設定 ) を表示します。
■ 例
>SHOW
GO
Interrupt mask : nomask
Trace control
July 31, 2015, CM41-00314-9
: enable
87
第 2 章 プログラム実行コマンド
2.4 ABORT
So ft war e
2.4
S up po r t
M a nu al
ABORT
実行中のプログラムを停止します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
ABORT
● コマンド修飾子
/CANCEL
MCU の停止要求を取り下げます。
MB2100-01 の場合だけ指定できます。
■ 機能
【MB2100-01 以外の場合】
実行中のプログラムを停止します。
以下の場合に有効です。
• GO コマンドで /NOWAIT を指定した場合
• 実行ツールバーの連続実行ボタンを押した場合
【MB2100-01 の場合】
SET ABORT で設定された条件で MCU を停止します。
■ 例
【MB2100-01 以外の場合】
>ABORT
【MB2100-01 の場合】
>ABORT /CANCEL
88
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.4 ABORT
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
ユーザプログラム停止中に本コマンドを入力した場合は「MCU 停止中は使えないコマン
ドです」のメッセージが表示されます。
July 31, 2015, CM41-00314-9
89
第 2 章 プログラム実行コマンド
2.5 SET ABORT
So ft war e
2.5
S up po r t
M a nu al
SET ABORT
ABORT コマンドの停止要求条件を指定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET ABORT 停止要求の割込みレベル
SET ABORT /FORCE
● パラメータ
停止要求の割込みレベル
停止要求の割込みレベルを指定します。
割込みレベルの設定値の詳細はご使用の品種のハードウェアマニュアルを参照し
てください。
● コマンド修飾子
/FORCE
割込みレベルを設定せず , 停止要求条件を強制停止に設定します。
■ 機能
ABORT コマンドの停止要求条件を指定します。
■ 例
>SET ABORT 1
90
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.6 SHOW ABORT
S o ft w a r e
2.6
S u p p o r t
M a n u a l
SHOW ABORT
SET ABORT コマンドで設定した , ABORT コマンドの停止要求条件を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW ABORT
■ 機能
SET ABORT コマンドで設定していた , ABORT コマンドの停止要求条件を表示します。
■ 例
強制停止の場合:
>SHOW ABORT
abort level : force
SET ABORT コマンドで停止要求の割込みレベルを指定していた場合:
>SHOW ABORT
abort level : 1
July 31, 2015, CM41-00314-9
91
第 2 章 プログラム実行コマンド
2.7 STEP
So ft war e
2.7
S up po r t
M a nu al
STEP
ソース行単位または機械命令単位でプログラムを実行します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
STEP[ ステップ数 ]
● パラメータ
ステップ数 ( デフォルト 10 進数 )
STEP コマンドの実行回数 (H'1 ~ H'FFFFFFFF) を指定します。
ステップ数が省略された場合は , 実行回数は 1 回となります。
● コマンド修飾子
• ステップ単位の指定
/INSTRUCTION
機械命令を 1 命令ずつ実行します。
/LINE
ソース行単位で実行します。
/AUTOMATIC( 起動時のデフォルト )
ソースウィンドウの表示モードに従って実行単位を次のように自動的に変更しま
す。
ソースウィンドウがソース行だけ表示の場合は , ソース行単位 (/LINE) に実行しま
す。
それ以外の場合は , 機械命令単位 (/INSTRUCTION) に実行します。
• ステップ動作の指定
/INTO
呼び出された関数 , サブルーチンおよび割込みハンドラの中まで 1 ステップずつ実
行します。
92
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.7 STEP
S o ft w a r e
S u p p o r t
M a n u a l
/OVER
/LINE 時の関数呼出し , /INSTRUCTION 時のサブルーチンコール命令 (CALL など )
およびソフト割込み命令 (INT 等 ) を 1 ステップとして実行します。
• ステップ時の割込みマスク ( 省略時は SET STEP で設定された条件 )
/MASK
割込みをマスクします。
/NOMASK
割込みをマスクしません。
• INTP 命令によるステップオーバの指定
/INTPSKIP
INTP 命令をステップオーバします。
MB2141 の場合だけ指定できます。
/NOINTPSKIP
INTP 命令をステップオーバしません。
MB2141 の場合だけ指定できます。
■ 機能
SET STEP コマンドで設定された条件により , ソース行単位または機械命令単位でプロ
グラムを実行します。
コマンド修飾子を指定することにより , SET STEP コマンドで設定された条件を無効に
できます。
■ 例
【MB2100-01 以外の場合】
>STEP
>STEP/INSTRUCTION
【MB2100-01 の場合】
>STEP /NOMASK
<注意事項>
【MB2100-01 の場合】
コマンド修飾子にて「ステップ時の割込みマスク」の指定を省略した場合は , SET STEP
で設定された割り込みマスクの指定が適用されます。
July 31, 2015, CM41-00314-9
93
第 2 章 プログラム実行コマンド
2.8 SET STEP
So ft war e
2.8
S up po r t
M a nu al
SET STEP
STEP コマンドでコマンド修飾子を省略した場合のステップ実行条件を指定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SET STEP
● コマンド修飾子
• ステップ単位の指定
/INSTRUCTION
機械命令を 1 命令ずつ実行します。
/LINE
ソース行単位で実行します。
/AUTOMATIC( 起動時のデフォルト )
ソースウィンドウの表示モードに従って実行単位を次のように自動的に変更しま
す。
ソースウィンドウがソース行だけ表示の場合は , ソース行単位 (/LINE) に実行しま
す。
それ以外の場合は , 機械命令単位 (/INSTRUCTION) に実行します。
• ステップ動作の指定
/INTO( 起動時のデフォルト )
呼び出された関数 , サブルーチンおよび割込みハンドラの中まで 1 ステップずつ実
行します。
/OVER
以下を 1 ステップとして実行します。
- /LINE を指定した際の関数呼出し
- /INSTRUCTION を指定した際のサブルーチンコール命令 (CALL など )
- /INSTRUCTION を指定した際のソフトウェア割込み命令 (INT など )
94
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.8 SET STEP
S o ft w a r e
S u p p o r t
M a n u a l
• 割込みマスクの指定
/MASK
割込みをマスクします。
/NOMASK( 起動時のデフォルト )
割込みをマスクしません。
• INTP 命令によるステップオーバの指定
/INTPSKIP
INTP 命令をステップオーバします。
MB2141 の場合だけ指定できます。
/NOINTPSKIP
INTP 命令をステップオーバしません。
MB2141 の場合だけ指定できます。
■ 機能
STEP コマンドでコマンド修飾子を省略した場合のステップ実行条件を指定します。
SOFTUNE Workbench 起動時は , SET STEP /AUTOMATIC/INTO/MASK を指定した状態
になっています。
■ 例
【MB2100-01 以外の場合】
>SET STEP/INSTRUCTION
【MB2100-01 の場合】
>SET STEP/MASK
July 31, 2015, CM41-00314-9
95
第 2 章 プログラム実行コマンド
2.9 SHOW STEP
So ft war e
2.9
S up po r t
M a nu al
SHOW STEP
現在の STEP コマンドのステップ実行条件を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW STEP
■ 機能
現在の STEP コマンドのステップ実行条件を表示します。
■ 例
>SHOW STEP
step mode : automatic, into, mask
96
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.10 CALL
S o ft w a r e
2.10
S u p p o r t
M a n u a l
CALL
指定した関数を実行し , 戻り値を表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
CALL 関数名 ([ 引数 [ , ... ]])
● パラメータ
関数名
呼び出したい関数名を指定します。
引数
C 言語の引数に準拠します。
ただし , 引数として構造体 ( ストラクチャ), 共用体 ( ユニオン ) およびクラスの変数
名は指定できません。
● コマンド修飾子
/DISPLAY( 起動時のデフォルト )
戻り値の表示を指定します。
/NODISPLAY
戻り値の非表示を指定します。
■ 機能
指定した関数を実行し , 戻り値を表示します ( ただし , 戻り値の型が構造体 , 共用体お
よびクラスの場合は , エラーとなります )。
このコマンドは, C言語のプログラムをデバッグ情報付きでコンパイルした場合だけ使
用できます。
CALL コマンドによる関数実行中にブレークポイント等にヒットすると , その位置でブ
レークします。
その後 , GO コマンドで再実行させれば , CALL コマンドの実行を継続します。
CALL コマンドの実行を中止する場合は , CLEAR CALL コマンドを使用します。
なお , このコマンドはネストできません。
July 31, 2015, CM41-00314-9
97
第 2 章 プログラム実行コマンド
2.10 CALL
So ft war e
S up po r t
M a nu al
レジスタおよびフラグの内容は , 関数呼出し前の内容を保持し , 関数実行後に元に戻し
ます。
指定された関数の引数は , 仮引数の型で評価して実行します。
指定された実引数の個数が仮引数の個数より多い場合は , 多い分の実引数は int 型で評
価します。
戻り値は組込み変数 %CALL にセットします。
CALL コマンドは , 現在のプログラムカウンタのアドレスにブレークポイントを設定し ,
そこへリターンするようにリターンアドレスをセットしたうえで , 関数を呼び出しま
す。したがって , CALL コマンドで実行した関数がたまたま現在のプログラムカウンタ
のアドレスを通過した場合 , 関数の途中でブレークしてしまいます。
この場合は , 次のメッセージが表示されます。
Break at アドレス by Invalid call termination
GO コマンドで実行を再開させれば CALL コマンドの実行を継続します。
■ 例
>CALL debug (cmd, p)
return value is H'0001
<注意事項>
CALL コマンドにより , レジスタ , メモリ , I/O などのリソースは関数呼出し前の状態から
変化する可能性があります。
レジスタに関しては関数呼出し前の内容を保持し , 関数実行後または CLEAR CALL コマ
ンドにより復元します。
その他のリソースに関しては復元しません。
98
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.11 CLEAR CALL
S o ft w a r e
2.11
S u p p o r t
M a n u a l
CLEAR CALL
CALL コマンドを中断し , レジスタを呼び出す前の状態に戻します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
CLEAR CALL
■ 機能
CALL コマンドを中断し , レジスタを呼び出す前の状態に戻します。
■ 例
>CALL debug (cmd, p)
Break at FF0F20 by breakpoint
>CLEAR CALL
July 31, 2015, CM41-00314-9
99
第 2 章 プログラム実行コマンド
2.12 SHOW STATUS
So ft war e
2.12
S up po r t
M a nu al
SHOW STATUS
MCU の実行状態を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW STATUS
■ 機能
【MB2100-01 以外の場合】
• プログラム実行中の場合
MCU の実行状態を表示します。
• プログラム停止中の場合
直前のプログラム実行のブレーク要因を表示します。
【MB2100-01 の場合】
• プログラム実行中の場合
MCU の実行状態を表示します。
また最後の分岐 PC 値も表示します。
• プログラム停止中の場合
検出したすべてのブレーク要因を表示します。
100
CM41-00314-9, July 31, 2015
第 2 章 プログラム実行コマンド
2.12 SHOW STATUS
S o ft w a r e
S u p p o r t
M a n u a l
MCU の実行状態は以下のとおり表示されます。
表示内容
デバッガ
エミュレータ
MCU の実行状態
表示結果
シミュレータ
MB2141
MB2147-01
MB2147-05
MB2198
MB2100-01
モニタ
Break
ブレーク中 ( ユーザステート )
○
○
○
○
Execute
実行中 ( デバッグステート )
○
○
○
○
Stop
ストップモード
○
×
○
×
Timer
時計モード
×
×
○
×
Sleep
スリープモード
○
×
○
×
Halt
CPU 停止状態
×
×
○
×
Unknown
CPU の状態が検出不能
×
×
○
×
○:表示します
×:表示しません
またブレーク要因については「付録 C デバッガ中断メッセージ」を参照してください。
■ 例
● MCU ブレーク中
>SHOW STATUS
MCU status : Break at startup.asm$104(H'F80008) by breakpoint
【MB2100-01 の場合】
>SHOW STATUS
MCU status : Break at startup.asm$104(H'F80008) by hardware
breakpoint / data event break
● プログラム実行中
>SHOW STATUS
MCU status : Execute
【MB2100-01 の場合】
>SHOW STATUS
MCU status : Stop
IP=08012E
July 31, 2015, CM41-00314-9
101
第 2 章 プログラム実行コマンド
2.12 SHOW STATUS
So ft war e
102
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第3章
ブレーク /
イベント制御コマンド
ブレーク / イベント制御コマンドについて説明しま
す。
3.1 SET BREAK (type 1)
3.2 SET BREAK (type 2)
3.3 SET BREAK (type 3)
3.4 SHOW BREAK (type 1)
3.5 SHOW BREAK (type 2)
3.6 CANCEL BREAK
3.7 ENABLE BREAK
3.8 DISABLE BREAK
3.9 SET DATABREAK (type 1)
3.10 SET DATABREAK (type 2)
3.11 SET DATABREAK (type 3)
3.12 SHOW DATABREAK
3.13 CANCEL DATABREAK
3.14 ENABLE DATABREAK
3.15 DISABLE DATABREAK
3.16 SET EVENT (type 1)
3.17 SET EVENT (type 2)
3.18 SET EVENT (type 3)
3.19 SHOW EVENT
3.20 CANCEL EVENT
3.21 ENABLE EVENT
3.22 DISABLE EVENT
July 31, 2015, CM41-00314-9
103
第 3 章 ブレーク / イベント制御コマンド
So ft war e
S up po r t
M a nu al
3.23 SET SEQUENCE (type 1)
3.24 SET SEQUENCE (type 2)
3.25 SET SEQUENCE (type 3)
3.26 SET SEQUENCE (type 4)
3.27 SHOW SEQUENCE (type 1)
3.28 SHOW SEQUENCE (type 2)
3.29 SHOW SEQUENCE (type 3)
3.30 CANCEL SEQUENCE (type 1)
3.31 CANCEL SEQUENCE (type 2)
3.32 ENABLE SEQUENCE (type 1)
3.33 ENABLE SEQUENCE (type 2)
3.34 DISABLE SEQUENCE (type 1)
3.35 DISABLE SEQUENCE (type 2)
3.36 SET DELAY
3.37 SHOW DELAY
3.38 SET TRIGGER
3.39 SHOW TRIGGER
104
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.1 SET BREAK (type 1)
S o ft w a r e
3.1
S u p p o r t
M a n u a l
SET BREAK (type 1)
指定したブレークアドレスにブレークポイントを設定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
○
Monitor
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
SET BREAK ブレークアドレス [&= アドレスマスク ] [, パスカウント数 ]
[,{ コマンド ; コマンド…}]
● パラメータ
ブレークアドレス ( アドレス式 )
ブレークポイントを設定するアドレスを指定します。
アドレスマスク ( データ式 )
ブレークアドレスに対するマスクビットパターンを指定します。1 が立っている
ビット位置のアドレスだけを比較対象にします。MB2198 だけ指定できます。
パスカウント数 ( デフォルト 10 進数 )
ブレークポイントに何回ヒットすると実行を停止するかを設定します。
デバッガ種別により以下の範囲で指定します。省略時は 1 になります。
シミュレータデバッガ
:1 ~ 65535
エミュレータデバッガ(MB2198)
:1 ~ 255
エミュレータデバッガ(MB2100-01) :1 ~ 1048575
エミュレータデバッガ(その他)
: 無効
モニタデバッガ
: 無効
コマンド
ブレークアドレスにヒットした場合に実行したいコマンドリストを指定します。コ
ロンを使用することにより , 複数のコマンドが指定できます。
デバッガ種別がシミュレータデバッガだけ有効となります。
July 31, 2015, CM41-00314-9
105
第 3 章 ブレーク / イベント制御コマンド
3.1 SET BREAK (type 1)
So ft war e
S up po r t
M a nu al
● コマンド修飾子
【シミュレータデバッガの場合】
/ BREAK ( 省略時のデフォルト )
ブレークポイントにヒットした場合 , コマンドリストを処理したあと命令実行を停
止します。
/ NOBREAK
ブレークポイントにヒットした場合 , コマンドリストを処理したあと命令実行を再
開します。
【エミュレータデバッガ (MB2198) の場合】
/ HARD ( 省略時のデフォルト )
ハードウェアによるブレークポイントを指定します。
MB2198 の場合「実行中のブレークポイント設定」が有効の場合だけ指定できます。
/ SOFT
ソフトウェアによるブレークポイントを指定します。
【エミュレータデバッガ(MB2100-01)の場合】
/COUNT
ハードウェア / カウントブレークを指定します。
【モニタデバッガの場合】
/SOFT
ソフトウェアによるブレークポイントを指定します。
■ 機能
指定したブレークアドレスにブレークポイントを設定します。
ブレークポイントの最大設定数は次のとおりです。
ブレークポイント
デバッガ
ハードウェア
エミュレータ
ソフトウェア
ハードウェア
カウント
MB2141
65535 (*1) (*2)
0
MB2147-01
65535 (*1)
0
MB2147-05
65535 (*1) (*2)
0
MB2198
4 (*3)
2048
0
MB2100-01
8 (*4)
4096
2
シミュレータ
モニタ
65535 (*1)
0
0
16
0
*1: ハードウェアとソフトウェアの区別はありません。
*2: デバッグ領域をコードブレーク領域として設定している領域内には最大 65535 個の
ブレークポイントが設定できます。この領域は SET DEBUG コマンドで設定します。
106
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.1 SET BREAK (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
*3: 最大設定数はご使用の MCU により異なります。また設定数は以下のコマンドとの
合計となります。
SET DATABREAK
SET EVENT
SET TRACETRIGGER
*4: 最大設定数はご使用の MCU により異なります。また設定数は以下のコマンドとの
合計となります。
SET BREAK/DATAWATCH
SET DATABREAK
SET EVENT
■ 例
【シミュレータデバッガの場合】
>SET BREAK/NOBREAK main, 1, {SHOW TRACE ; SHOW TIMER}
【エミュレータデバッガの場合】
>SET BREAK 00ff0200
>SET BREAK 00ff0300, 3
<注意事項>
【MB2100-01 の場合】
• パスカウントモードがシーケンスモードの場合 , 本コマンドは使用できません。
詳細は「1.9 SET MODE (type 2)」を参照してください。
【シミュレータデバッガの場合】
• コマンドリストには以下の実行系のコマンドは指定できません。
- GO
- STEP
- CALL
- SYSTEMCALL
• STEP/INTO および STEP/OVER では STUB 機能 ( コマンド実行および命令実行再開 )
は行いません。ブレークポイントにヒットしたあと実行を停止します。
【MB2198 の場合】
• 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用でき
ません。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行
中に使用できるコマンド」を参照してください。
July 31, 2015, CM41-00314-9
107
第 3 章 ブレーク / イベント制御コマンド
3.2 SET BREAK (type 2)
So ft war e
3.2
S up po r t
M a nu al
SET BREAK (type 2)
指定したブレークアドレスにブレークポイントを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
SET BREAK /DATAWATCH コードアドレス , データアドレス [&= アドレスマスク ]
[ ,[!] d = データ [&= データマスク ]]
● パラメータ
コードアドレス ( アドレス式 )
データ監視条件となるコードのアドレスを指定します。
データアドレス ( アドレス式 )
データ監視条件となるデータのアドレスを指定します。
アドレスマスク ( データ式 )
データ監視条件となるデータのアドレスに対するマスクビットパターンを指定し
ます。1 が立っているビット位置のアドレスだけを比較対象にします。
エミュレータデバッガ (MB2100-01) では指定できません。
データ ( データ式 )
データ監視条件となるデータのアドレスの内容を指定します。
データマスク ( データ式 )
データ監視条件となるデータに対するマスクビットパターンを指定します。
1 が立っているビット位置のデータ値だけを比較対象にします。
● コマンド修飾子
• アクセスサイズの指定
/ BYTE( 省略時のデフォルト )
バイト (8 ビット ) アクセスをデータ監視条件に指定します。
エミュレータデバッガ (MB2100-01) の場合は , 指定データアドレスから 1 バイトの
データを監視します。
108
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.2 SET BREAK (type 2)
S o ft w a r e
S u p p o r t
M a n u a l
/ WORD
ワード (16 ビット ) アクセスをデータ監視条件に指定します。
エミュレータデバッガ (MB2100-01) の場合は , 指定データアドレスから 2 バイトの
データを監視します。
/LONG
指定データアドレスから 4 バイトのデータを監視します。
エミュレータデバッガ (MB2100-01) だけ指定できます。
• アクセス属性の指定
/ READ
データリードアクセスをデータ監視条件に指定します。
/ WRITE
データライトアクセスをデータ監視条件に指定します。
省略時は /READ/WRITE に設定されます。
■ 機能
指定したコードアドレスに実行がきたとき , データアドレス内のデータを参照して , ブ
レーク判定をおこなう機能です。
【エミュレータデバッガ (MB2147-01) の場合】
最大 4 個設定できますが , 以下のコマンドで設定した個数との合計となります。
SET EVENT
SET TRACETRIGGER
【エミュレータデバッガ (MB2100-01) の場合】
最大 8 個 ( ※ ) 設定できますが , 以下のコマンドで設定した個数との合計となります。
SET BREAK/HARD
SET EVENT(/CODE を指定したイベントだけ )
※ 品種により 8 個まで設定ができない場合があります。
実際に設定できる個数については , ご使用の品種のハードウェアマニュアルを参照
してください。
■ 例
【エミュレータデバッガ (MB2100-01) の場合】
>SET BREAK/DATAWATCH/WRITE/WORD 00ff0200, 120034 &=fffffc, !d=1234 &=feff
<注意事項>
【エミュレータデバッガ (MB2100-01) の場合】
指定データの監視はバスアクセスで行います。そのため指定データアドレスにアクセスが
ない場合はブレ-クしません。
July 31, 2015, CM41-00314-9
109
第 3 章 ブレーク / イベント制御コマンド
3.3 SET BREAK (type 3)
So ft war e
3.3
S up po r t
M a nu al
SET BREAK (type 3)
ブレークポイント設定時のデフォルト属性を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SET BREAK /DEFAULT [/SOFT | /HARD]
● コマンド修飾子 ( シミュレータデバッガだけ有効 )
/ DEFAULT ( 省略不可 )
ブレークポイント設定時の属性を設定します。
/ SOFT
ブレークポイント設定時のデフォルトをソフトウェアブレークに設定します。
/ HARD ( 省略時のデフォルト )
ブレークポイント設定時のデフォルトをハードウェアブレークに設定します。
■ 機能
ブレークポイント設定時のデフォルト属性を設定します。
■ 例
>SET BREAK /DEFAULT /SOFT
110
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.4 SHOW BREAK (type 1)
S o ft w a r e
3.4
S u p p o r t
M a n u a l
SHOW BREAK (type 1)
SET BREAK コマンドで設定されているブレークポイントを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW BREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのブレークポイントを表示します。
/NORMAL [MB2100-01]
ハードウェアブレークまたはソフトウェアブレークの情報だけを表示します。
/DATAWATCH (MB2147-01)
データ監視ブレークの情報だけを表示します。
/COUNT [MB2100-01]
ハードウェア / カウントブレークの情報だけを表示します。
■ 機能
SET BREAK コマンドで設定されているブレークポイントを表示します。
July 31, 2015, CM41-00314-9
111
第 3 章 ブレーク / イベント制御コマンド
3.4 SHOW BREAK (type 1)
So ft war e
S up po r t
M a nu al
■ 例
【シミュレータデバッガの場合】
>SHOW BREAK
no.
en/dis
address
pass-count
1
enable
FF0F00
1 (
symbol
1)
Control: BREAK
Command: show timer
4
disable
FF20DE
65535 ( 1234)
Control: NOBREAK
Command: show status
【エミュレータデバッガ(MB2147-01)の場合】
>SHOW BREAK/ALL
no.
en/dis
address
pass-count
symbol
1
enable
FF0F00
1(
1)
main
4
disable
FF20DE
65535( 1234) func
data watch
no. en/dis address data-addr d-add-mask data
1
enable FF3032
008000
-----000034
mask
!0000FF
size
byte
acces
read
symbol
【エミュレータデバッガ(MB2198)の場合】
>SHOW BREAK/ALL
no.
en/dis
address
mask
kind
pass-count
symbol
1
enable
FF0F00
FFFFFF
hard
1(
main
1)
<注意事項>
ブレークポイントのヒットカウントはユーザプログラム実行中には更新されません。した
がって , ユーザプログラム実行中に表示されるヒットカウントは , プログラム実行開始前
の値となります。
112
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.5 SHOW BREAK (type 2)
S o ft w a r e
3.5
S u p p o r t
M a n u a l
SHOW BREAK (type 2)
SET BREAK コマンドで設定されているブレークポイント設定時のデフォルト属性
を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW BREAK /DEFAULT
● コマンド修飾子
/ DEFAULT ( 省略不可 )
現在のブレークポイント設定時のデフォルト属性を表示します。
■ 機能
SET BREAK コマンドで設定されている , ブレークポイント設定時のデフォルト属性を
表示します。
■ 例
>SHOW BREAK /DEFAULT
default : soft
July 31, 2015, CM41-00314-9
113
第 3 章 ブレーク / イベント制御コマンド
3.6 CANCEL BREAK
So ft war e
3.6
S up po r t
M a nu al
CANCEL BREAK
指定された番号のブレークポイントを解除します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
Monitor
○
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
CANCEL BREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW BREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのブレークポイントを解除します。
/NORMAL [MB2100-01]
ハードウェアまたはソフトウェアブレークを解除します。
/COUNT [MB2100-01]
ハードウェア / カウントブレークを解除します。
/DATAWATCH [MB2147-01, MB2100-01]
データ監視ブレークを解除します。
■ 機能
指定された番号のブレークポイントを解除します。
■ 例
>CANCEL BREAK 1
>CANCEL BREAK 3
114
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.6 CANCEL BREAK
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
• 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用でき
ません。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行
中に使用できるコマンド」を参照してください。
• コマンド修飾子 /ALL を指定した場合,ブレークポイント番号は指定できません。また
/ALL を指定しなかった場合は,ブレークポイント番号の指定が必要です。
July 31, 2015, CM41-00314-9
115
第 3 章 ブレーク / イベント制御コマンド
3.7 ENABLE BREAK
So ft war e
3.7
S up po r t
M a nu al
ENABLE BREAK
指定された番号のブレークポイントを有効にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
Monitor
○
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
ENABLE BREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW BREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのブレークポイントを有効にします。
/NORMAL [MB2100-01]
ハードウェアまたはソフトウェアブレークを有効にします。
/COUNT [MB2100-01]
ハードウェア / カウントブレークを有効にします。
/DATAWATCH (MB2147-01)
データ監視ブレークを有効にします。
■ 機能
指定された番号のブレークポイントを有効にします。
■ 例
>ENABLE BREAK 2
>ENABLE BREAK 3, 4
116
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.7 ENABLE BREAK
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用できま
せん。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行中に
使用できるコマンド」を参照してください。
July 31, 2015, CM41-00314-9
117
第 3 章 ブレーク / イベント制御コマンド
3.8 DISABLE BREAK
So ft war e
3.8
S up po r t
M a nu al
DISABLE BREAK
指定された番号のブレークポイントを無効にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
Monitor
○
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
DISABLE BREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW BREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのブレークポイントを無効にします。
/NORMAL [MB2100-01]
ハードウェアまたはソフトウェアブレークを無効にします。
/COUNT [MB2100-01]
ハードウェア / カウントブレークを無効にします。
/DATAWATCH (MB2147-01)
データ監視ブレークを無効にします。
■ 機能
指定された番号のブレークポイントを無効にします。
■ 例
>DISABLE BREAK 2
>DISABLE BREAK 3, 4
118
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.8 DISABLE BREAK
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用できま
せん。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行中に
使用できるコマンド」を参照してください。
July 31, 2015, CM41-00314-9
119
第 3 章 ブレーク / イベント制御コマンド
3.9 SET DATABREAK (type 1)
So ft war e
3.9
S up po r t
M a nu al
SET DATABREAK (type 1)
指定したアドレスをデータアクセスする場合にブレークします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
×
Monitor
×
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
SET DATABREAK データアクセスアドレス [&= アドレスマスク ] [, パスカウント数 ]
[, [!] d= ブレークデータ [&= ブレークデータマスク ]]
● パラメータ
データアクセスアドレス ( アドレス式 )
データアクセスブレークポイントを設定するアドレスを指定します。
アドレスマスク ( データ式 )
ブレークアドレスに対するマスクビットパターンを指定します。1 が立っている
ビット位置のアドレスだけを比較対象にします。MB2198 だけ指定できます。
パスカウント数 ( デフォルト 10 進数 )
ブレークポイントに何回ヒットすると実行を停止するかを設定します (1 ~ 65535)。
省略時は 1 になります。MB2198 だけ有効となります。
ブレークデータ ( デフォルト 16 進数 )
ブレーク条件となるデータ値を指定します。
ブレークデータマスク ( デフォルト 16 進数 )
ブレーク条件となるデータ値の有効ビットを指定します。
!を指定した場合は, 指定されたデータのnot指定になります。なおnot指定はMB2198
だけ指定できます。
120
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.9 SET DATABREAK (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
● コマンド修飾子
• アクセス属性の指定
/READ
データリードアクセス時にブレークさせます。
/WRITE
データライトアクセス時にブレークさせます。
• アクセスサイズの指定
/SIZEFREE (MB2198 の場合 , 省略時のデフォルト )
すべてのアクセスサイズをブレーク条件とします。
MB2198 の場合だけ指定できます。
/BYTE (MB2147-01/MB2147-05 の場合 , 省略時のデフォルト )
ブレーク条件となるデータ幅を 8 ビットに指定します。
/WORD
ブレーク条件となるデータ幅を 16 ビットに指定します。
• アクセスバスマスタの指定
/CPUDETECT ( 省略時のデフォルト )
データブレークヒット時のバスマスタを CPU にて検出します。
MB2198 の場合だけ指定できます。
/DMADETECT
データブレークヒット時のバスマスタを DMA にて検出します。
MB2198 の場合だけ指定できます。
■ 機能
指定したアドレスをデータアクセスする場合にブレークします。
関数内の自動変数を指定した場合には , その変数が確保されている現在のアドレスが
データアクセスアドレスとして設定されます。
C 言語の変数のアクセスでブレークさせる場合は , 変数の前に & を指定し , 変数のアド
レスとして指定する必要があります。
コマンド修飾子で , ブレークするアクセス種別を設定します。
コマンド修飾子を省略すると /READ/WRITE になります。
サイズ指定をした場合は以下の条件でブレークします。
• シミュレータデバッガの場合は , 指定アドレスに指定サイズでアクセスしたとき
• エミュレータデバッガ・モニタデバッガの場合は , 指定アドレスから指定サイズの
領域にアクセスされた時
パスカウント値は , 実行のたびに再設定されます。
データブレークポイントの設定数は次のとおりです。
【MB2147-01 または MB2147-05 の場合】
最大 2 ポイント設定できます。
July 31, 2015, CM41-00314-9
121
第 3 章 ブレーク / イベント制御コマンド
3.9 SET DATABREAK (type 1)
So ft war e
S up po r t
M a nu al
【MB2198 の場合】
最大 4 ポイント設定できます。
【シミュレータデバッガの場合】
最大 65535 ポイント設定できます。
■ 例
>SET DATABREAK
&checkflg, 3
<注意事項>
• 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用でき
ません。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行
中に使用できるコマンド」を参照してください。
• MB2198 エミュレータの場合 , 奇数アドレスからのワード (16 ビット ) アクセスでは ,
( バスアクセス上 ) 2 回のバイト (8 ビット ) アクセスで行われます。そのため , 奇数ア
ドレスからワード (16 ビット ) アクセスを指定してもヒットしないため , 注意してくだ
さい。
• MB2198 エミュレータの場合 , データブレークはハードウェアブレーク / トレーストリ
ガ / シーケンサと併用しているため , その設定状態により最大設定数は変動します。
122
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.10 SET DATABREAK (type 2)
S o ft w a r e
3.10
S u p p o r t
M a n u a l
SET DATABREAK (type 2)
指定したアドレスをデータアクセスする場合にブレークします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET DATABREAK データアクセスアドレス [, パスカウント ] [, { コマンド ; コマンド
…} ]
● パラメータ
データアクセスアドレス ( アドレス式 )
データアクセスブレークポイントを設定するアドレスを指定します。
パスカウント数 ( デフォルト 10 進数 )
ブレークポイントに何回ヒットすると実行を停止するかを設定します。
(1 ~ 65535) 省略時は 1 になります。
デバッガ種別がシミュレータデバッガだけ有効となります。
コマンド
ブレークアドレスにヒットした場合に実行したいコマンドリストを指定します。
コロンを使用することにより , 複数のコマンドが指定できます。
デバッガ種別がシミュレータデバッガだけ有効となります。
● コマンド修飾子
• STUB 機能指定
/BREAK(省略時のデフォルト)
ブレークポイントにヒットした場合 , コマンドリストを処理したあと命令実行を停
止します。
/ NOBREAK
ブレークポイントにヒットした場合 , コマンドリストを処理したあと命令実行を再
開します。
July 31, 2015, CM41-00314-9
123
第 3 章 ブレーク / イベント制御コマンド
3.10 SET DATABREAK (type 2)
So ft war e
S up po r t
M a nu al
• 属性指定
/READ
データリードアクセス時にブレークさせます。
/WRITE
データライトアクセス時にブレークさせます。
■ 機能
指定したアドレスをデータアクセスする場合にブレークします。
関数内の自動変数を指定した場合には , その変数が確保されている現在のアドレスが
データアクセスアドレスとして設定されます。
C 言語の変数のアクセスでブレークさせる場合は , 変数の前に & を指定し , 変数のアド
レスとして指定する必要があります。
コマンド修飾子で , ブレークするアクセス種別を設定します。
コマンド修飾子を省略するとデータリードアクセスとデータライトアクセスの両方で
ブレークさせます。
コマンド修飾子のサイズ指定をした場合は以下の条件でブレークします。
• シミュレータデバッガの場合は , 指定アドレスに指定サイズでアクセスされた時
• エミュレータデバッガ・モニタデバッガの場合は , 指定アドレスから指定サイズの
領域にアクセスされた時
パスカウント値は , 実行のたびに再設定されます。
データブレークポイントの設定数は次のとおりです。
エミュレータデバッガ (MB2141)
65535 個 ( デバッグ領域内 ), 6 個 ( デバッグ領域外 )
シミュレータデバッガ
65535 個
MB2141 の場合 , デバッグ領域をデータブレーク領域として設定している領域内には ,
そのアクセス条件 (READ/WRITE) と同じであれば最大 65535 個のブレークポイントが
設定できます。
■ 例
>SET DATABREAK/NOBREAK &checkflg, 3, {SHOW TRACE;SHOW TIMER}
<注意事項>
• コマンドリストには以下の実行系のコマンドは指定できません。
- GO
- STEP
- CALL
- SYSTEMCALL
• STEP/INTO および STEP/OVER では STUB 機能 ( コマンド実行および命令実行再開 )
は行いません。
ブレークポイントにヒットしたあと実行を停止します。
124
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.11 SET DATABREAK (type 3)
S o ft w a r e
3.11
S u p p o r t
M a n u a l
SET DATABREAK (type 3)
指定したアドレスをデータアクセスする場合にブレークします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET DATABREAK データアクセスアドレス [, d= ブレークデータ [&= ブレークデータ
マスク ]]
● パラメータ
データアクセスアドレス ( アドレス式 )
データアクセスブレークポイントを設定するアドレスを指定します。
ブレークデータ ( デフォルト 16 進数 )
ブレーク条件となるデータ値を指定します。
ブレークデータマスク ( デフォルト 16 進数 )
ブレーク条件となるデータ値の有効ビットを指定します。
! を指定した場合は , 指定されたデータの not 指定になります。
● コマンド修飾子
• アクセス属性の指定
以下の修飾子は同時に指定できます。
/READ/WRITE のどちらも指定がない場合には両方が指定されたことになります。
/READ
データリードアクセスでブレークします。
/WRITE
データライトアクセスでブレークします。
/DMA
CPU によるデータアクセスに加えて DMA によるデータアクセスでもブレークしま
す。
※ CPU によるデータアクセスは常にブレークの対象になります。
July 31, 2015, CM41-00314-9
125
第 3 章 ブレーク / イベント制御コマンド
3.11 SET DATABREAK (type 3)
So ft war e
S up po r t
M a nu al
• アクセスサイズの指定
/BYTE ( 省略時のデフォルト )
監視オリジンで /REGISTER を指定した場合
指定したデータアクセスアドレスへのバイトアクセスでブレークします。
監視オリジンで /MEMORY を指定した場合
指定したデータアクセスアドレスを先頭とする 1 バイトの範囲を含むアクセス
で , ブレークします。
/WORD
監視オリジンで /REGISTER を指定した場合
指定したデータアクセスアドレスへのワードアクセスでブレークします。
監視オリジンで /MEMORY を指定した場合
指定したデータアクセスアドレスを先頭とする 2 バイトの範囲含むアクセスで ,
ブレークします。
/LONG
監視オリジンで /REGISTER を指定した場合
指定したデータアクセスアドレスへのロングアクセスでブレークします。
監視オリジンで /MEMORY を指定した場合
指定したデータアクセスアドレスを先頭とする 4 バイトの範囲を含むアクセス
で , ブレークします。
• 監視オリジンの指定
/REGISTER( 省略時のデフォルト )
指定したアクセスサイズと一致するアクセスサイズのアクセスでブレークします。
/DMA と同時には指定できません。
/MEMORY(/DMA を指定した場合のデフォルト )
アクセスサイズとは関係なく , 指定したアクセスサイズの範囲に対する
すべてのアクセスでブレークします。
■ 機能
指定したアドレスをデータアクセスした場合にブレークします。
関数内の自動変数を指定した場合には , その変数が確保されている現在のアドレスが
データアクセスアドレスとして設定されます。
C 言語の変数のアクセスでブレークさせる場合は , 変数の前に & を指定し , 変数のアド
レスとして指定する必要があります。
最大 8 個 ( ※ ) 設定できます。ただし , 以下のコマンドで設定した個数との合計となり
ます。
SET BREAK/DATAWATCH
SET EVENT (/READ または /WRITE を指定した設定だけ )
※ 品種により 8 個まで設定ができない場合があります。
実際に設定できる個数については , ご使用の品種のハードウェアマニュアルを参照
してください
126
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.11 SET DATABREAK (type 3)
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
> SET DATABREAK &checkflg
July 31, 2015, CM41-00314-9
127
第 3 章 ブレーク / イベント制御コマンド
3.12 SHOW DATABREAK
So ft war e
3.12
S up po r t
M a nu al
SHOW DATABREAK
SET DATABREAK コマンドで設定したデータアクセスポイントを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW DATABREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのデータブレークポイントを表示します。
■ 機能
SET DATABREAK コマンドで設定したデータアクセスブレークポイントを表示しま
す。
■ 例
【シミュレータデバッガの場合】
>SHOW DATABREAK
no.
en/dis
address
read/write
pass-count
symbol
1
enable
002000
read only
1 (
\trac
4
disable
002052
write only
65535 ( 2345)
0)
【エミュレータデバッガ(MB2198)の場合】
>SHOW DATABREAK
no. en/dis address
1
enable 000100
128
mask
00FFF
pass-count
1 (
0)
data
--
d-mask
--
size
free
access
read/write
detect
CPU
symbol
symbol
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.13 CANCEL DATABREAK
S o ft w a r e
3.13
S u p p o r t
M a n u a l
CANCEL DATABREAK
指定された番号のデータアクセスブレークポイントを解除します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
Monitor
×
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
CANCEL DATABREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW DATABREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのデータアクセスブレークポイントを解除します。
■ 機能
指定された番号のデータアクセスブレークポイントを解除します。
■ 例
>CANCEL DATABREAK 1
>CANCEL DATABREAK 3
<注意事項>
「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用できま
せん。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行中に
使用できるコマンド」を参照してください。
July 31, 2015, CM41-00314-9
129
第 3 章 ブレーク / イベント制御コマンド
3.14 ENABLE DATABREAK
So ft war e
3.14
S up po r t
M a nu al
ENABLE DATABREAK
指定された番号のデータアクセスブレークポイントを有効にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
◎*
(MB2100-01)
◎
Monitor
×
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
ENABLE DATABREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW DATABREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのデータブレークポイントを有効にします。
■ 機能
指定された番号のデータアクセスブレークポイントを有効にします。
■ 例
>ENABLE DATABREAK 2
>ENABLE DATABREAK 3, 4
<注意事項>
「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用できま
せん。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行中に
使用できるコマンド」を参照してください。
130
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.15 DISABLE DATABREAK
S o ft w a r e
3.15
S u p p o r t
M a n u a l
DISABLE DATABREAK
指定された番号のデータアクセスブレークポイントを無効にします。
■ 対応デバッガ
○
Simulator
Emulator
Monitor
(MB2141)
○
(MB2147-01)
◎*
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
×
*: 「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中に使用
できません。
■ 形式
DISABLE DATABREAK[ ブレークポイント番号 [ , ... ]]
● パラメータ
ブレークポイント番号 ( デフォルト 10 進数 )
ブレークポイント番号を指定します。
ブレークポイント番号は , SHOW DATABREAK コマンドで参照します。
● コマンド修飾子
/ALL
すべてのデータブレークポイントを無効にします。
■ 機能
指定された番号のデータアクセスブレークポイントを無効にします。
■ 例
>DISABLE DATABREAK 2
>DISABLE DATABREAK 3, 4
<注意事項>
「実行中のブレークポイント設定」が無効の場合 , ユーザプログラム実行中は使用できま
せん。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.3 ユーザプログラム実行中に
使用できるコマンド」を参照してください。
July 31, 2015, CM41-00314-9
131
第 3 章 ブレーク / イベント制御コマンド
3.16 SET EVENT (type 1)
So ft war e
3.16
S up po r t
M a nu al
SET EVENT (type 1)
シーケンサ , マルチトレース , パフォーマンスのトリガ要因となるイベントの設定を
行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
SET EVENT イベント番号 , アドレス [&= マスク ][ , [!] d= データ
[&= マスク ]] [ , e= 外部プローブデータ [&= マスク ]] [ , p = パスカウント ]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
アドレス [&= マスク ] ( アドレス式 , データ式 )
イベント発生条件となるメモリ位置を指定します。マスクを指定した場合は , マス
クのビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効となります。
C 言語の自動変数は設定できません。
d= データ [&= マスク ] ( データ式 , データ式 )
イベント発生条件となるデータを指定します。マスクを指定した場合は , マスクの
ビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効になります。
! を指定した場合は , 指定されたデータの not 指定になります。
e= 外部プローブデータ [&= マスク ] ( データ式 , データ式 )
イベント発生条件となる外部プローブデータ (8 ビット長 ) を指定します。マスク
データを指定した場合は , マスクのビットが 1 のところだけが有効になり , ほかは
無視されます。
マスクデータを省略した場合は , すべてのビットが有効になります。
132
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.16 SET EVENT (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
p = パスカウント ( デフォルト 10 進数 )
イベントの発生回数 (1 ~ 255) を指定します。
省略した場合は , 1 に設定されます。
● コマンド修飾子
• アクセス属性
/CODE
指定したアドレスを実行したときをイベント発生条件にします。
/READ
指定したアドレスをリードしたときをイベント発生条件にします。
/WRITE
指定したアドレスをライトしたときをイベント発生条件にします。
/MODIFY
指定したアドレスのデータが変化したときをイベント発生条件にします。
/MODIFY はアクセス属性を指定するほかの修飾子と同時には指定できません。
/MODIFY を指定した場合は , アドレスマスクが無効になります。
/CODE/WRITE は指定できません。省略時は /CODE を指定したことになります。
• データ長指定
/WORD( 偶数アドレス指定時のデフォルト )
イベント条件データをワード (16 ビット ) として扱うことを指定します。データお
よびデータマスクはエンディアンの影響を受けるため , 注意してください。奇数ア
ドレス指定時にこの指定を行うとエラーになります。
/BYTE( 奇数アドレス指定時のデフォルト )
イベント条件データをバイト (8 ビット ) として扱うことを指定します。奇数アドレ
ス指定時は自動的にこの指定になります。
本指定を行うと以下のような設定を行います。
偶数アドレス指定時 :
イベント条件データ
指定データの下位バイトだけを使用し , 上位バイト
は 00 にしたワード (16 ビット ) データを用います。
イベント条件データマスク 指定データマスクの下位バイトだけを使用し , 上位
バイトは 00 にしたワード (16 ビット ) 長のマスクを
用います。
奇数アドレス指定時 :
イベント条件データ
指定データの下位バイトだけ使用し ,
そのバイト
データを上位バイトに , 下位バイトは 00 にしたワー
ド (16 ビット ) データを用います。
イベント条件データマスク 指定データマスクの下位バイトだけ使用し , そのバ
イトを上位バイトに , 下位バイトは 00 にしたワード
(16 ビット ) 長のマスクを用います。
July 31, 2015, CM41-00314-9
133
第 3 章 ブレーク / イベント制御コマンド
3.16 SET EVENT (type 1)
So ft war e
S up po r t
M a nu al
■ 機能
シーケンサ , マルチトレース , パフォーマンスのトリガ要因となるイベントの設定を行
います。データ , 外部プローブデータの指定を省略した場合は , データ , 外部プローブ
データはすべて無視されます。
アドレスをイベント条件にした場合は , MCU のプリフェッチの影響を受けるため ,
MCU のプリフェッチを考慮して設定してください。
また , ネイティブモードでは以下の制限があります。
/CODE 指定だけ有効です。ほかのアクセス属性を指定するとエラーになります。
パラメータにデータの指定 (d=) はできません。
イベントは , SET MODE コマンドで設定するモードごとに設定します。各モードでの
イベント設定は最大 8 ポイント設定でき , その情報はそれぞれのモードで独立していま
す。したがって , モードを変更した場合は変更前のモードでのイベント情報は待避され ,
変更後のモードで以前に設定されていたイベント情報が復活します。
ノーマルモード時には , シーケンサへのトリガとなります。イベントだけ設定し , シー
ケンサに設定しなければ , シーケンサのトリガ要因になりません。
マルチトレースモードでは,設定したイベントがすべてマルチトレースのトリガ要因に
なります。
パフォーマンスモードでは , それぞれのイベント番号に以下の意味付けがされていま
す。
イベント 1 → 区間 1 の開始イベント
イベント 2 → 区間 1 の終了イベント
イベント 3 → 区間 2 の開始イベント
イベント 4 → 区間 2 の終了イベント
イベント 5 → 区間 3 の開始イベント
イベント 6 → 区間 3 の終了イベント
イベント 7 → 区間 4 の開始イベント
イベント 8 → 区間 4 の終了イベント
SET RUNMODE コマンドを使用するとすべてのイベント設定がクリアされます。
■ 例
134
>SET EVENT /READ
1,func1
>SET EVENT /WRITE
2, &data[2],!d=h'10
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.17 SET EVENT (type 2)
S o ft w a r e
3.17
S u p p o r t
M a n u a l
SET EVENT (type 2)
シーケンサ , パフォーマンスのトリガ要因となるイベントの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
【イベントモード : ノーマル】
SET EVENT アドレス [&= マスク ] [ , [!] d= データ [&= マスク ]]
[ , p = パスカウント ]
【イベントモード : パフォーマンス】
SET EVENT アドレス [&= マスク ] [ , [!] d= データ [&= マスク ]],
b = イベント番号
● パラメータ
アドレス [&= マスク ] ( アドレス式 , データ式 )
イベント発生条件となるメモリ位置を指定します。マスクを指定した場合は , マス
クのビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効となります。
C 言語の自動変数は設定できません。
d= データ [&= マスク ] ( データ式 , データ式 )
イベント発生条件となるデータを指定します。マスクを指定した場合は , マスクの
ビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効になります。
! を指定した場合は , 指定されたデータの not 指定になります。
p = パスカウント ( デフォルト 10 進数 )
イベントの発生回数を指定します。1 ~ 255 の範囲で指定します。
省略した場合は , 1 に設定されます。
イベントモードがノーマルの時に指定できます。
July 31, 2015, CM41-00314-9
135
第 3 章 ブレーク / イベント制御コマンド
3.17 SET EVENT (type 2)
So ft war e
S up po r t
M a nu al
b = イベント番号
イベント番号 (1 ~ 8) を指定します。
イベントモードがパフォーマンスの時に指定できます。
● コマンド修飾子
• アクセス属性
/CODE
指定したアドレスを実行したときをイベント発生条件にします。
/READ または /WRITE との同時指定はできません。
/READ
指定したアドレスをリードしたときをイベント発生条件にします。
/WRITE
指定したアドレスをライトしたときをイベント発生条件にします。
省略時は /CODE を指定したことになります。
• データ長指定
/WORD
イベント条件データをワード (16 ビット ) として扱うことを指定します。
/BYTE( 省略時のデフォルト )
イベント条件データをバイト (8 ビット ) として扱うことを指定します。
■ 機能
【イベントモード : ノーマル】
シーケンサのトリガ要因となるイベントの設定を行います。データの指定を省略し
た場合は , データはすべて無視されます。
また , ネイティブモードでは以下の制限があります。
/CODE 指定だけ有効です。ほかのアクセス属性を指定するとエラーになります。パ
ラメータにデータの指定 (d=) はできません。
イベント設定は最大 4 個まで設定できます。ただし , ハードウェアが共用のため ,
SET BREAK/DATAWATCH と SET TRACETRIGGER との合計ポイントとなります。
シーケンサについて, SET SEQUENCEコマンドを使ってイベント番号を指定し設定
を 行 っ て く だ さ い。イ ベ ン ト 番 号 は SHOW EVENT で 参 照 す る か 組 込 み 関 数
%EVENTNUM を用いてアドレスから参照もできます。
SET RUNMODE コマンドを使用するとすべてのイベント設定がクリアされます。
【イベントモード : パフォーマンス】
パフォーマンス測定の測定条件となる , 開始 / 終了アドレスをイベント番号で指定
します。
それぞれのイベント番号に以下の意味付けがされています。
イベント 1 → 区間 1 の開始イベント
イベント 2 → 区間 1 の終了イベント
イベント 3 → 区間 2 の開始イベント
イベント 4 → 区間 2 の終了イベント
136
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.17 SET EVENT (type 2)
S o ft w a r e
S u p p o r t
M a n u a l
イベント 5 → 区間 3 の開始イベント
イベント 6 → 区間 3 の終了イベント
イベント 7 → 区間 4 の開始イベント
イベント 8 → 区間 4 の終了イベント
■ 例
>SET EVENT /READ
1, func1
>SET EVENT /WRITE
2, &data[2],!d=h'10
<注意事項>
設定中のイベントと同じアドレスに再設定した場合 , シーケンスが解除されます。
SET SEQUENCE コマンドで再設定をおこなってください。
July 31, 2015, CM41-00314-9
137
第 3 章 ブレーク / イベント制御コマンド
3.18 SET EVENT (type 3)
So ft war e
3.18
S up po r t
M a nu al
SET EVENT (type 3)
シーケンサ , パフォーマンスのトリガ要因となるイベントを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET EVENT アドレス[, p = パスカウント]
● パラメータ
アドレス ( アドレス式 , データ式 )
イベント発生条件となるメモリ位置を指定します。
p = パスカウント ( デフォルト 10 進数 )
イベントの発生回数を指定します。1 ~ 1048575 の範囲で指定します。
省略した場合は , 1 に設定されます。
/PERFORMANCE 指定時は指定できません。
● コマンド修飾子
• アクセス属性
/CODE( 省略時のデフォルト )
指定したアドレスを実行したときをイベント発生条件にします。
/READ , /WRITE または /DMA と同時には指定できません。
/READ
指定したアドレスをリードしたときをイベント発生条件にします。
/CODE と同時には指定できません。
/WRITE
指定したアドレスをライトしたときをイベント発生条件にします。
/CODE と同時には指定できません。
138
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.18 SET EVENT (type 3)
S o ft w a r e
S u p p o r t
M a n u a l
/DMA
CPU がリードまたはライトしたときに加えて DMA がアクセスしたときもイベント
発生条件にします。
※ CPU がリードまたはライトしたときは常にイベント発生条件になります。
/CODE と同時には指定できません。
• アクセスサイズの指定
以下の修飾子は /CODE と同時には指定できません。
/BYTE ( 省略時のデフォルト )
監視オリジンで /REGISTER を指定した場合
指定したアドレスへのバイトアクセスをイベント発生条件にします。
監視オリジンで /MEMORY を指定した場合
指定したアドレスを先頭とする 1 バイトの範囲を含むアクセスをイベント発生
条件にします。
/WORD
監視オリジンで /REGISTER を指定した場合
指定したアドレスへのワードアクセスをイベント発生条件にします。
監視オリジンで /MEMORY を指定した場合
指定したアドレスを先頭とする 2 バイトの範囲を含むアクセスをイベント発生
条件にします。
/LONG
監視オリジンで /REGISTER を指定した場合
指定したアドレスへのロングアクセスをイベント発生条件にします。
監視オリジンで /MEMORY を指定した場合
指定したアドレスを先頭とする 4 バイトの範囲を含むアクセスをイベント発生
条件にします。
• 監視オリジンの指定
/REGISTER( 省略時のデフォルト )
指定したアクセスサイズと一致するアクセスサイズのアクセスをイベント発生条
件にします。
/CODE または /DMA と同時には指定できません。
/MEMORY(/DMA を指定した場合のデフォルト )
アクセスサイズとは関係なく , 指定したアクセスサイズの範囲を含むすべてのアク
セスをイベント発生条件にします。
/CODE と同時には指定できません。
• 機能分類の指定
/SEQUENCE ( 省略時のデフォルト )
シーケンサ用のイベントを設定します。
/PERFORMANCE
パフォーマンス用のイベントを設定します。
July 31, 2015, CM41-00314-9
139
第 3 章 ブレーク / イベント制御コマンド
3.18 SET EVENT (type 3)
So ft war e
S up po r t
M a nu al
■ 機能
シーケンサ , パフォーマンスのトリガ要因となるイベントを設定します。
関数内の自動変数を指定した場合には , その変数が確保されている現在のアドレスが
データアクセスアドレスとして設定されます。
C 言語の変数のアクセスでブレークさせる場合は , 変数の前に & を指定し , 変数のアド
レスとして指定する必要があります。
イベントの最大設定数は以下のとおりです。
• /CODE を指定した設定
最大 8 個 ( ※ ) 設定できます。
ただし , 以下のコマンドで設定した個数との合計となります。
SET BREAK
SET BREAK/DATAWATCH
・/READ または /WRITE を指定した設定
最大 8 個 ( ※ ) 設定できます。
ただし , 以下のコマンドで設定した個数との合計となります。
SET BREAK/DATAWATCH
SET DATABREAK
※ 品種により 8 個まで設定ができない場合があります。
実際に設定できる個数については , ご使用の品種のハードウェアマニュアルを参照
してください。
シーケンサの設定は , このコマンドで設定したイベントを SET SEQUENCE でシーケン
サに結びつけることにより設定します。
SET SEQUENCE でのイベントの指定には SET EVENT で割り振られたイベント番号を
使用します。
イベント番号は SHOW EVENT で参照するか , 組み込み関数 %EVENTNUM を用いてア
ドレスから変換したものを使用してください。
140
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.18 SET EVENT (type 3)
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>SET EVENT /READ1, func1
>SET EVENT /WRITE2, &data[2]
<注意事項>
• コマンド修飾子 /SEQUENCE を指定する場合 , 既にシーケンスのトリガ要因として設
定しているアドレスに再設定すると , シーケンスのトリガ要因の指定が解除されます。
SET SEQUENCE で再設定してください。
• コマンド修飾子 /PERFORMANCE を指定する場合 , 既にパフォーマンスのトリガ要
因として 設定しているアドレスに再設定すると , パフォーマンスのトリガ要因の指定
が解除されます。
SET PERFORMANCE で再設定してください。
July 31, 2015, CM41-00314-9
141
第 3 章 ブレーク / イベント制御コマンド
3.19 SHOW EVENT
So ft war e
3.19
S up po r t
M a nu al
SHOW EVENT
SET EVENT の設定内容を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SHOW EVENT [ イベント番号 [ , ... ]]
● パラメータ
イベント番号
イベント番号を指定します。
MB2141 または MB2147-01 では 1 ~ 8, MB2100-01 では 1 ~ 16 の範囲で指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのイベントを表示します。
• 機能分類の指定
/SEQUENCE ( 省略時のデフォルト )
シーケンス用のイベントを表示します。
MB2100-01 の場合だけ指定できます。
/PERFORMANCE
パフォーマンス用のイベントを表示します。
MB2100-01 の場合だけ指定できます。
■ 機能
SET EVENT の設定内容を表示します。
【MB2147-01 の場合】
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照できま
す。
142
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.19 SHOW EVENT
S o ft w a r e
S u p p o r t
M a n u a l
【MB2100-01 の場合】
/SEQUENCE 指定時
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照でき
ます。
/PERFORMANCE 指定時
イベント番号指定は組み込み関数 %PFMEVENTNUM を用いてアドレスからも参照
できます。
■ 例
>SHOW EVENT
July 31, 2015, CM41-00314-9
143
第 3 章 ブレーク / イベント制御コマンド
3.20 CANCEL EVENT
So ft war e
3.20
S up po r t
M a nu al
CANCEL EVENT
指定された番号のイベントを取り消します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
CANCEL EVENT [ イベント番号 [ , ... ]]
● パラメータ
イベント番号
イベント番号を指定します。
MB2141 または MB2147-01 では 1 ~ 8, MB2100-01 では 1 ~ 16 の範囲で指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのイベントを指定します。
• 機能分類の指定
/SEQUENCE ( 省略時のデフォルト )
シーケンス用のイベントを削除します。
MB2100-01 の場合だけ指定できます。
/PERFORMANCE
パフォーマンス用のイベントを削除します。
MB2100-01 の場合だけ指定できます。
■ 機能
指定された番号のイベントを取り消します。
【MB2147-01 の場合】
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照できま
す。
144
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.20 CANCEL EVENT
S o ft w a r e
S u p p o r t
M a n u a l
【MB2100-01 の場合】
/SEQUENCE 指定時
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照でき
ます。
/PERFORMANCE 指定時
イベント番号指定は組み込み関数 %PFMEVENTNUM を用いてアドレスからも参照
できます。
■ 例
>CANCEL
EVENT
<注意事項>
【MB2147-01 の場合】
• 既にシーケンスとして設定しているイベント番号を指定した場合 , シーケンスが解除
されます。
SET SEQUENCE コマンドで再設定をおこなってください。
【MB2100-01 の場合】
• コマンド修飾子 /SEQUENCE を指定する場合 , 既にシーケンスとして設定しているイ
ベント番号を指定すると , 設定中のシーケンスが解除されます。
SET SEQUENCE コマンドで再設定をおこなってください。
• コマンド修飾子 /SEQUENCE を指定し , パラメータにパフォーマンストリガとして設
定しているイベント番号を指定した場合 , イベントは削除できません。
• コマンド修飾子 /PERFORMANCE を指定する場合 , 既にパフォーマンストリガとして
設定しているイベント番号を指定すると , 設定中のパフォーマンストリガが解除され
ます。
SET PERFORMANCE コマンドで再設定をおこなってください。
• コマンド修飾子 /PERFORMANCE を指定し , パラメータにシーケンスとして設定して
いるイベント番号を指定した場合 , イベントは削除できません。
July 31, 2015, CM41-00314-9
145
第 3 章 ブレーク / イベント制御コマンド
3.21 ENABLE EVENT
So ft war e
3.21
S up po r t
M a nu al
ENABLE EVENT
一時無効にしたイベントを有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
ENABLE EVENT [ イベント番号 [ , ... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのイベントを有効にします。
■ 機能
一時無効にしたイベントを有効にします。
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照できま
す。
■ 例
>ENABLE
146
EVENT
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.22 DISABLE EVENT
S o ft w a r e
3.22
S u p p o r t
M a n u a l
DISABLE EVENT
イベントを一時無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
DISABLE EVENT [ イベント番号 [ , ... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのイベントを無効にします。
■ 機能
イベントを一時無効にします。
イベント番号指定は組み込み関数 %EVENTNUM を用いてアドレスからも参照できま
す。
■ 例
>DISABLE
July 31, 2015, CM41-00314-9
EVENT
147
第 3 章 ブレーク / イベント制御コマンド
3.23 SET SEQUENCE (type 1)
So ft war e
3.23
S up po r t
M a nu al
SET SEQUENCE (type 1)
シーケンサの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
< 形式 1 >
SET SEQUENCE [/EVENT] レベル番号 , イベント番号 [ , パスカウント値 ]
[ , J= 分岐レベル番号 ]
< 形式 2 >
SET SEQUENCE /TIMER レベル番号 , 待ち時間 [ , J= 分岐レベル番号 ]
< 形式 3 >
SET SEQUENCE /LATCH ラッチ番号 , 分岐元レベル番号 , 分岐先レベル番号
● 機能分類コマンド修飾子
/EVENT( デフォルト )
トリガとなるイベント番号 , パスカウント値 , ヒット時の分岐レベル番号の設定を
行います。
/TIMER
待ち時間の設定を行います。形式 2 で指定します。
/LATCH
分岐レベル番号で指定したレベルから分岐レベル番号で指定したレベルに移行し
たときに , その時のエミュレーションタイマ値を取り込みます。このラッチ機能は
2 条件まで設定できます。形式 3 で指定します。
● パラメータ
レベル番号 ( デフォルト 10 進数 )
設定したいレベルの番号 (D'1 ~ D'8) を指定します。
イベント番号 ( デフォルト 10 進数 )
トリガとして設定したいイベントの番号 (D'1 ~ D'8) を指定します。
148
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.23 SET SEQUENCE (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
パスカウント値 ( デフォルト 10 進数 )
条件にイベントを指定した場合に , そのイベントの通過回数 ( パスカウント ) を指
定します。省略時は 1 になります。(D'1 ~ D'16777215)
待ち時間 ( デフォルト 10 進数 )
そのレベルに入ってからの待ち時間 (D'1 ~ D'16777215) を設定します。その設定時
間経過時がトリガになります。単位は , SET TIMERSCALSE コマンドの設定により
1s, 100ns のどちらかになります。
ラッチ番号 ( デフォルト 10 進数 )
ラッチ機能の識別番号 (1 か 2) を指定します。
分岐レベル番号 ( デフォルト 10 進数 )
条件が成立した場合に移動するレベル番号を指定します。省略すると次のレベルに
設定されます。レベル番号に 0 を指定すると終了指定 ( ディレイカウンタのスター
ト ) になります。
分岐元レベル番号 , 分岐先レベル番号 ( デフォルト 10 進数 )
ラッチ機能を動作させる条件の分岐元 , および分岐先を示すレベル番号を指定しま
す。
● コマンド修飾子
/ENABLETRACE( 省略時のデフォルト )
トレースサンプリングを有効にします。この修飾子は /EVENT, または /TIMER を指
定したときだけ使用できます。
/DISABLETRACE( 省略時のデフォルト )
トレースサンプリングを無効にします。この修飾子は /EVENT, または /TIMER を指
定したときだけ使用できます。
■ 機能
シーケンサの設定を行います。シーケンサは 8 レベルで構成されており , 各レベルは次
のような構成になっています。
[イベント番号1を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号2を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号3を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号4を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号5を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号6を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号7を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[イベント番号8を使用?]
[パスカウンタ]
[トレース制御]
[分岐レベル番号]
[トレース制御]
[分岐レベル番号]
タイマ条件
[待ち時間]
また,指定レベルから指定レベルへ分岐したときのエミュレーションタイマの取り込み
機能 ( ラッチ機能 ) も設定します。
■ 例
>SET SEQUENCE/ON
July 31, 2015, CM41-00314-9
149
第 3 章 ブレーク / イベント制御コマンド
3.24 SET SEQUENCE (type 2)
So ft war e
3.24
S up po r t
M a nu al
SET SEQUENCE (type 2)
シーケンサの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
【MB2147-01 の場合】
SET SEQUENCE イベント番号 [ , イベント番号 [ , イベント番号]] [ , R = イベント番号]
【MB2100-01 の場合】
SET SEQUENCE イベント番号[, イベント番号][, R =イベント番号]
● パラメータ
イベント番号 ( デフォルト 10 進数 )
トリガとして設定したいイベントの番号 (D'1 ~ D'8) を指定します。
R = イベント番号 ( デフォルト 10 進数 )
シーケンサの再開条件となるイベントの番号 (D'1 ~ D'8) を指定します。
● コマンド修飾子
/BREAK ( 起動時のデフォルト )
シーケンサ END 時にブレークすることを指定します。
/ENDTRACE
シーケンサ END 時にトレース取得を終了することを指定します。
/MULTITRACE
シーケンサ END 時に , 次のブロックへ遷移することを指定します。
イベントモードがマルチトレースモードの場合だけ指定できます。
150
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.24 SET SEQUENCE (type 2)
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
MB2147-01 では 3 レベル , MB2100-01 では 2 レベルの RESTART 付きシーケンス設定
を行います。
SET EVENT であらかじめイベントポイントの設定を行ってください。
イベント番号指定は組込み関数 %EVENTNUM を用いてアドレスから参照できます。
■ 例
【MB2147-01 の場合】
>SET SEQUENCE 2, 3, 1, R=4
<注意事項>
• パラメータに同じイベント番号は 2 回以上指定できません。
【MB2147-01 の場合】
• コマンド修飾子は , デバッグ機能が Trace Enhancement モード時だけ指定できます。
詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してく
ださい。
【MB2100-01 の場合】
• パスカウントモードがパスカウントブレークモードの場合 , 本コマンドは使用できま
せん。
詳細は「1.8 SET MODE (type 1)」を参照してください。
July 31, 2015, CM41-00314-9
151
第 3 章 ブレーク / イベント制御コマンド
3.25 SET SEQUENCE (type 3)
So ft war e
3.25
S up po r t
M a nu al
SET SEQUENCE (type 3)
シーケンサのトリガ要因となるイベントの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
SET SEQUENCE 移行元レベル番号 , 移行先レベル番号 , アドレス [&= アドレスマスク ]
[, パスカウント ] [, [!] d= データ [&= マスク]]
● パラメータ
移行元レベル番号 , 移行先レベル番号 ( デフォルト 10 進数 )
シーケンス機能を動作させる条件の移行元 , および移行先を示すレベル番号 (1 ~ 8)
を指定します。
アドレス [& =マスク ] ( アドレス式 , データ式 )
イベント発生条件となるメモリ位置を指定します。マスクを指定した場合は , マス
クのビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効となります。
C 言語の自動変数は設定できません。
パスカウント ( デフォルト 10 進数 )
イベントの発生回数 (1 ~ 65535) を指定します。
省略した場合は , 1 に設定されます。
d= データ [& = マスク ] ( データ式 , データ式 )
イベント発生条件となるデータを指定します。マスクを指定した場合は , マスクの
ビットが 1 のところだけが有効になり , ほかは無視されます。
マスクデータを省略した場合は , すべてのビットが有効になります。
! を指定した場合は , 指定されたデータの not 指定になります。
152
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.25 SET SEQUENCE (type 3)
S o ft w a r e
S u p p o r t
M a n u a l
● コマンド修飾子
• イベント属性
/CODE ( 省略時のデフォルト )
指定したアドレスを実行したときをイベント発生条件にします。
/DATA
指定したアドレスをリードまたはライトしたときをイベント発生条件にします。
• アクセス属性
/READ ( 省略時のデフォルト )
指定したアドレスをリードしたときをイベント発生条件にします。
/WRITE ( 省略時のデフォルト )
指定したアドレスをライトしたときをイベント発生条件にします。
/DATA 指定時だけ指定できます。
/CODE/WRITE は指定できません。
• データ長指定
/SIZEFREE ( 省略時のデフォルト )
データヒット時のアクセスサイズを無視として扱うことを指定します。
/BYTE
データヒット時のアクセスサイズをバイト (8 ビット ) として扱うことを指定しま
す。
/WORD
データヒット時のアクセスサイズをワード (16 ビット ) として扱うことを指定しま
す。
• バスマスタ指定
/CPUDETECT ( 省略時のデフォルト )
データヒット時のバスマスタを CPU にて検出することを指定します。
/DMADETECT ( 省略時のデフォルト )
データヒット時のバスマスタを DMA にて検出することを指定します。
• トレース制御
/ENABLETRACE
終了トリガにヒットした際 , トレース取得を開始します。
/DISABLETRACE
終了トリガにヒットした際 , トレース取得を終了します。
■ 機能
シーケンサのトリガ要因となるイベントの設定を行います。データの指定を省略した
場合は , データはすべて無視されます。
SET RUNMODE コマンドを使用するとすべてのイベント設定がクリアされます。
■ 例
>SET SEQUENCE /DATA /READ 1,3,100&=0xff00
July 31, 2015, CM41-00314-9
153
第 3 章 ブレーク / イベント制御コマンド
3.25 SET SEQUENCE (type 3)
So ft war e
S up po r t
M a nu al
<注意事項>
• データイベントの場合 , 奇数アドレスからのワード (16 ビット ) アクセスでは , ( バス
アクセス上 ) 2 回のバイト (8 ビット ) アクセスで行われます。そのため , 奇数アドレス
からワード (16 ビット ) アクセスを指定してもヒットしないため , 注意してください。
• シーケンサはハードウェアブレーク / トレーストリガ / シーケンサと併用するため , そ
の設定状態により最大設定数は変動します。
• SET SEQUENCE を用いてシーケンサを設定した場合 , 3 レベルシーケンスダイアログ
を表示するには , イベントをすべて削除する必要があります。
154
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.26 SET SEQUENCE (type 4)
S o ft w a r e
3.26
S u p p o r t
M a n u a l
SET SEQUENCE (type 4)
現在のシーケンスの位置を START の位置まで戻します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET SEQUENCE/RESTART
● コマンド修飾子
/RESTART
現在のシーケンスレベルを START の位置まで戻します。
■ 機能
SET SEQUENCE コマンドで設定したシーケンスの現在の位置を START に戻します。
■ 例
>SET SEQUENCE/RESTART
July 31, 2015, CM41-00314-9
155
第 3 章 ブレーク / イベント制御コマンド
3.27 SHOW SEQUENCE (type 1)
So ft war e
3.27
S up po r t
M a nu al
SHOW SEQUENCE (type 1)
シーケンサの設定情報を表示します。表示方法には以下の種類があります。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1 >
SHOW SEQUENCE [/LEVEL] [ レベル番号 ]
< 形式 2 >
SHOW SEQUENCE /CURRENT
< 形式 3 >
SHOW SEQUENCE /LATCH
● 機能分類コマンド修飾子
次のコマンド修飾子を指定することにより , パラメータが変わります。
/LEVEL
各レベルの設定状態を表示します。
/CURRENT
プログラム実行中は , 現在実行しているシーケンサのレベル番号を表示します。
ブレーク時は , 最後に実行したレベル番号と , 各イベントのパスカウント状態を表
示します。
/LATCH
ラッチしたタイマ値を表示します。ラッチ 1, ラッチ 2 共に設定してある場合は , そ
の差も表示します。
● パラメータ
レベル番号 ( デフォルト 10 進数 )
シーケンサのレベル番号 (D'1 ~ D'8) を指定します。
156
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.27 SHOW SEQUENCE (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
シーケンサの設定情報を表示します。表示方法には以下の種類があります。
(1) 全体表示 ( 形式 (1))
形式 (1) でレベル番号を省略した場合は , 全レベルの概要を表示します。
表示は次のようになります。
詳細は , 『SOFTUNE Workbench 機能説明書』の「2.3.5.1 シーケンサの設定」を参
照してください。
enable/disable
level1
level2
level3
level4
level5
level6
レベル1
情報
表示
レベル2
情報
表示
レベル3
情報
表示
レベル4
情報
表示
レベル5
情報
表示
レベル6
情報
表示
ラッチ1の情報
level7
レベル7
情報
表示
level8
レベル8
情報
表示
ラッチ2の情報
(2) 詳細表示 ( 形式 (1))
形式 (1) でレベル番号を指定した場合は , 指定レベルの詳細情報を表示します。設
定されていないイベントは表示されません。
(3) /CURRENT 指定時 ( 形式 (2))
プログラム実行中は , 現在実行しているレベル番号が表示されます。ほかの情報は
表示されません。
ブレーク中は , 最後に実行したレベル番号と , そのレベルのイベント情報を表示し
ます。
(4) /LATCH 指定時 ( 形式 (3))
ラッチしたタイマ値を次の形式で表示します。
分岐元レベル番号
分岐先レベル番号
エミュレーションタイマの取込み値
(分,秒,m秒,µ秒の順に表示されます。)
Latc h 1 (X->X)
Latc h 2 (X->X)
|Latch 1 - Latch 2|
July 31, 2015, CM41-00314-9
min
= XX:
= XX:
= XX:
s
ms
µs
ns
XX : XXX : XXX : XXX
XX : XXX : XXX : XXX
XX : XXX : XXX : XXX
Latch 1とLatch 2の差を
絶対値で表示します。
157
第 3 章 ブレーク / イベント制御コマンド
3.27 SHOW SEQUENCE (type 1)
So ft war e
S up po r t
M a nu al
■ 例
>SHOW SEQUENCE
Sequencer is enable
level1
level2
level3
level4
level5
level6
1 |1|->2
||
||
||
||
||
2||
|2|#>3 | |
||
||
||
3||
||
|3|->end | |
||
||
4 |4|->4
||
||
||
||
||
5||
||
||
|5|->1
||
||
6||
||
||
|6|#>7 | |
||
7||
||
||
||
||
||
8||
||
||
||
||
||
T||
||
||
||
||
||
Latch 1 (2->3) =
0:01:37:078.582.0 Latch 2 (4->7) =
>SHOW SEQUENCE 1
level no. = 1
event
pass-count
1
1
4
1
trace-cntl
enable
enable
>SHOW SEQUENCE/LATCH
min s
Latch 1 (2->3)
= 0: 01:
Latch 2 (4->7)
= 0: 00:
|Latch 1 - Latch 2| = 0: 01:
158
level7
level8
||
||
||
||
||
||
||
||
||
||
||
||
|7|->end | |
|8|->4
||
||
||
0:00:00:862.405.0
jmp-level
2
4
ms us ns
37: 078. 582.0
00: 862. 405.0
36: 216. 177.0
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.28 SHOW SEQUENCE (type 2)
S o ft w a r e
3.28
S u p p o r t
M a n u a l
SHOW SEQUENCE (type 2)
SET SEQUENCE コマンドで設定した内容を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW SEQUENCE
■ 機能
SET SEQUENCE コマンドで設定したシーケンスのアドレス情報を表示します。
■ 例
【MB2100-01 の場合】
>SHOW SEQUENCE
July 31, 2015, CM41-00314-9
level1
-> level2
-> level3
-> end restart
00FF0010
00FF0020
--------
--------
159
第 3 章 ブレーク / イベント制御コマンド
3.29 SHOW SEQUENCE (type 3)
So ft war e
3.29
S up po r t
M a nu al
SHOW SEQUENCE (type 3)
SET SEQUENCE の設定内容を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
×
Monitor
×
■ 形式
SHOW SEQUENCE [ イベント番号 [ ,... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
すべてのイベントを表示します。
■ 機能
SET SEQUENCE の設定内容を表示します。
■ 例
>SHOW SEQUENCE 1
160
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.30 CANCEL SEQUENCE (type 1)
S o ft w a r e
3.30
S u p p o r t
M a n u a l
CANCEL SEQUENCE (type 1)
シーケンサの設定を解除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
< 形式 1 >
CANCEL SEQUENCE [/EVENT] レベル番号 [ , イベント番号 ]
< 形式 2 >
CANCEL SEQUENCE /TIMER レベル番号
< 形式 3 >
CANCEL SEQUENCE /LATCH ラッチ番号
< 形式 4 >
CANCEL SEQUENCE /ALL[ レベル番号 ]
< 形式 5 >
CANCEL SEQUENCE
● 機能分類コマンド修飾子
/EVENT( デフォルト )
トリガとなるイベント番号指定を解除します。形式 1 で使います。
/TIMER
待ち時間を解除します。形式 2 で使います。
/LATCH
ラッチ機能を解除します。形式 3 で使います。
/ALL
すべての設定を解除します。形式 4 で使います。
July 31, 2015, CM41-00314-9
161
第 3 章 ブレーク / イベント制御コマンド
3.30 CANCEL SEQUENCE (type 1)
So ft war e
S up po r t
M a nu al
● パラメータ
レベル番号 ( デフォルト 10 進数 )
解除したいレベルの番号を指定します。
イベント番号 ( デフォルト 10 進数 )
解除したいイベント番号を指定します。
ラッチ番号 ( デフォルト 10 進数 )
ラッチ機能の識別番号を指定します。
■ 機能
シーケンサの設定を解除します。
形式 1 ~ 4 は MB2141 だけ使用できます。
/EVENT 指定時は , シーケンサの指定されたレベル , 指定された条件番号の設定内容を
解除します。この場合 , イベント番号を省略するとそのレベルに設定されているイベン
トをすべて解除します。
/TIMER 指定時は , 指定されたレベルに設定された待ち時間指定を解除します。
/LATCH 指定時は , 指定されたラッチ番号のラッチ機能を解除します。
/ALL 指定時は , レベル番号を指定すると , そのレベルの設定をすべて削除します。レ
ベル番号を省略するとすべての設定を解除します。
形式 5 は , MB2147-01 または MB2100-01 だけ使用できます。SET SEQUENCE で指定
したシーケンサの設定を一括して解除します。ただし , 個々のイベントの設定までは削
除されません。
■ 例
>CANCEL SEQUENCE
1, 2
>CANCEL SEQUENCE
4
>CANCEL SEQUENCE /LATCH
162
1
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.31 CANCEL SEQUENCE (type 2)
S o ft w a r e
3.31
S u p p o r t
M a n u a l
CANCEL SEQUENCE (type 2)
指定された番号のシーケンスイベントを取り消します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
CANCEL SEQUENCE [ イベント番号 [ ,... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
すべてのイベント番号を指定します。
■ 機能
指定された番号のシーケンスイベントを取り消します。
■ 例
>CANCEL SEQUENCE
July 31, 2015, CM41-00314-9
1
163
第 3 章 ブレーク / イベント制御コマンド
3.32 ENABLE SEQUENCE (type 1)
So ft war e
3.32
S up po r t
M a nu al
ENABLE SEQUENCE (type 1)
シーケンサの動作を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
ENABLE SEQUENCE
■ 機能
シーケンサの動作を有効にします。
■ 例
>ENABLE
164
SEQUENCE
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.33 ENABLE SEQUENCE (type 2)
S o ft w a r e
3.33
S u p p o r t
M a n u a l
ENABLE SEQUENCE (type 2)
一時無効にしたシーケンスイベントを有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
ENABLE SEQUENCE [ イベント番号 [ ,... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
すべてのイベント番号を指定します。
■ 機能
一時無効にしたシーケンスイベントを有効にします。
■ 例
>ENABLE
July 31, 2015, CM41-00314-9
SEQUENCE 1
165
第 3 章 ブレーク / イベント制御コマンド
3.34 DISABLE SEQUENCE (type 1)
So ft war e
3.34
S up po r t
M a nu al
DISABLE SEQUENCE (type 1)
シーケンサの動作を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
DISABLE SEQUENCE
■ 機能
シーケンサの動作を無効にします。
■ 例
>DISABLE SEQUENCE
166
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.35 DISABLE SEQUENCE (type 2)
S o ft w a r e
3.35
S u p p o r t
M a n u a l
DISABLE SEQUENCE (type 2)
シーケンスイベントを一時無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
DISABLE SEQUENCE [ イベント番号 [ ,... ]]
● パラメータ
イベント番号
イベント番号 (1 ~ 8) を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
すべてのイベント番号を指定します。
■ 機能
シーケンスイベントを一時無効にします。
■ 例
>DISABLE SEQUENCE 1
July 31, 2015, CM41-00314-9
167
第 3 章 ブレーク / イベント制御コマンド
3.36 SET DELAY
So ft war e
3.36
S up po r t
M a nu al
SET DELAY
シーケンサ終了時のディレイカウントの設定 , およびカウント終了時にブレークする
かしないかを指定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET DELAY [ ディレイカウント ]
● パラメータ
ディレイカウント ( デフォルト 10 進数 )
シーケンサ動作終了時からトレースを終了するまでのディレイ値 (0 ~ 65535) を指
定します。ディレイカウントは 1 マシンサイクルごとに行われます。
● コマンド修飾子
/BREAK( 起動時のデフォルト )
ディレイカウント終了時に MCU 実行を停止させることを指定します。
/NOBREAK
ディレイカウント終了時に MCU 実行を停止させないことを指定します。
■ 機能
シーケンサ終了時のディレイカウントの設定,およびカウント終了時にブレークするか
否かを指定します。
■ 例
>SET DELAY /NOBREAK
168
200
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.37 SHOW DELAY
S o ft w a r e
3.37
S u p p o r t
M a n u a l
SHOW DELAY
ディレイカウントの設定 , ディレイカウント終了時のブレーク動作の設定を表示しま
す。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SHOW DELAY
■ 機能
ディレイカウントの設定 , ディレイカウント終了時のブレーク動作の設定を表示しま
す。
■ 例
>SHOW DELAY
July 31, 2015, CM41-00314-9
169
第 3 章 ブレーク / イベント制御コマンド
3.38 SET TRIGGER
So ft war e
3.38
S up po r t
M a nu al
SET TRIGGER
TRIG 端子の信号入力をブレーク要因にするか否かを指定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET TRIGGER
● コマンド修飾子
/BREAK
TRIG 端子の信号入力をブレーク要因に使用します。
/NOBREAK( 省略時のデフォルト )
TRIG 端子の信号入力をブレーク要因に使用しません。
■ 機能
TRIG 端子の信号入力をブレーク要因にするか否かを指定します。
ブレーク要因に指定すると , TRIG 端子に信号入力があった場合にプログラム実行を中
断します。
■ 例
>SET TRIGGER/BREAK
170
CM41-00314-9, July 31, 2015
第 3 章 ブレーク / イベント制御コマンド
3.39 SHOW TRIGGER
S o ft w a r e
3.39
S u p p o r t
M a n u a l
SHOW TRIGGER
TRIG 端子から信号入力をブレーク要因に使用するか否かの設定状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
×
Monitor
×
■ 形式
SHOW TRIGGER
■ 機能
TRIG 端子から信号入力をブレーク要因に使用するか否かの設定状態を表示します。
■ 例
>SHOW TRIGGER
trigger = No Break
>
>SET TRIGGER/BREAK
>SHOW TRIGGER
trigger = Break
July 31, 2015, CM41-00314-9
171
第 3 章 ブレーク / イベント制御コマンド
3.39 SHOW TRIGGER
So ft war e
172
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第4章
プログラム実行の
解析コマンド
プログラム実行の解析コマンドについて説明しま
す。
4.1 SET MULTITRACE
4.2 SHOW MULTITRACE
4.3 CLEAR MULTITRACE
4.4 ENABLE MULTITRACE
4.5 DISABLE MULTITRACE
4.6 SEARCH MULTITRACE
4.7 SET PERFORMANCE (type 1)
4.8 SET PERFORMANCE (type 2)
4.9 SET PERFORMANCE (type 3)
4.10 SET PERFORMANCE (type 4)
4.11 SHOW PERFORMANCE (type 1)
4.12 SHOW PERFORMANCE (type 2)
4.13 CLEAR PERFORMANCE
4.14 ENABLE PERFORMANCE
4.15 DISABLE PERFORMANCE
4.16 CANCEL PERFORMANCE (type1)
4.17 CANCEL PERFORMANCE (type2)
4.18 SET COVERAGE
4.19 SHOW COVERAGE
4.20 CANCEL COVERAGE
4.21 CLEAR COVERAGE
4.22 ENABLE COVERAGE
4.23 DISABLE COVERAGE
4.24 SET SAMPLING
4.25 SHOW SAMPLING
July 31, 2015, CM41-00314-9
173
第 4 章 プログラム実行の 解析コマンド
So ft war e
S up po r t
M a nu al
4.26 SHOW CALLS
4.27 SHOW TIMER
4.28 CLEAR TIMER
4.29 SET TRACE (type 1)
4.30 SET TRACE (type 2)
4.31 SHOW TRACE (type 1)
4.32 SHOW TRACE (type 2)
4.33 SHOW TRACE (type 3)
4.34 CLEAR TRACE
4.35 ENABLE TRACE
4.36 DISABLE TRACE
4.37 SEARCH TRACE
4.38 SET DATATRACEAREA (type 1)
4.39 SET DATATRACEAREA (type 2)
4.40 SHOW DATATRACEAREA
4.41 CANCEL DATATRACEAREA
4.42 SET TRACETRIGGER
4.43 SHOW TRACETRIGGER
4.44 CANCEL TRACETRIGGER
4.45 SET DELAY
4.46 SHOW DELAY
4.47 SET RAMCHECK
4.48 SHOW RAMCHECK
4.49 CANCEL RAMCHECK
4.50 ENABLE RAMCHECK
4.51 DISABLE RAMCHECK
174
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.1 SET MULTITRACE
S o ft w a r e
4.1
S u p p o r t
M a n u a l
SET MULTITRACE
マルチトレース時 ( イベントモードがマルチトレースモードの場合 ) のトレースバッ
ファフルブレークの制御を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1>
SET MULTITRACE
< 形式 2>
SET MULTITRACE /SIZE ブロックサイズ
● コマンド修飾子
• トレースバッファフルブレークの指定
/BREAK
トレースバッファフルブレークを有効にします。
/NOBREAK ( 省略時のデフォルト )
トレースバッファフルブレークを無効にします。
/STOP (MB2147-01 限定 )
トレースバッファフル時に , プログラム実行を中断しません。この時 , トレース測
定は停止されます。MB2147-01 にて「Trace Enhancement」モード時だけ指定できま
す。
/NOSTOP (MB2147-01 限定 )
/NOBREAK と同様です。MB2147-01 にて「Trace Enhancement」モード時だけ指定
できます。
• ブロックサイズの指定
/SIZE (MB2147-01 限定 )
ト レ ー ス バ ッ フ ァ の ブ ロ ッ ク サ イ ズ を 指 定 し ま す。MB2147-01
に て「Trace
Enhancement」モード時だけ指定できます。
July 31, 2015, CM41-00314-9
175
第 4 章 プログラム実行の 解析コマンド
4.1 SET MULTITRACE
So ft war e
S up po r t
M a nu al
● パラメータ
ブロックサイズ ( デフォルト 10 進数 )
マルチトレースのトレースバッファのブロックサイズを 128/256/512/1024 フレーム
の中から指定します。MB2147-01 にて「Trace Enhancement」モード時だけ指定でき
ます。
■ 機能
マルチトレース時 ( イベントモードがマルチトレースモードの場合 ) のトレースバッ
ファフルブレークの制御を行います。
モード設定時 ( コマンド修飾子指定時 ) には , トレースバッファをクリア (CLEAR
MULTITRACE) し , トレース測定を有効 (ENBALE MULTITRACE) にします。
トレースバッファフルブレークを有効にすると , バッファフル時プログラム実行を中
断します。
デバッガ起動時は , トレースバッファフルブレーク無効 (/NOBREAK) になっていま
す。
本コマンドはイベントモードがマルチトレースモードの場合だけ有効です。
■ 例
>SET MULTITRACE /BREAK
<注意事項>
MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使用
できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参
照してください。
176
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.2 SHOW MULTITRACE
S o ft w a r e
4.2
S u p p o r t
M a n u a l
SHOW MULTITRACE
トレースバッファに格納されているマルチトレースデータを表示します。本コマン
ドはイベントモードがマルチトレースモードの場合だけ使用できます。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1>
SHOW MULTITRACE /STATUS
< 形式 2>
SHOW MULTITRACE /GLOBAL [ フレーム番号 [.. フレーム番号 ]]
< 形式 3>
SHOW MULTITRACE [/LOCAL] [ ブロック番号 [ , フレーム番号 [.. フレーム番号]]]
< 形式 4>
SHOW MULTITRACE /SIZE
< 形式 5>
SHOW MULTITRACE /TIME フレーム番号 .. フレーム番号
● 機能分類コマンド修飾子
/STATUS
トレース測定条件および , トレース機能の有効 / 無効 , トレースバッファの格納状況
を表示します。
/GLOBAL
トレースデータを表示します。指定するフレーム番号をトレースバッファに付けら
れているグローバルなフレーム番号と判断します。
/LOCAL ( デフォルト )
トレースデータを表示します。指定するフレーム番号をトレースバッファに付けら
れているローカルなフレーム番号と判断します。
July 31, 2015, CM41-00314-9
177
第 4 章 プログラム実行の 解析コマンド
4.2 SHOW MULTITRACE
So ft war e
S up po r t
M a nu al
/SIZE (MB2147-01 限定 )
トレースバッファのブロックサイズを表示します。128/256/512/1024 の中から指定
されたものが表示されます。MB2147-01 にてデバッグ機能が「Trace Enhancement」
モード時だけ指定できます。
/TIME (MB2147-01 限定 )
指定したフレーム間の時間測定結果を表示します。
● パラメータ
フレーム番号 ( デフォルト 10 進数 )
表示させたいトレースデータのフレーム番号を符号付き 10 進数で指定します。コマ
ンド修飾子に /GLOBAL を指定するとトレースバッファのグローバル番号となり , /
LOCAL を指定すると 1 ブロック内のローカル番号となります。
ブロック番号 ( デフォルト 10 進数 )
表示させたいトレースデータのブロック番号を指定します (1 ~ 2048)。
● コマンド修飾子
/ONEFRAME
トレースデータを 1 行だけ表示します。
/NEXT
次のブロックから表示します。
■ 機能
トレースバッファに格納されているマルチトレースデータを表示します。本コマンド
はイベントモードがマルチトレースモードの場合だけ使用できます。
サンプリングされたトレースデータには番号が付けられ , 各ブロック内にローカルに
付けられている番号をローカル番号 , トレースバッファ全体に通しで付けられている
番号をグローバル番号とよびます。
ローカル番号は , イベントトリガ発生位置のトレースデータには 0 番が , 実行停止位置
に到着するまでにサンプリングされたトレースデータには負の番号がつけられて表示
されます。グローバル番号は一番古いデータより 1 から順に付けられています。
● トレース測定条件およびトレース機能の有効 / 無効 , トレースバッファの格納状況の表示
(/STATUS 指定時 )
en/dis
=
トレース機能の有効 / 無効
buffer full
=
バッファフルブレークの設定
sampling
=
トレースサンプリング状態を表示
トレースサンプリング中か , 終了しているかを表示します。
この表示はプログラム実行中の状態を見るためのものです。
ブレーク中は必ず end が表示されます。この表示が on のときには
トレースデータの表示はできません。
block no.
=
トレースバッファに格納されているブロック番号情報
frame no.
=
トレースバッファに格納されているフレーム番号 ( グローバル )
最小フレーム番号, 最大フレーム番号は , それぞれ組込み変数の%TRCTOP, %TRCEND
に格納されています。
178
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.2 SHOW MULTITRACE
S o ft w a r e
S u p p o r t
M a n u a l
● トレースデータの表示 (/GLOBAL, /LOCAL)
フレーム番号省略時は , 最も古いトレースデータ , または最後に表示したフレームの次
から表示します。
/LOCAL指定時にブロック番号だけを指定した場合は, そのブロックのデータをすべて
表示します。表示形式は , SHOW TRACE のマシンサイクル表示とほぼ同じで , トレー
スされているすべてのデータを 1 フレームごとに表示します。また , 表示開始時 , およ
びブロック変更箇所でブロック番号を表示します。
● 時間測定結果の表示 (/TIME)
指定したフレーム間の時間測定結果を表示します。
■ 例
>SHOW MULTITRACE /STATUS
<注意事項>
1. MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使
用できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」
を参照してください。
2. MB2147-01 にてトレース実行が終了している場合だけ , 本コマンドはユーザプログラ
ム実行中に使用できます。
July 31, 2015, CM41-00314-9
179
第 4 章 プログラム実行の 解析コマンド
4.3 CLEAR MULTITRACE
So ft war e
4.3
S up po r t
M a nu al
CLEAR MULTITRACE
トレースバッファをクリアします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
CLEAR MULTITRACE
■ 機能
トレースバッファをクリアします。
本コマンドは , イベントモードがマルチトレースの場合に有効です。
■ 例
>CLEAR MULTITRACE
<注意事項>
1. MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使
用できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」
を参照してください。
2. MB2147-01 にてトレース実行が終了している場合だけ , 本コマンドはユーザプログラ
ム実行中に使用できます。
180
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.4 ENABLE MULTITRACE
S o ft w a r e
4.4
S u p p o r t
M a n u a l
ENABLE MULTITRACE
トレース機能を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
ENABLE MULTITRACE
■ 機能
トレース機能を有効にします。
本コマンドはイベントモードがマルチトレースモードの場合に有効です。
■ 例
>ENABLE MULTITRACE
<注意事項>
MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使用
できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参
照してください。
July 31, 2015, CM41-00314-9
181
第 4 章 プログラム実行の 解析コマンド
4.5 DISABLE MULTITRACE
So ft war e
4.5
S up po r t
M a nu al
DISABLE MULTITRACE
トレース機能を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
DISABLE MULTITRACE
■ 機能
トレース機能を無効にします。
本コマンドはイベントモードがマルチトレースモードの場合に有効です。
■ 例
>DISABLE MULTITRACE
<注意事項>
MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使用
できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参
照してください。
182
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.6 SEARCH MULTITRACE
S o ft w a r e
4.6
S u p p o r t
M a n u a l
SEARCH MULTITRACE
指定された条件でトレースデータを検索します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SEARCH MULTITRACE [ アドレス [& マスク ]] [ , d =データ [& マスク ]]
[ , f= 検索開始フレーム番号 ]
● パラメータ
アドレス ( アドレス式 )
検索したいアドレスを指定します。
データ ( データ式 )
検索したいデータを指定します。
マスク ( データ式 )
アドレス , データのマスクビットを指定します。
検索開始フレーム番号 ( デフォルト 10 進数 )
検索開始フレーム番号 ( グローバルなフレーム番号 ) を指定します。
省略した場合はトレースバッファの先頭から検索します。
● コマンド修飾子
• アクセス指定
/CODE
指定したアドレスをコードアクセスしたトレースフレームを検索します。
/READ
指定したアドレスリードアクセスしたトレースフレームを検索します。
/WRITE
指定したアドレスをライトアクセスしたトレースフレームを検索します。
July 31, 2015, CM41-00314-9
183
第 4 章 プログラム実行の 解析コマンド
4.6 SEARCH MULTITRACE
So ft war e
S up po r t
M a nu al
• 動作指定
/ALL ( 省略時のデフォルト )
該当するフレームをすべて検索します。
/ONEFRAME
1 フレーム見つかった時点で終了します。
• データ長指定
/WORD ( 偶数アドレス指定時のデフォルト )
イベント条件データをワード (16 ビット ) として扱うことを指定します。
/BYTE ( 奇数アドレス指定時のデフォルト )
イベント条件データをバイト (8 ビット ) として扱うことを指定します。
■ 機能
指定された条件でトレースデータを検索します。トレースデータが見つかった場合は ,
SHOW MULTITRACE コマンドの表示と同じ形式で表示します。ただし , 逆アセンブル
表示は付加されません。
コマンド修飾子に /ONEFRAME が指定された場合は , 1 フレーム見つかった時点でコ
マンドを終了します。
トレースデータが見つかった場合は , 組込み変数 %FRMNUM にフレーム番号をセット
します。複数見つかった場合は , 最後に見つかった番号をセットします。
■ 例
>SEARCH MULTITRACE
186
<注意事項>
1. MB2147-01 の場合 , デバッグ機能が Trace Enhancement モード時だけ本コマンドは使
用できます。詳細は『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」
を参照してください。
2. MB2147-01 にてトレース実行が終了している場合だけ , 本コマンドはユーザプログラ
ム実行中に使用できます。
184
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.7 SET PERFORMANCE (type 1)
S o ft w a r e
4.7
S u p p o r t
M a n u a l
SET PERFORMANCE (type 1)
パフォーマンス測定用バッファフル時の動作を設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
×
Monitor
■ 形式
SET PERFORMANCE
● コマンド修飾子
• バッファフルブレークの指定
/BREAK ( 省略時のデフォルト )
パフォーマンス測定用のバッファがバッファフルになったときブレークします。
MB2100-01 使用時は指定できません。
/NOBREAK
パフォーマンス測定用のバッファがバッファフルになったときブレークしません。
MB2100-01 使用時は指定できません。
• 測定モードの指定
/TOTAL
測定モードを累積測定にします。
MB2100-01 の場合だけ指定できます。
/FIRST
測定モードを初回測定にします。
MB2100-01 の場合だけ指定できます。
■ 機能
パフォーマンス測定用バッファフル時の動作を設定します。/BREAK を指定すること
により , バッファが一杯になったときブレークさせることができます。パフォーマンス
測定用バッファの最大値は , MB2141 と MB2147-01 で異なり , それぞれ以下のようにな
ります。
MB2141:
32767 回
MB2147-01:
65535 回
このコマンドの設定は , イベントモードがパフォーマンスモードの場合だけ有効です。
July 31, 2015, CM41-00314-9
185
第 4 章 プログラム実行の 解析コマンド
4.7 SET PERFORMANCE (type 1)
So ft war e
S up po r t
M a nu al
パフォーマンスの測定ポイントの設定は , SET EVENT コマンドで行います。パフォー
マンス測定では次のものを測定します。
• 時間測定
2 つのイベント間の時間を測定します。4 区間の測定ができます。開始 , 終了イベン
トは , 次の番号の組合せになります。
区間 1: 開始イベント 1 - 終了イベント 2
区間 2: 開始イベント 3 - 終了イベント 4
区間 3: 開始イベント 5 - 終了イベント 6
区間 4: 開始イベント 7 - 終了イベント 8
• 発生回数カウント
イベントの発生回数をカウントします。
■ 例
>SET PERFORMANCE /BREAK
186
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.8 SET PERFORMANCE (type 2)
S o ft w a r e
4.8
S u p p o r t
M a n u a l
SET PERFORMANCE (type 2)
パフォーマンス測定用トリガを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET PERFORMANCE [/AREA] アドレス範囲 , エリア番号
● パラメータ
アドレス範囲 ( アドレス式 )
パフォーマンス測定条件となるアドレス範囲を指定します。
エリア番号 ( データ式 )
パフォーマンス測定を行うエリア番号 (1, 2) を指定します。
● コマンド修飾子
• パフォーマンス測定領域の指定
/ AREA ( 省略時のデフォルト )
パフォーマンス測定領域を設定します。
• バッファフルブレークの指定
/ BREAK
パフォーマンス測定用のバッファが一杯になったときブレークします。バッファフ
ルブレーク後の再実行時に SOFTUNE Workbench はパフォーマンスデータをクリア
します。
/ NOBREAK
パフォーマンス測定用のバッファが一杯になったときブレークしません。
July 31, 2015, CM41-00314-9
187
第 4 章 プログラム実行の 解析コマンド
4.8 SET PERFORMANCE (type 2)
So ft war e
S up po r t
M a nu al
■ 機能
指定した区間のパフォーマンス測定を行います。本コマンドは , イベントモードがパ
フォーマンスモードの場合だけ有効です。パフォーマンス測定では次のものを測定し
ます。
• 時間測定
2 つのイベント間の時間を測定します。MB2198 エミュレータでは 2 区間の測定が
できます。
• 発生回数カウント
イベントの発生回数をカウントします。
■ 例
>SET PERFORMANCE /AREA 1000..1FFF, 1
188
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.9 SET PERFORMANCE (type 3)
S o ft w a r e
4.9
S u p p o r t
M a n u a l
SET PERFORMANCE (type 3)
パフォーマンス測定用の開始 / 終了トリガを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET PERFORMANCE /AREA 開始イベント番号 , 終了イベント番号
● パラメータ
開始イベント番号
パフォーマンス測定を開始するトリガとして設定するイベント番号を指定します。
終了イベント番号
パフォーマンス測定を終了するトリガとして設定するイベント番号を指定します。
● コマンド修飾子
/AREA
パフォーマンス測定領域を設定します。
■ 機能
パフォーマンス測定用の開始 / 終了トリガを設定します。
本コマンドを使用する前にあらかじめ SET EVENT コマンドでイベントを設定してく
ださい。
イベント番号指定は組み込み関数 %PFMEVENTNUM を用いてアドレスからも参照で
きます。
■ 例
>SET PERFORMANCE /AREA 1, 2
<注意事項>
• SET MODE コマンドにより設定した実行時間モードが時間測定モードの場合 , 本コマ
ンドは使用できません。
• 開始イベント番号と終了イベント番号には , 同じイベント番号は指定できません。
July 31, 2015, CM41-00314-9
189
第 4 章 プログラム実行の 解析コマンド
4.10 SET PERFORMANCE (type 4)
So ft war e
4.10
S up po r t
M a nu al
SET PERFORMANCE (type 4)
パフォーマンスの再測定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
SET PERFORMANCE /RESTART
● コマンド修飾子
/RESTART
パフォーマンスの再測定を行います。
■ 機能
パフォーマンスの再測定を行います。
再測定は次の手順で行われます。
1. パフォーマンス測定の中断
2. パフォーマンスバッファのクリア
3. パフォーマンス測定の開始
■ 例
>SET PERFORMANCE /RESTART
<注意事項>
本コマンドはユーザプログラム停止中には使用できません。
190
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.11 SHOW PERFORMANCE (type 1)
S o ft w a r e
4.11
S u p p o r t
M a n u a l
SHOW PERFORMANCE (type 1)
パフォーマンスの設定状態と測定結果を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
< 形式 1 >
SHOW PERFORMANCE /STATUS
< 形式 2 >
SHOW PERFORMANCE /COUNT[ イベント番号 ]
< 形式 3 >
SHOW PERFORMANCE /TIME イベント番号 [ , 下限 , 上限 , 表示間隔 ]
< 形式 4>
SHOW PERFORMANCE/TIME
● 機能分類コマンド修飾子
/STATUS
エミュレータデバッガ(MB2141), エミュレータデバッガ(MB2147-01), エミュレータ
デバッガ (MB2198) のどれかの場合 , バッファフル時の動作設定を表示します。
エミュレータデバッガ (MB2100-01) の場合 , パフォーマンス測定モード , 測定エリ
アの設定状態を表示します。
/COUNT
イベント発生回数の測定結果を表示します。
エミュレータデバッガ (MB2100-01) の場合 , 指定できません。
/TIME ( 省略時のデフォルト )
パフォーマンス測定結果を表示します。
July 31, 2015, CM41-00314-9
191
第 4 章 プログラム実行の 解析コマンド
4.11 SHOW PERFORMANCE (type 1)
So ft war e
S up po r t
M a nu al
● パラメータ
イベント番号
設定内容を表示させたいイベント番号を指定します (1 ~ 8) 。
時間測定表示の場合は , 測定区間の開始 , 終了イベントのどちらの番号を指定して
も動作は同じです。
省略した場合はすべてのイベントを表示します。エミュレータデバッガ (MB210001) では指定できません。
下限 ( デフォルト 10 進数 )
測定時間をグラフ表示する際の下限値を指定します。単位はデバッガごとに以下の
とおり異なります。
- エミュレータデバッガ (MB2141)
: SET TIMERSCALE で設定したタイマの最
小測定時間が 1s のときは 1s, 100ns のと
きは 100ns になります。
- エミュレータデバッガ (MB2147-01) : 100ns 固定になります。
- エミュレータデバッガ (MB2198)
: 100ns 固定になります。
エミュレータデバッガ (MB2100-01) では指定できません。
上限 ( デフォルト 10 進数 )
測定時間をグラフ表示する際の上限値を指定します。単位はデバッガごとに以下の
とおり異なります。
- エミュレータデバッガ (MB2141)
: SET TIMERSCALE で設定したタイマの最
小測定時間が 1s のときは 1s, 100ns のと
きは 100ns になります。
- エミュレータデバッガ (MB2147-01) : 100ns 固定になります。
- エミュレータデバッガ (MB2198)
: 100ns 固定になります。
エミュレータデバッガ (MB2100-01) では指定できません。
表示間隔 ( デフォルト 10 進数 )
測定時間をグラフ表示する際の間隔を指定します。単位はデバッガごとに以下のと
おり異なります。
- エミュレータデバッガ (MB2141)
: SET TIMERSCALE で設定したタイマの最
小測定時間が 1s のときは 1s, 100ns のと
きは 100ns になります。
- エミュレータデバッガ (MB2147-01) : 100ns 固定になります。
- エミュレータデバッガ (MB2198)
: 100ns 固定になります。
エミュレータデバッガ (MB2100-01) では指定できません。
■ 機能
● /STATUS 指定時
【エミュレータデバッガ (MB2141), エミュレータデバッガ (MB2147-01), エミュレータ
デバッガ (MB2198) のどれかの場合】
パフォーマンス測定用バッファがバッファフルになった場合の動作の設定状態を
表示します。
192
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.11 SHOW PERFORMANCE (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
【エミュレータデバッガ (MB2100-01) の場合】
設定されているパフォーマンスの測定モード , 測定エリアを表示します。
● /COUNT 指定時
イベントの発生回数を表示します。
● /TIME 時提示
【エミュレータデバッガ (MB2141), エミュレータデバッガ (MB2147-01), エミュレータ
デバッガ (MB2198) のどれかの場合】
測定結果を集計して表示します。表示の上限時間 , 下限時間 , 間隔を指定できます。
エミュレータデバッガ(MB2141)の場合は, 指定する時間の単位はSET TIMERSCALE
の設定に従います。
【エミュレータデバッガ (MB2100-01) の場合】
パフォーマンスの測定結果を表示します。
測定中は測定回数だけ更新されます。
測定終了後は累積 / 測定回数ともに更新されます。
■ 例
【エミュレータデバッガ (MB2141), エミュレータデバッガ (MB2147-01), エミュレータデバッ
ガ (MB2198) のどれかの場合】
>SHOW PERFORMANCE /COUNT
【エミュレータデバッガ (MB2100-01) の場合】
/STATUS を使用した場合
< 累積測定 >
>SHOW PERFORMANCE /STATUS
measurement mode : total
measurement area : 1 -> 2
< 初回測定 >
>SHOW PERFORMANCE /STATUS
measurement mode : first
measurement area : 1 -> 2
/TIME を使用した場合
>SHOW PERFORMACE /TIME
Total Cycle : 5158
Pass Count : 243
Average : 21
July 31, 2015, CM41-00314-9
193
第 4 章 プログラム実行の 解析コマンド
4.11 SHOW PERFORMANCE (type 1)
So ft war e
S up po r t
M a nu al
<注意事項>
【エミュレータデバッガ (MB2141), エミュレータデバッガ (MB2147-01), エミュレータデ
バッガ (MB2198) のどれかの場合】
• 上限 , 下限 , 表示間隔を省略した場合は , 測定結果を基に最適な表示間隔を算出し表示
します。
【エミュレータデバッガ (MB2100-01) の場合】
• 実行時間モードが時間測定モードの場合 , 本コマンドは使用できません。
詳細は「1.8 SET MODE (type 1)」を参照してください。
• コマンド修飾子 /TIME を指定した場合 , 以下の場合に測定サイクル数に通常 10 サイク
ル程度の誤差が生じます。
- パフォーマンスのタイマが動作中にユーザプログラム実行の中断または再実行をお
こなった場合
詳細は『SOFTUNE Workbench 機能説明書』の「2.6.7.2 2 点間の実行サイクル数を
測定するには[パフォーマンス測定]」を参照してください。
• 以下のいずれかの場合 , 正しい測定結果が得られていないことを示します。
- 測定開始イベントと測定終了イベントが同時に発生した場合
例)Total Cycle : 5158(Abort)
- 測定中にプログラム実行が停止した場合
例)Total Cycle : 5158(Including invalid data)
194
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.12 SHOW PERFORMANCE (type 2)
S o ft w a r e
4.12
S u p p o r t
M a n u a l
SHOW PERFORMANCE (type 2)
設定中のパフォーマンス測定領域を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
×
×
Monitor
■ 形式
SHOW PERFORMANCE /AREA [ エリア番号 ]
● 機能分類コマンド修飾子
/AREA
設定中のパフォーマンス測定領域を表示します。
● パラメータ
エリア番号
設定内容を表示したいエリア番号を指定します (1, 2) 。
省略時は現在設定中のすべてのパフォーマンス測定領域を表示します。
■ 機能
設定中のパフォーマンス測定領域を表示します。
■ 例
>SHOW PERFORMANCE /AREA 1
area
1
July 31, 2015, CM41-00314-9
address
000100 ..
en/dis
000200 enable
195
第 4 章 プログラム実行の 解析コマンド
4.13 CLEAR PERFORMANCE
So ft war e
4.13
S up po r t
M a nu al
CLEAR PERFORMANCE
パフォーマンス測定値をクリアします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
◎
Monitor
×
■ 形式
CLEAR PERFORMANCE
■ 機能
パフォーマンス測定値をクリアします。
■ 例
>CLEAR PERFORMANCE
<注意事項>
【MB2100-01 の場合】
• 実行時間モードが時間測定モードの場合 , 本コマンドは使用できません。
詳細は「1.8 SET MODE (type 1)」を参照してください。
• ユーザプログラム実行中は測定終了時だけ指定できます。
196
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.14 ENABLE PERFORMANCE
S o ft w a r e
4.14
S u p p o r t
M a n u a l
ENABLE PERFORMANCE
設定中のパフォーマンス測定領域を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
Monitor
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
■ 形式
ENABLE PERFORMANCE [ エリア番号 ]
● パラメータ
エリア番号
設定内容を有効にしたいエリア番号を指定します (1, 2) 。
省略時は現在設定中のすべてのパフォーマンス測定領域を有効にします。
■ 機能
設定中のパフォーマンス測定領域を有効にします。
■ 例
>ENABLE PERFORMANCE 1
July 31, 2015, CM41-00314-9
197
第 4 章 プログラム実行の 解析コマンド
4.15 DISABLE PERFORMANCE
So ft war e
4.15
S up po r t
M a nu al
DISABLE PERFORMANCE
設定中のパフォーマンス測定領域を一時的に無効にします。
■ 対応デバッガ
×
Simulator
Emulator
Monitor
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
■ 形式
DISABLE PERFORMANCE [ エリア番号 ]
● パラメータ
エリア番号
設定内容を無効にしたいエリア番号を指定します (1, 2) 。
省略時は現在設定中のすべてのパフォーマンス測定領域を無効にします。
■ 機能
設定中のパフォーマンス測定領域を一時的に無効にします。
■ 例
>DISABLE PERFORMANCE 1
198
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.16 CANCEL PERFORMANCE (type1)
S o ft w a r e
4.16
S u p p o r t
M a n u a l
CANCEL PERFORMANCE (type1)
設定中のパフォーマンス測定領域を削除します。
■ 対応デバッガ
×
Simulator
Emulator
Monitor
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
■ 形式
CANCEL PERFORMANCE [ エリア番号 ]
● パラメータ
エリア番号
設定内容を削除したいエリア番号を指定します (1, 2) 。
省略時は現在設定中のすべてのパフォーマンス測定領域を削除します。
■ 機能
設定中のパフォーマンス測定領域を削除します。
■ 例
>CANCEL PERFORMANCE 1
July 31, 2015, CM41-00314-9
199
第 4 章 プログラム実行の 解析コマンド
4.17 CANCEL PERFORMANCE (type2)
So ft war e
4.17
S up po r t
M a nu al
CANCEL PERFORMANCE (type2)
SET PERFORMANCE コマンドで設定したパフォーマンス測定用の開始 / 終了トリ
ガをすべて解除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
◎
Monitor
×
■ 形式
CANCEL PERFORMANCE
■ 機能
SET PERFORMANCE コマンドで設定したパフォーマンス測定用の開始 / 終了トリガを
すべて解除します。本コマンドを実行した場合 , 測定結果はクリアされます。
■ 例
>CANCEL PERFORMANCE
<注意事項>
開始 / 終了トリガとして設定していたイベントの設定は残ります。
200
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.18 SET COVERAGE
S o ft w a r e
4.18
S u p p o r t
M a n u a l
SET COVERAGE
カバレッジ測定を行う領域を指定します。最大 32 領域まで設定できます。
■ 対応デバッガ
○*
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
* : 高速版シミュレータデバッガの場合だけ使用できます。
■ 形式
SET COVERAGE[ 測定範囲 ]
● パラメータ
測定範囲 ( アドレス式 )
カバレッジ領域を指定します。コマンド修飾子に /AUTOMATIC を指定した場合は
指定できません。
● コマンド修飾子
/AUTOMATIC( 省略時のデフォルト )
現在ロードされているモジュールのコード領域が自動的に設定されます。ただし , C
コンパイラのライブラリ領域は設定されません。
/CODE(MB2141 限定 )
コードのアクセス情報を測定します。
/READ(MB2141 限定 )
リードアクセス情報を測定します。
/WRITE(MB2141 限定 )
ライトアクセス情報を測定します。
■ 機能
カバレッジ測定を行う領域を指定します。最大 32 領域まで設定できます。
【シミュレータデバッガの場合】
コードアクセス , データアクセスにかかわらずカバレッジ測定を行いますので測定
属性は指定できません。
July 31, 2015, CM41-00314-9
201
第 4 章 プログラム実行の 解析コマンド
4.18 SET COVERAGE
So ft war e
S up po r t
M a nu al
【エミュレータデバッガ (MB2141) の場合】
領域設定は , デバッグ領域として設定されている領域内に設定できます。測定範囲
と測定属性は同時に指定できません。
【エミュレータデバッガ (MB2147-01) の場合】
領域設定は , デバッグ領域として設定されている領域内に設定できます。コードア
クセス , データアクセスにかかわらずカバレッジ測定をするため , 測定属性を指定
できません。
■ 例
>SET COVERAGE /CODE
>SET COVERAGE FE0000..FFFFFF
<注意事項>
MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。詳
細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムメモリ」を参照してく
ださい。
202
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.19 SHOW COVERAGE
S o ft w a r e
4.19
S u p p o r t
M a n u a l
SHOW COVERAGE
指定された測定範囲のカバレッジ測定結果を表示します。
■ 対応デバッガ
◎*
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
* : 高速版シミュレータデバッガの場合だけ使用できます。
■ 形式
< 形式 1>
SHOW COVERAGE [/STATUS]
< 形式 2>
SHOW COVERAGE [/GENERAL] [ 測定範囲 ]
< 形式 3>
SHOW COVERAGE {/TOTAL | /DETAIL} [ 測定範囲 ]
< 形式 4>
SHOW COVERAGE /SOURCE
[{ [ ファイル名 ] 行番号 [.. 行番号 ] | { アドレス|アドレス範囲 }}]
< 形式 5>
SHOW COVERAGE /INSTRUCTION [{ アドレス|アドレス範囲 }]
< 形式 6>
SHOW COVERAGE /MODULE [{ソースファイル名|カバレッジ範囲} [ ,カラム数]]
● パラメータ
測定範囲 ( アドレス式 )
カバレッジ領域を指定します。
省略した場合は最初の領域から順に表示します。
ファイル名
カバレッジ測定結果を表示したいソースファイル名を指定します。
省略した場合は前回指定されたファイル名となります。
行番号
カバレッジ測定結果を表示したいソースの行番号を指定します。
July 31, 2015, CM41-00314-9
203
第 4 章 プログラム実行の 解析コマンド
4.19 SHOW COVERAGE
So ft war e
S up po r t
M a nu al
行番号の先頭には , 必ず $ を付けてください。
行番号を " .. " で区切った場合は指定範囲のソースを表示します。
終了行番号を指定しなかった場合は , 19 行分表示します。
アドレス ( アドレス式 )
コード属性のメモリ位置を指定します。
メモリ位置に対応するカバレッジ測定結果を表示させたい場合に指定します。
関数を指定した場合は , 関数のアドレス範囲のカバレッジ測定結果を表示します。
関数以外を指定した場合は , 19 行分表示します。
アドレス範囲 ( アドレス式 )
コード属性のメモリ領域の範囲を指定します。
メモリ位置に対応するカバレッジ測定結果を表示させたい場合に指定します。
ソースファイル名
カバレッジ率を表示したいソースファイル名を指定します。
省略した場合はロードモジュール全体のカバレッジ率を表示します。
カバレッジ率範囲
表示対象とするカバレッジ率の範囲を指定します。
省略された場合はすべて表示します。
カラム数
カバレッジ率のカラム位置 ( 行頭からの文字数 ) を指定します。
省略された場合のカラム数は 40 です。
● コマンド修飾子
/STATUS
カバレッジ機能の有効 / 無効 , カバレッジ測定領域を表示します。
/TOTAL
指定された測定範囲全体のカバレッジ率を表示します。
/GENERAL ( 省略時のデフォルト )
16 アドレス単位の測定結果を表示します。
/DETAIL
1 アドレス単位の測定結果を表示します。
/SOURCE
ソース行単位の測定結果を表示します。
/INSTRUCTION
機械命令単位の測定結果を表示します。
SET SOURCE コマンドの設定がソース行を付加するモードになっていれば , メモリ
位置がソース行に対応している場合は , そのソース行も表示します。
/MODULE
ロードモジュールのカバレッジ率を表示します。
204
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.19 SHOW COVERAGE
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
指定された測定範囲のカバレッジ測定結果を表示します。
/GENERAL を指定した場合 , アクセス回数は次のように表示します。
.
: アクセスなし
1~F
: 16 アドレス中 , アクセスされたアドレスの数
*
: 16 アドレスともアクセスされた場合
/DETAIL を指定した場合 , アクセス回数は次のように表示します。
.
: アクセスなし
-
: アクセスあり
/SOURCE, /INSTRUCTION を指定した場合 , アクセス回数は次のように表示します。
.
: アクセスなし
*
: アクセスあり
空白
: コードが生成されないまたは測定範囲外の行
/MODULE を指定した場合 , 全領域がカバレッジ測定範囲外のカバレッジ率は "(--%)"
と表示します。領域の一部がカバレッジ測定範囲外の場合 , カバレッジ率の後ろにアス
タリスク "*" を付けて表示します。
■ 例
>SHOW COVERAGE
(HEX)
0X0
+1X0
+2X0
+---------------+---------------+------
------
address
0123456789ABCDEF0123456789ABCDEF0123456
... ABCDEF
FF0000
**3*F*.......
C0(%)
32.0
>SHOW COVERAGE/SOURCE
* 70: {
71:
int
72:
struct table *value[16];
i;
73:
* 74:
for (i=0; i<16; i++)
* 75:
value[i] = &target[i];
76:
* 77:
sort_val(value, 16L);
. 78: }
>SHOW COVERAGE/MODULE
sample.abs
. . . . . . . . . . . . . . (84.03%)
+- startup.asm . . . . . . . . . . . . (90.43%)
+- sample.c
+- samp.c
. . . . . . . . . . . . . (95.17%)
. . . . . . . . . . . . . . (100.00%)
<注意事項>
1. MB2141 では , プリフェッチの影響を受けてコードカバレッジ測定が行なわれます。解
析時には注意してください。
2. MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。
詳細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムモニタ」を参照し
てください。
July 31, 2015, CM41-00314-9
205
第 4 章 プログラム実行の 解析コマンド
4.20 CANCEL COVERAGE
So ft war e
4.20
S up po r t
M a nu al
CANCEL COVERAGE
カバレッジ測定領域を解除し , カバレッジ測定機能を無効にします。
■ 対応デバッガ
○*
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
* : 高速版シミュレータデバッガの場合だけ使用できます。
■ 形式
CANCEL COVERAGE[ 測定範囲 ]
● パラメータ
測定範囲 ( アドレス式 )
削除する測定範囲を指定します。
● コマンド修飾子
/ALL
すべての測定範囲を削除します。
■ 機能
カバレッジ測定領域を解除し , カバレッジ測定機能を無効にします。
■ 例
>CANCEL COVERAGE /ALL
<注意事項>
MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。詳
細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムメモリ」を参照してく
ださい。
206
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.21 CLEAR COVERAGE
S o ft w a r e
4.21
S u p p o r t
M a n u a l
CLEAR COVERAGE
カバレッジバッファをクリアします。
■ 対応デバッガ
○*
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
* : 高速版シミュレータデバッガの場合だけ使用できます。
■ 形式
CLEAR COVERAGE
■ 機能
カバレッジバッファをクリアします。
■ 例
>CLEAR COVERAGE
<注意事項>
MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。詳
細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムメモリ」を参照してく
ださい。
July 31, 2015, CM41-00314-9
207
第 4 章 プログラム実行の 解析コマンド
4.22 ENABLE COVERAGE
So ft war e
4.22
S up po r t
M a nu al
ENABLE COVERAGE
カバレッジ測定機能を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
ENABLE COVERAGE
■ 機能
カバレッジ測定機能を有効にします。
■ 例
>ENABLE COVERAGE
<注意事項>
MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。詳
細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムメモリ」を参照してく
ださい。
208
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.23 DISABLE COVERAGE
S o ft w a r e
4.23
S u p p o r t
M a n u a l
DISABLE COVERAGE
カバレッジ測定機能を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
DISABLE COVERAGE
■ 機能
カバレッジ測定機能を無効にします。
■ 例
>DISABLE COVERAGE
<注意事項>
MB2147-01 にてカバレッジ機能が有効の場合 , 0 バンクのモニタリングは行えません。詳
細は『SOFTUNE Workbench 機能説明書』の「2.3.9 リアルタイムメモリ」を参照してく
ださい。
July 31, 2015, CM41-00314-9
209
第 4 章 プログラム実行の 解析コマンド
4.24 SET SAMPLING
So ft war e
4.24
S up po r t
M a nu al
SET SAMPLING
外部プローブによるデータのサンプリングタイミングを設定します。
■ 対応デバッガ
×
Simulator
Emulator
Monitor
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
■ 形式
SET SAMPLING
● コマンド修飾子
/INTERNAL( 起動時のデフォルト )
マシンクロックの立上りでデータをサンプリングします。
/RISING
外部クロック入力の立上りでデータをサンプリングします。
/FALLING
外部クロック入力の立下りでデータをサンプリングします。
■ 機能
外部プローブによるデータのサンプリングタイミングを設定します。起動時は
/INTERNAL に設定されています。
■ 例
>SET SAMPLING /INTERNAL
210
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.25 SHOW SAMPLING
S o ft w a r e
4.25
S u p p o r t
M a n u a l
SHOW SAMPLING
外部プローブのチャネルの状態とデータのサンプリングタイミングを表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SHOW SAMPLING
■ 機能
外部プローブのチャネルの状態とデータのサンプリングタイミングを表示します。
■ 例
>SHOW SAMPLING
July 31, 2015, CM41-00314-9
211
第 4 章 プログラム実行の 解析コマンド
4.26 SHOW CALLS
So ft war e
4.26
S up po r t
M a nu al
SHOW CALLS
現在の関数までの関数呼出し履歴を表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SHOW CALLS[ コールフレーム数 ]
● パラメータ
コールフレーム数 ( デフォルト 10 進数 )
情報が必要なコールフレーム数 (D'1 ~ D'256) を指定します。
■ 機能
現在の関数までの関数呼出し履歴を表示します。
パラメータが省略された場合は , 最大 256 フレーム表示します。
表示する関数に引数がある場合は , 16 進数でその値を表示します。
C 言語のデバッグ情報がない場合は , 関数名の代わりに関数のアドレスを表示します。
SHOW CALLS コマンドは, C 言語が関数を呼び出す際のスタック形式に従って ,スタッ
クに積まれたデータを解析することにより表示しています。
以下のようなプログラムでは正確に表示できませんのでご注意ください。
• アセンブラで書かれたプログラムには使用できません。
• 最適化したプログラムでは , 正常に表示できない場合があります。
• デバッグ情報付きでコンパイルされていない場合 , 関数名の代わりにアドレスを表
示しますが , 関数の先頭でブレークしている場合 , 正常に表示できません。
■ 例
>SHOW CALLS
checker (12, 8)
main (3, 4)
212
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.27 SHOW TIMER
S o ft w a r e
4.27
S u p p o r t
M a n u a l
SHOW TIMER
実行したプログラムの命令実行サイクル数 , ステップ数 , 時間を 10 進数で表示しま
す。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
SHOW TIMER
■ 機能
実行したプログラムの命令実行サイクル数 , ステップ数 , 時間を 10 進数で表示します。
表示される数値は RESET コマンド実行後からのものと , 直前に GO, STEP, CALL コマ
ンドにより実行を開始した時点からのものです。表示内容はデバッガごとに異なりま
す。詳細は『SOFTUNE Workbench 機能説明書』の各節を参照してください。
シミュレータデバッガ
:「2.1.10 実行サイクル数測定」
エミュレータデバッガ (MB2141)
:「2.2.11 実行時間測定」
エミュレータデバッガ (MB2147-01) :「2.3.10 実行時間測定」
エミュレータデバッガ (MB2147-05) :「2.4.6 実行サイクル数測定」
エミュレータデバッガ (MB2198)
:「2.5.8 実行時間測定」
エミュレータデバッガ (MB2100-01) :「2.6.7 プログラムの実行サイクル数を測定する
には」
July 31, 2015, CM41-00314-9
213
第 4 章 プログラム実行の 解析コマンド
4.27 SHOW TIMER
So ft war e
S up po r t
M a nu al
■ 例
【MB2141 の場合】
>SHOW TIMER
<timer>
From reset:
12345678
From Last Executed:
987654
<step>
From reset:
6010712
From Last Executed:
501142
【MB2147-01 の場合】
>SHOW TIMER
<cycle> From
From
<timer> From
From
initialize:
Last Executed:
initialize:
Last Executed:
4210826410[Cycle]
362387415[Cycle]
0h00m42s108ms264us250ns[Time]
0h00m03s623ms874us375ns[Time]
Initialize:
Last Executed:
4210826410[Cycle]
362387415[Cycle]
Initialize:
Last Executed:
0h00m42s108ms264us250ns[Time@1MHz]
0h00m03s623ms874us375ns[Time@1MHz]
【MB2100-01 の場合】
>SHOW TIMER
<cycle> From
From
<timer @1MHz>
From
From
<注意事項>
命令実行サイクル数には誤差が発生します。詳細は『SOFTUNE Workbench 機能説明書』
の「エミュレーションタイマによる実行時間測定」を参照してください。
【MB2100-01 の場合】
• 測定サイクル数は通常 10 サイクル程度の誤差ですが , バス状態によりそれ以上になる
ことがあります。
• 実行時間モードが時間測定モードの場合 , 本コマンドは使用できません。
詳細は「1.8 SET MODE (type 1)」を参照してください。
【エミュレータデバッガの場合】
一度の実行で誤差が数十サイクル発生してしまいます。誤差が無視できる程小さくなるよ
う , 多くのインストラクションを一度に実行することをお勧めします。
【シミュレータデバッガの場合】
パイプラインなどの実行時間をシミュレートしていません。
214
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.28 CLEAR TIMER
S o ft w a r e
4.28
S u p p o r t
M a n u a l
CLEAR TIMER
タイマによる計測実行結果を初期化します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
○
■ 形式
CLEAR TIMER
■ 機能
実行したプログラムの命令実行サイクル数 , ステップ数 , 時間の測定結果を初期化しま
す。
■ 例
>CLEAR TIMER
<注意事項>
【MB2100-01 の場合】
• 実行時間モードが時間測定モードの場合 , 本コマンドは使用できません。
詳細は「1.8 SET MODE (type 1)」を参照してください。
July 31, 2015, CM41-00314-9
215
第 4 章 プログラム実行の 解析コマンド
4.29 SET TRACE (type 1)
So ft war e
4.29
S up po r t
M a nu al
SET TRACE (type 1)
トレースバッファフルブレークの設定を行います。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET TRACE
● コマンド修飾子
/BREAK
トレースバッファフルブレークを有効にします。
/NOBREAK( 起動時デフォルト )
トレースバッファフルブレークを無効にします。
■ 機能
トレースバッファフルブレークを有効にすると,バッファフル時にプログラム実行を中
断します。
■ 例
>SET TRACE/BREAK
216
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.30 SET TRACE (type 2)
S o ft w a r e
4.30
S u p p o r t
M a n u a l
SET TRACE (type 2)
トレースバッファフルブレークの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET TRACE
● コマンド修飾子
• トレースバッファフルブレークの指定
/BREAK
トレースバッファフル時に , プログラム実行を中断します。
/NOBREAK ( 起動時デフォルト )
トレースバッファフル時に , プログラム実行を中断しません。
この時 , トレース測定は継続されます。
• トレースバッファフル時のトレース取得の指定
/STOP
トレースバッファフル時に , プログラム実行を中断しません。
この時 , トレース測定は停止されます。
/NOSTOP
トレースバッファフル時にプログラム実行を中断しません。
この時 , トレース測定は継続されます。
• トレースサンプリングモードの指定
/FULL ( 起動時デフォルト )
プログラム実行開始から停止までのトレース測定します。
MB2147-05 の場合は指定できません。
/TRIGGER
コードイベント , データイベントおよびトレーストリガをトレース制御の要因に使
用します。
July 31, 2015, CM41-00314-9
217
第 4 章 プログラム実行の 解析コマンド
4.30 SET TRACE (type 2)
So ft war e
S up po r t
M a nu al
MB2147-05 の場合は指定できません。
■ 機能
トレースバッファフルブレークを有効にすると , バッファフル時に , プログラム実行を
中断します。
• フルモード
プログラム実行開始から停止までのトレース測定します。コードイベントおよび
データイベントは , プログラムの実行停止要因に使われます。
• トリガモード
プログラム実行開始時にはトレース測定を開始せず , コードイベントまたはデータ
イベントから停止までをトレース測定します。
コードイベントとデータイベントのトレースサンプリングモードは , 個別に指定で
きません。
MB2147-01/MB2198では, イベントではなくトレーストリガをトレース制御の要因に使
用します。
■ 例
>SET TRACE/TRIGGER /BREAK
218
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.31 SHOW TRACE (type 1)
S o ft w a r e
4.31
S u p p o r t
M a n u a l
SHOW TRACE (type 1)
トレースバッファに格納されているトレースデータを表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
< 形式 1>
SHOW TRACE [/DATA] [ トレース番号 [.. トレース番号 ]]
< 形式 2>
SHOW TRACE /TIME フレーム番号 .. フレーム番号
● 機能分類コマンド修飾子
/DATA ( 省略時のデフォルト )
トレースしたデータを表示します。
● パラメータ
トレース番号 ( デフォルト 10 進数 )
表示させたいトレースデータの番号を 10 進数で指定します。
省略時は最も古いトレースデータまたは前回最後に表示したトレース番号の次の
トレースデータから表示します。
表示開始トレース番号だけを指定した場合は, 表示開始トレース番号から12 個分表
示します。
表示開始トレース番号が最も古いトレースデータのトレース番号より小さい場合
は , 最も古いトレースデータから表示します。
● コマンド修飾子
• トレース取得データの指定
/CYCLE
トレースデータを解析せずにそのまま表示します。
MB2198 または MB2100-01 では指定できません。
July 31, 2015, CM41-00314-9
219
第 4 章 プログラム実行の 解析コマンド
4.31 SHOW TRACE (type 1)
So ft war e
S up po r t
M a nu al
/RAWDATA
出力されるトレースデータを解析せずに表示します。
MB2198 または MB2100-01 の場合だけ指定できます。
/INSTRUCTION
トレースデータを逆アセンブル形式で表示します。
/SOURCE
トレースデータをソース行形式で表示します。
• トレース取得サイズの指定
/ONEFRAME
トレースデータを 1 行だけ表示します。
/NEXT
シーケンサの次のレベルがトレースされているフレームから表示します。MB2141
の場合だけ指定できます。
/TIME
指定したフレーム間の時間測定結果を表示します。MB2147-01 の場合だけ指定でき
ます。
■ 機能
トレースバッファに格納されているトレースデータを表示します。
サンプリングされたトレースデータには番号が付けられ , 実行停止位置 ( トリガポイン
ト ) のトレースデータには 0 番が , 実行停止位置に到達するまでにサンプリングされた
トレースデータには負の番号が付けられて表示されます。この番号をフレーム番号と
よびます。
MB2147-01 では , タイムスタンプを表示します。
またデバッグ機能が Trace Enhancement モードの場合 , 指定フレーム間の時間測定結果
を表示します。
■ 例
> SHOW TRACE/SOURCE -65
220
frame no.
source
-00065 :
demo3.c$489
if (sy->str[0] == ab1)
-00059 :
demo3.c$491
}
-00055 :
demo3.c$487
for ( i = 0 ; i < 12 ; i++ ) {
-00052 :
demo3.c$492
ackdat += 5;
-00047 :
demo3.c$493
nckdat = ackdat;
-00043 :
demo3.c$494
return (ab1);
-00042 :
demo3.c$495
}
-00038 :
demo3.c$464
if (rc != 0)
-00035 :
demo3.c$465
-00031 :
demo3.c$467
}
-00027 :
demo3.c$460
for ( i = 0 ; i < NUM ; i++) {
-00024 :
demo3.c$468
return (0);
sy->dat1 = 0x21;
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.31 SHOW TRACE (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
【MB2147-01 の場合】
トレース実行が終了している場合だけ , 本コマンドはユーザプログラム実行中にも使用で
きます。
【MB2100-01 の場合】
トレース取得が終了している場合に限り , 本コマンドはユーザプログラム実行中にも使用
できます。
July 31, 2015, CM41-00314-9
221
第 4 章 プログラム実行の 解析コマンド
4.32 SHOW TRACE (type 2)
So ft war e
4.32
S up po r t
M a nu al
SHOW TRACE (type 2)
トレース状態を表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
×
Monitor
■ 形式
SHOW TRACE /STATUS
● 機能分類コマンド修飾子
/STATUS
トレース測定条件およびトレース機能の有効 / 無効 , トレースバッファの格納状況
を表示します。
■ 機能
トレース状態を表示します。トレース測定条件およびトレース機能の有効 / 無効 , ト
レースバッファの格納状況を表示します。
■ 例
【シミュレータデバッガの場合】
>SHOW TRACE/STATUS
en/dis
=
enable
buffer full
=
nobreak
sampling
=
end
frame no.
=
-00120 to 00000
【MB2147-01 または MB2147-05 の場合】
>SHOW TRACE/STATUS
222
en/dis
=
enable
buffer full
=
nobreak
sampling
=
end
code
=
enable
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.32 SHOW TRACE (type 2)
S o ft w a r e
S u p p o r t
M a n u a l
verbose
=
disable
frame no.
=
-00120 to 00000
【MB2198 の場合】
>SHOW TRACE/STATUS
en/dis
=
enable
buffer full
=
no break/trace no stop
code
=
enable
loop compress
=
disable
trace start
=
trace trigger
frame no.
=
-00120 to 00000
【MB2100-01 の場合】
>SHOW TRACE/STATUS
frame no.
=
-00120 to 00000
<注意事項>
【MB2147-01 または MB2100-01 の場合】
トレース実行(取得)が終了している場合だけ , 本コマンドはユーザプログラム実行中に
も使用できます。
July 31, 2015, CM41-00314-9
223
第 4 章 プログラム実行の 解析コマンド
4.33 SHOW TRACE (type 3)
So ft war e
4.33
S up po r t
M a nu al
SHOW TRACE (type 3)
トレースバッファに格納されているトレースデータをファイルに保存します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SHOW TRACE /FILE ファイル名
● パラメータ
ファイル名
トレースデータを保存するファイル名を指定します。
● 機能分類コマンド修飾子
• 保存方法の指定
/FILE
トレースデータをファイルに保存します。
● コマンド修飾子
• 保存形式の指定
/APPEND
トレースデータをファイルに追加保存します。/FILE を指定した場合だけ有効です。
/SOFTUNE
トレースデータの保存形式をSOFTUNEトレース形式 (拡張子は.stb) に指定します。
/FILE を指定した場合だけ有効です。ただし同時に /APPEND の指定はできません。
MB2147-01, MB2147-05, MB2198 の場合だけ指定できます。
• 保存データの指定
/CYCLE (MCU 動作モードがネイティブモードの場合の省略時のデフォルト )
トレースデータを有効バスサイクルで保存します。
/RAWDATA
トレースデータを解析せずに保存します。
MB2198 の場合だけ指定できます。
224
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.33 SHOW TRACE (type 3)
S o ft w a r e
S u p p o r t
M a n u a l
/INSTRUCTION (MCU 動作モードがデバッグモードの場合の省略時のデフォルト )
トレースデータを逆アセンブル形式で保存します。
/SOURCE
トレースデータをソース行単位で保存します。
■ 機能
トレースバッファに格納されているトレースデータをファイルに保存します。
/SOFTUNE を指定しなかった場合は , テキスト形式で保存します。
■ 例
【MB2198 の場合】
>SHOW TRACE/FILE/SOFTUNE trcdata
July 31, 2015, CM41-00314-9
225
第 4 章 プログラム実行の 解析コマンド
4.34 CLEAR TRACE
So ft war e
4.34
S up po r t
M a nu al
CLEAR TRACE
トレースバッファをクリアします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
CLEAR TRACE
■ 機能
トレースバッファをクリアします。
■ 例
>CLEAR TRACE
<注意事項>
【MB2147-01 または MB2100-01 の場合】
トレース実行(取得)が終了している場合だけ , 本コマンドはユーザプログラム実行中に
も使用できます。
226
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.35 ENABLE TRACE
S o ft w a r e
4.35
S u p p o r t
M a n u a l
ENABLE TRACE
トレース機能を有効にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
ENABLE TRACE
● コマンド修飾子
【MB2147-01, MB2147-05, MB2198】
/CODE
コード実行について , トレース測定を許可します。
【MB2147-01, MB2147-05】
/VERBOSE
冗長ステータスフレームの取得を許可します。
冗長ステータスフレームとは , READY や SLEEP のように一度に複数個のトレース
フレームを占有するトレースデータを指します。
【MB2198】
/COMPRESS
トレースデータを圧縮することを指定します。
/LOOP ( 省略時のデフォルト )
トレースデータのループ圧縮を有効にします。
【MB2147-01, MB2100-01】
/FORCE
トレース取得を強制的に開始することを指定します。
July 31, 2015, CM41-00314-9
227
第 4 章 プログラム実行の 解析コマンド
4.35 ENABLE TRACE
So ft war e
S up po r t
M a nu al
■ 機能
トレース機能を有効にします。
これに加え以下の機能があります。
MB2147-01 では , コード実行および冗長ステータスフレームの情報を取得できま
す。
MB2198 では , トレースデータのループ圧縮を行うことができます。
MB2100-01 では , ユーザプログラム実行中にトレース取得を強制的に開始します。
■ 例
【MB2147-01】
>ENABLE TRACE /VERBOSE
【MB2198】
>ENABLE TRACE/COMPRESS /LOOP
【MB2100-01】
>ENABLE TRACE /FORCE
<注意事項>
【MB2147-01 の場合】
トレース実行が終了している場合だけ , 本コマンドはユーザプログラム実行中にも使用で
きます。ただし , コマンド修飾子 /CODE または /VERBOSE を指定する必要があります。
【MB2100-01 の場合】
ユーザプログラム停止中に本コマンドを入力した場合は「MCU 停止中は使えないコマン
ドです」のメッセージが表示されます。
228
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.36 DISABLE TRACE
S o ft w a r e
4.36
S u p p o r t
M a n u a l
DISABLE TRACE
トレース機能を無効にします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
DISABLE TRACE
● コマンド修飾子
【MB2147-01, MB2147-05, MB2198】
/CODE
コード実行について , トレース測定を抑止します。
【MB2147-01, MB2147-05】
/VERBOSE
冗長ステータスフレームの取得を抑止します。
【MB2198】
/COMPRESS
トレースデータを圧縮を抑止することを指定します。
/LOOP ( 省略時のデフォルト )
トレースデータのループ圧縮を抑止します。
【MB2147-01, MB2100-01】
/FORCE
トレース取得を強制的に終了することを指定します。
July 31, 2015, CM41-00314-9
229
第 4 章 プログラム実行の 解析コマンド
4.36 DISABLE TRACE
So ft war e
S up po r t
M a nu al
■ 機能
トレース機能を無効にします。
これに加え , 以下の機能があります。
MB2147-01 では , コード実行および冗長ステータスフレームの情報の取得を抑止で
きます。
MB2198 では , トレースデータのループ圧縮を抑止します。
MB2100-01 では , ユーザプログラム実行中にトレース取得を強制的に終了します。
■ 例
【MB2147-01】
>DISABLE TRACE /VERBOSE
【MB2198】
>DISABLE TRACE /COMPRESS /LOOP
【MB2100-01】
>DISABLE TRACE /FORCE
<注意事項>
【MB2147-01 の場合】
トレース実行が終了している場合だけ , 本コマンドはユーザプログラム実行中にも使用で
きます。ただし , コマンド修飾子 /CODE または /VERBOSE を指定する必要があります。
【MB2100-01 の場合】
ユーザプログラム停止中に本コマンドを入力した場合は「MCU 停止中は使えないコマン
ドです」のメッセージが表示されます。
230
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.37 SEARCH TRACE
S o ft w a r e
4.37
S u p p o r t
M a n u a l
SEARCH TRACE
指定された条件でトレースデータを検索します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
×
■ 形式
< 形式 1>
SEARCH TRACE [ アドレス [&= マスクデータ ]] [ , f= 検索開始番号 ]
< 形式 2> (MB2141, MB2147-01, MB2147-05)
SEARCH TRACE [d= データ [&= マスクデータ ]] [ , f= 検索開始番号 ]
● パラメータ
アドレス ( アドレス式 )
検索したいアドレスを指定します。
データ ( データ式 )
検索したいデータを指定します。
デバッガ種別がエミュレータデバッガの場合だけ有効です。
マスクデータ ( データ式 )
アドレス , およびデータをマスクして検索します。
1 が立っているビットだけを比較して検索します。
検索開始番号 ( デフォルト 10 進数 )
検索開始フレーム番号を指定します。
省略した場合は , トレースバッファの先頭から検索します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
該当するフレームをすべて検索します。
/ONEFRAME
1 フレーム見つかった時点で終了します。
July 31, 2015, CM41-00314-9
231
第 4 章 プログラム実行の 解析コマンド
4.37 SEARCH TRACE
So ft war e
S up po r t
M a nu al
/CYCLE (MB2141, MB2147-01, MB2147-05) ( 省略時のデフォルト )
有効バスサイクル単位でデータを検索します。
/RAWDATA (MB2198, MB2100-01)
出力されたトレースデータ単位でデータを検索します。
/INSTRUCTION ( エミュレータデバッガだけ有効 )
機械語実行単位に並び変えたデータを検索します。
機械語実行単位に並び変えることができない場合には , マシンサイクルでの検索に
なります。
/BYTE (MB2141, MB2147-01, MB2147-05)
検索条件として , データを 1 バイト (8 ビット ) 長として扱うことを指定します。
データ長指定は , 形式 (2) の場合だけ , 指定できます。
省略するとデータ長になります。
/WORD (MB2141, MB2147-01, MB2147-05)
検索条件として , データを 2 バイト (16 ビット ) 長として扱うことを指定します。
/CODE (MB2141, MB2147-01, MB2147-05)
指定アドレスを命令コードアクセスしたトレースフレームまたはステップを検索
します。
/READ (MB2141, MB2147-01, MB2147-05)
指定アドレスをリードアクセスしたトレースフレームまたはステップを検索しま
す。
/WRITE (MB2141, MB2147-01, MB2147-05)
指定アドレスをライトアクセスしたトレースフレームまたはステップを検索しま
す。
/LEVEL (MB2141 限定 )
シーケンサのレベル変化点を検索します。この修飾子を指定すると検索開始番号以
外のパラメータは指定できません。
■ 機能
指定された条件でトレースデータを検索します。
トレースデータが見つかった場合は , SHOW TRACE コマンドと同じ形式で表示しま
す。
コマンド修飾子に /ONEFRAME が指定された場合は , 1 フレーム見つかった時点にコ
マンドを終了します。
232
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.37 SEARCH TRACE
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>SEARCH TRACE/INSTRUCTION 0xF0AE6
frame no.
address
mnemonic
-00010
:
000F0AE6
ENTER #004
-00009
:
000F0AE8
LEAVE
-00008
:
000F0AEA
LD @R15+, RP
-00007
:
000F0AEC
RET
-00006
:
000F0ADE
LEAVE
-00005
:
000F0AE0
LD @R15+, RP
-00004
:
000F0AE2
RET
-00003
:
000F0ACE
LD @(R14,-4), R4
-00002
:
000F0AD0
LEAVE
-00001
:
000F0AD2
LD @R15+, RP
00000
:
000F0AD4
ADDSP #4
<注意事項>
MB2147-01 または MB2100-01 にてトレース実行 ( 取得 ) が終了している場合だけ , 本コ
マンドはユーザプログラム実行中にも使用できます。
July 31, 2015, CM41-00314-9
233
第 4 章 プログラム実行の 解析コマンド
4.38 SET DATATRACEAREA (type 1)
So ft war e
4.38
S up po r t
M a nu al
SET DATATRACEAREA (type 1)
トレース測定を行うデータアクセス領域を指定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SET DATATRACEAREA 領域番号 , アドレス [&= アドレスマスク ]
● パラメータ
領域番号 ( デフォルト 10 進数 )
デバッグ領域の番号を指定します (1, 2)。
MB2147-01 と MB2147-05 の場合だけ有効です。
アドレス ( アドレス式 )
データアクセスされる , トレース測定をしたいアドレスを指定します。
アドレスマスク ( データ式 )
アドレスとビットマスクを取り , トレース測定をしたいデータアクセスのアドレス
領域を指定します。
1 がセットされているビットだけを比較して , アドレス領域を設定します。
● コマンド修飾子
/READ
指定アドレス領域をリードアクセスしたとき , トレース測定を行います。
/WRITE
指定アドレス領域をライトアクセスしたとき , トレース測定を行います。
234
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.38 SET DATATRACEAREA (type 1)
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
トレース測定を行うデータアクセス領域を指定します。データアクセス領域は , 指定さ
れたアドレスについて, アドレスマスクの1が立っているビットだけをチェックするこ
とで指定されます。
コマンド修飾子を指定しないときは , /READ/WRITE 指定として処理します。
アドレスマスクを指定しないときは , アドレスマスク H'00000000 として処理します。
【MB2147-01, MB2147-05】
データトレースの取得を許可します。2 領域まで設定できます。
■ 例
>SET DATATRACEAREA/WRITE 1,180,&=7f
<注意事項>
MB2147-01 にてトレース実行(取得)が終了している場合だけ , 本コマンドはユーザプ
ログラム実行中にも使用できます。
July 31, 2015, CM41-00314-9
235
第 4 章 プログラム実行の 解析コマンド
4.39 SET DATATRACEAREA (type 2)
So ft war e
4.39
S up po r t
M a nu al
SET DATATRACEAREA (type 2)
トレース測定を行うデータアクセス領域を指定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
×
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
SET DATATRACEAREA { アドレス | アドレス範囲 }
● パラメータ
アドレス ( アドレス式 )
トレース測定を行うアドレスを指定します。
アドレス範囲 ( アドレス式 )
トレース測定を行うデータアクセス領域を指定します。
● コマンド修飾子
/READ
指定アドレス領域をリードアクセスしたとき , トレース測定を行います。
/WRITE
指定アドレス領域をライトアクセスしたとき , トレース測定を行います。
/DMA
指定アドレス領域に対し , CPU によるアクセスに加えて DMA によるアクセスが発
生した場合もトレース測定を行います。
/READ または /WRITE を指定した場合だけ有効です。
■ 機能
トレース測定を行うデータアクセス領域を指定します。1 領域だけ設定できます。
コマンド修飾子を指定しないときは , /READ/WRITE 指定として処理します。
■ 例
>SET DATATRACEAREA/WRITE 1000..1FFF
236
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.40 SHOW DATATRACEAREA
S o ft w a r e
4.40
S u p p o r t
M a n u a l
SHOW DATATRACEAREA
設定されたデータトレース測定領域を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
◎
(MB2100-01)
×
×
Monitor
■ 形式
SHOW DATATRACEAREA
■ 機能
設定されたデータトレース測定領域を表示します。
■ 例
【MB2147-01, MB2147-05】
>SHOW DATATRACEAREA
address
data1 = FF0000
mask
access
000000
read/write
data2 = none
【MB2198】
>SHOW DATATRACEAREA
area
data1 = 00FF00..00FFFF
July 31, 2015, CM41-00314-9
access
dma
read/write
no acquire
237
第 4 章 プログラム実行の 解析コマンド
4.41 CANCEL DATATRACEAREA
So ft war e
4.41
S up po r t
M a nu al
CANCEL DATATRACEAREA
設定したデータトレース測定領域を削除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
CANCEL DATATRACEAREA [ エリア番号 [ , ... ]]
● パラメータ
エリア番号 ( デフォルト 10 進数 )
削除するエリア番号を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
全エリアを削除します。
■ 機能
設定したデータトレース測定領域を削除します。
■ 例
>SHOW DATATRACEAREA
address
data1 = 00FF0000
mask
access
00000000
read/write
data2 = none
>CANCEL DATATRACEAREA
address
mask
access
data1 = none
data2 = none
238
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.41 CANCEL DATATRACEAREA
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
MB2147-01 にてトレース実行(取得)が終了している場合だけ , 本コマンドはユーザプ
ログラム実行中にも使用できます。
July 31, 2015, CM41-00314-9
239
第 4 章 プログラム実行の 解析コマンド
4.42 SET TRACETRIGGER
So ft war e
4.42
S up po r t
M a nu al
SET TRACETRIGGER
指定した条件を満たしたとき , トレース測定の状態を制御します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
SET TRACETRIGGER アドレス [&= アドレスマスク ] [, パスカウント ] [ , [!]d= データ
[&= データマスク ]]
● パラメータ
アドレス ( アドレス式 )
トレーストリガ条件となるアドレスを指定します。
アドレスマスク ( データ式 )
トレーストリガ条件となるアドレスマスクビットパターンを指定します。
1 がセットされているビット位置のアドレス値だけを比較対象にします。
パスカウント数 ( デフォルト 10 進数 )
トレーストリガに何回ヒットした場合にトレース制御を行うかを設定します
(1 ~ 65535) 。省略時は 1 になります。MB2198 の場合だけ設定できます。
データ ( データ式 )
トレーストリガ条件となるデータを指定します。コード実行条件では指定できませ
ん。
マスクデータ ( データ式 )
トレーストリガ条件となるデータマスクビットパターンを指定します。
1 がセットされているビット位置のデータ値だけを比較対象にします。コード実行
条件では指定できません。
● 機能分類コマンド修飾子
/ CODE ( 省略時のデフォルト )
コード実行をトレーストリガ条件に指定します。
240
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.42 SET TRACETRIGGER
S o ft w a r e
S u p p o r t
M a n u a l
/ DATA
データヒット時をトレーストリガ条件に指定します。
● コマンド修飾子
• アクセスサイズ指定 (/DATA 指定時だけ有効 )
/SIZEFREE (MB2198 の場合の省略時のデフォルト )
すべてのアクセスサイズをトレーストリガ条件に指定します。
MB2198 の場合だけ指定できます。
/BYTE (MB2147-01 の場合の省略時のデフォルト )
バイト (8 ビット ) アクセスをトレーストリガ条件に指定します。
/WORD
ワード (16 ビット ) アクセスをトレーストリガ条件に指定します。
• アクセス属性指定 (/DATA 指定時だけ有効 )
/READ
データリードアクセスをトレーストリガ条件に指定します。
/WRITE
データライトアクセスをトレーストリガ条件に指定します。
• バスマスタ指定 (/DATA 指定時だけ有効 )
/CPUDETECT ( 省略時のデフォルト )
データヒット時のバスマスタを CPU にて検出することを指定します。
MB2198 の場合だけ指定できます。
/DMADETECT
データヒット時のバスマスタを DMA にて検出することを指定します。
MB2198 の場合だけ指定できます。
• トレース制御指定
/ENABLETRACE ( 省略時のデフォルト )
トレーストリガ条件を満たしたとき , トレース測定を開始します。
/DISABLETRACE
トレーストリガ条件を満たしたとき , トレース測定を停止します。
/ENDTRACE
トレーストリガ条件を満たしたとき , トレース測定を終了します。
MB2147-01 においてデバッグ機能が Trace Enhancement モードの場合だけ有効とな
ります。
/MULTITRACE
トレーストリガ条件を満たしたとき , 現在のブロックでのトレース測定を終了し ,
次のブロックに遷移します。
MB2147-01 においてデバッグ機能が Trace Enhancement モードかつイベントモード
がマルチトレースモードの場合だけ有効となります。
July 31, 2015, CM41-00314-9
241
第 4 章 プログラム実行の 解析コマンド
4.42 SET TRACETRIGGER
So ft war e
S up po r t
M a nu al
■ 機能
指定した条件を満たしたとき , トレース測定の状態を制御します。
最大 8 ポイント設定できますが , SET BREAK /DATAWATCH と SET EVENT の設定に
よって使用できるポイント数は変動します。
■ 例
【MB2147-01】
>SET TRACETRIGGER/CODE/ENABLETRACE FF0000
>SET TRACETRIGGER/READ/WORD/ENABLETRACE 120046 !D=1234&=FFFC
【MB2198】
>SET TRACETRIGGER/DATA 100&=0xfffff0, !d=200&=ff00
<注意事項>
• MB2147-01 にてトレース実行が終了している場合だけ , 本コマンドはユーザプログラ
ム実行中にも使用できます。
• MB2198 エミュレータの場合 , 奇数アドレスからのワード (16 ビット ) アクセスでは ,
( バスアクセス上 ) 2 回のバイト(8 ビット)アクセスで行われます。そのため , 奇数ア
ドレスからワード (16 ビット ) アクセスを指定してもヒットしないため , 注意してくだ
さい。
• MB2198 エミュレータの場合 , トレーストリガはハードウェアブレーク / データブレー
ク / シーケンサと併用しているため , その設定状態により最大設定数は変動します。
242
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.43 SHOW TRACETRIGGER
S o ft w a r e
4.43
S u p p o r t
M a n u a l
SHOW TRACETRIGGER
SET TRACETRIGGER コマンドで設定したトレーストリガの状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
×
Monitor
■ 形式
SHOW TRACETRIGGER [ トリガ番号 [ , ... ]]
● パラメータ
トリガ番号 ( デフォルト 10 進数 )
表示するトレーストリガの番号を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
SET TRACETRIGGER コマンドで設定したトレーストリガをすべて表示します。
■ 機能
SET TRACETRIGGER コマンドで設定したトレーストリガの状態を表示します。
トレーストリガ番号指定は組み込み関数 %TRIGGERNUM を用いてアドレスからも参
照できます。
■ 例
【MB2147-01】
>SHOW TRACETRIGGER
no. trace-ctrl address
1
enable
FF0010
2
disable
200430
address-mask
FFFFF0
--------
data
---!A5A5
d-mask
---F5FF
size
---word
access symbol
code
read/write symbol
【MB2198】
>SHOW TRACETRIGGER
no. en/dis trace-ctrl
1
enable start
July 31, 2015, CM41-00314-9
address
FF0010
address-mask
FFFFF0
data
----
d-mask
----
size
----
access
code
detect
CPU
symbol
243
第 4 章 プログラム実行の 解析コマンド
4.44 CANCEL TRACETRIGGER
So ft war e
4.44
S up po r t
M a nu al
CANCEL TRACETRIGGER
SET TRACETRIGGER コマンドで設定したトレーストリガを削除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
○
(MB2100-01)
×
Monitor
×
■ 形式
CANCEL TRACETRIGGER [ トリガ番号 [ , ... ]]
● パラメータ
トリガ番号 ( デフォルト 10 進数 )
削除するトレーストリガの番号を指定します。
● コマンド修飾子
/ALL ( 省略時のデフォルト )
SET TRACETRIGGER コマンドで設定したトレーストリガをすべて削除します。
■ 機能
SET TRACETRIGGER コマンドで設定したトレーストリガを削除します。
トレーストリガ番号指定は組み込み関数 %TRIGGERNUM を用いてアドレスからも参
照できます。
■ 例
>CANCEL TRACETRIGGER/ALL
<注意事項>
MB2147-01 にてトレース実行が終了している場合だけ , 本コマンドはユーザプログラム
実行中にも使用できます。
244
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.45 SET DELAY
S o ft w a r e
4.45
S u p p o r t
M a n u a l
SET DELAY
トレーストリガヒット時のディレイカウントを設定します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
【イベントモード:ノーマル】
SET DELAY ディレイカウント
【イベントモード:マルチトレース】
SET DELAY ディレイカウント a, ディレイカウント b
● パラメータ
【イベントモード:ノーマル】
ディレイカウント ( デフォルト 10 進数 )
トレーストリガヒット時からトレースを終了するまでのディレイ値 (0 ~ 65535) を
指定します。ディレイカウントは 1 マシンサイクルごとに行われます。
【イベントモード:マルチトレース】
ディレイカウント a ( デフォルト 10 進数 )
トレース終了トリガヒット時からトレースを終了するまでのディレイ値をフレー
ム単位で指定します。設定範囲は 1 ブロックサイズ当たりのフレーム数が最大値と
なります。
ディレイカウント b ( デフォルト 10 進数 )
マルチトレース終了トリガヒット時からトレースを終了するまでのディレイ値を
ブロック単位で指定します。設定範囲はブロック数が最大値となります。
■ 機能
トレーストリガヒット時のディレイカウントを設定します。
■ 例
>SET DELAY
July 31, 2015, CM41-00314-9
200
245
第 4 章 プログラム実行の 解析コマンド
4.45 SET DELAY
So ft war e
S up po r t
M a nu al
<注意事項>
【MB2147-01 の場合】
• デバッグ機能が Trace Enhancement モード時だけ本コマンドは使用できます。詳細は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
• トレース実行が終了している場合だけ , 本コマンドはユーザプログラム実行中にも使
用できます。
246
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.46 SHOW DELAY
S o ft w a r e
4.46
S u p p o r t
M a n u a l
SHOW DELAY
トレーストリガヒット時のディレイカウントの設定状態を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
◎
(MB2100-01)
×
Monitor
×
■ 形式
SHOW DELAY
■ 機能
ディレイカウントの設定状態を表示します。
■ 例
【MB2147-01 の場合】
【イベントモード:ノーマル】
>SHOW DELAY
delay count =50
【イベントモード:マルチトレース】
>SHOW DELAY
trace delay =20
multi trace delay =10
July 31, 2015, CM41-00314-9
247
第 4 章 プログラム実行の 解析コマンド
4.47 SET RAMCHECK
So ft war e
4.47
S up po r t
M a nu al
SET RAMCHECK
RAM チェッカの設定を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1>
SET RAMCHECK アドレス
< 形式 2>
SET RAMCHECK ファイル名
● パラメータ
• 形式 1
アドレス
設定する監視アドレスを指定します。
• 形式 2
ファイル名
サンプリングデータを保存するログファイル名を指定します。
● コマンド修飾子
• 形式 1
/BYTE
データサイズをバイト (8 ビット ) で指定します。
/WORD ( 省略時のデフォルト )
データサイズをワード (16 ビット ) で指定します。
• 形式 2
/SOFTUNE
ファイルを SOFTUNE 形式で保存する場合に指定します。
拡張子を省略すると ".SRL" が付加されます。
248
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.47 SET RAMCHECK
S o ft w a r e
S u p p o r t
M a n u a l
/CSV
ファイルを CSV 形式で保存する場合に指定します。
拡張子を省略すると ".CSV" が付加されます。
■ 機能
形式 1
RAM チェッカの監視アドレス , データサイズを設定します。
監視アドレスは 16 ポイント設定できます。
監視アドレスは設定した順番でログへ格納されます。
形式 2
サンプリングデータを取得するログファイルと保存形式を指定します。
コマンド修飾子は省略できません。/SOFTUNE または /CSV の指定が必要です。
■ 例
> SET RAMCHECK 0x000100
SHOW RAMCHECK
Logging mode
: disable
Logging name
:
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
> SET RAMCHECK /BYTE 0x000110
> SHOW RAMCHECK
Logging mode
: disable
Logging name
:
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000110 /byte : main¥dat_8
> SET RAMCHECK /SOFTUNE TEMP2
SHOW RAMCHECK
Logging mode
: disable
Logging name
: TEMP2.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000110 /byte : main¥dat_8
>
<注意事項>
本 コ マ ン ド は デ バ ッ グ 機 能 が RAM Checker モ ー ド 時 だ け 使 用 で き ま す。詳 細 は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
July 31, 2015, CM41-00314-9
249
第 4 章 プログラム実行の 解析コマンド
4.48 SHOW RAMCHECK
So ft war e
4.48
S up po r t
M a nu al
SHOW RAMCHECK
RAM チェッカの設定内容を表示します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
SHOW RAMCHECK
● パラメータ
なし
● コマンド修飾子
なし
■ 機能
RAM チェッカの設定内容を表示します。
■ 例
>SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main\dat_0
ch 1 : 00000102 /byte : main\dat_1
ch 2 : 00000104 /word : main\dat_2
>
<注意事項>
本 コ マ ン ド は デ バ ッ グ 機 能 が RAM Checker モ ー ド 時 だ け 使 用 で き ま す。詳 細 は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
250
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.49 CANCEL RAMCHECK
S o ft w a r e
4.49
S u p p o r t
M a n u a l
CANCEL RAMCHECK
RAM チェッカの設定を削除します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
< 形式 1>
CANCEL RAMCHECK アドレス
< 形式 2>
CANCEL RAMCHECK
● パラメータ
アドレス
削除する監視アドレスを入力します。
アドレスを指定した場合 , コマンド修飾子は指定できません。
● コマンド修飾子
/ALL
設定中の監視アドレスをすべて削除します。
コマンド修飾子を指定した場合 , パラメータは入力できません。
■ 機能
RAM チェッカの監視アドレスを解除します。
July 31, 2015, CM41-00314-9
251
第 4 章 プログラム実行の 解析コマンド
4.49 CANCEL RAMCHECK
So ft war e
S up po r t
M a nu al
■ 例
> SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000102 /byte : main¥dat_1
ch 2 : 00000104 /word : main¥dat_2
> CANCEL RAMCHECK 0x00000102
> SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 / word : main¥dat_0
ch 1 : 00000104 / word : main¥dat_2
> CANCEL RAMCHECK /ALL
> SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
>
<注意事項>
本 コ マ ン ド は デ バ ッ グ 機 能 が RAM Checker モ ー ド 時 だ け 使 用 で き ま す。詳 細 は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
252
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.50 ENABLE RAMCHECK
S o ft w a r e
4.50
S u p p o r t
M a n u a l
ENABLE RAMCHECK
RAM チェッカのロギング状態を有効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
ENABLE RAMCHECK
● パラメータ
なし
● コマンド修飾子
なし
■ 機能
RAM チェッカのロギング状態を有効にします。
イベントモードがパフォーマンス時 , このコマンドは使用できません。
July 31, 2015, CM41-00314-9
253
第 4 章 プログラム実行の 解析コマンド
4.50 ENABLE RAMCHECK
So ft war e
S up po r t
M a nu al
■ 例
>SHOW RAMCHECK
Logging mode
: disable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000102 /byte : main¥dat_1
ch 2 : 00000104 /word : main¥dat_2
>ENABLE RAMCHECK
>SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000102 /byte : main¥dat_1
ch 2 : 00000104 /word : main¥dat_2
>
<注意事項>
本 コ マ ン ド は デ バ ッ グ 機 能 が RAM Checker モ ー ド 時 だ け 使 用 で き ま す。詳 細 は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
254
CM41-00314-9, July 31, 2015
第 4 章 プログラム実行の 解析コマンド
4.51 DISABLE RAMCHECK
S o ft w a r e
4.51
S u p p o r t
M a n u a l
DISABLE RAMCHECK
RAM チェッカのロギング状態を無効にします。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
○
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
DISABLE RAMCHECK
● パラメータ
なし
● コマンド修飾子
なし
■ 機能
RAM チェッカのロギング状態を無効にします。
July 31, 2015, CM41-00314-9
255
第 4 章 プログラム実行の 解析コマンド
4.51 DISABLE RAMCHECK
So ft war e
S up po r t
M a nu al
■ 例
>SHOW RAMCHECK
Logging mode
: enable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000102 /byte : main¥dat_1
ch 2 : 00000104 /word : main¥dat_2
>DISABLE RAMCHECK
>SHOW RAMCHECK
Logging mode
: disable
Logging name
: TEMP.SRL
Logging format
: SOFTUNE
ch 0 : 00000100 /word : main¥dat_0
ch 1 : 00000102 /byte : main¥dat_1
ch 2 : 00000104 /word : main¥dat_2
>
<注意事項>
本 コ マ ン ド は デ バ ッ グ 機 能 が RAM Checker モ ー ド 時 だ け 使 用 で き ま す。詳 細 は
『SOFTUNE Workbench 機能説明書』の「2.3.1.6 デバッグ機能」を参照してください。
256
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第5章
メモリ / レジスタ
操作コマンド
メモリ / レジスタ操作コマンドについて説明しま
す。
5.1 EXAMINE
5.2 ENTER
5.3 SET MEMORY
5.4 SHOW MEMORY
5.5 SEARCH MEMORY
5.6 SET REGISTER
5.7 SHOW REGISTER
5.8 COMPARE
5.9 FILL
5.10 MOVE
5.11 DUMP
5.12 COPY
5.13 VERIFY
July 31, 2015, CM41-00314-9
257
第 5 章 メモリ / レジスタ 操作コマンド
5.1 EXAMINE
So ft war e
5.1
S up po r t
M a nu al
EXAMINE
指定された C 言語の式を解析し , その値を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
EXAMINE 式 [ , ... ]
● パラメータ
式 ( アドレス式 )
解析する式を指定します。
● コマンド修飾子
/BINARY
式の解析結果を 2 進数で表示することを指定します。
/OCTAL
式の解析結果を 8 進数で表示することを指定します。
/DECIMAL
式の解析結果を 10 進数で表示することを指定します。
/HEXADECIMAL
式の解析結果を 16 進数で表示することを指定します。
/SINGLE
式の解析結果を単精度浮動小数点数として表示することを指定します。
/DOUBLE
式の解析結果を倍精度浮動小数点数として表示することを指定します。
258
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.1 EXAMINE
S o ft w a r e
S u p p o r t
M a n u a l
■ 機能
指定された C 言語の式を解析し , その値を表示します。
変数が指定された場合はその内容が表示されます。
構造体型 , 共用体型およびクラスの変数を指定するとすべてのメンバの値を表示し , 配
列名だけを指定するとその配列の内容をすべて表示します。
コマンド修飾子の表示基数を省略した場合は , SET RADIX コマンドで指定された基数
となります。
■ 例
>EXAMINE strsym
strsym =
{
a = H'20
b = H'4A30
c = H'3012
}
>EXAMINE strsym.a
strsym.a = H'20
>EXAMINE flags [0]
flags
[0] = H'03
>EXAMINE flags
flags
[0] = H'05
flags
[1] = H'50
flags
[2] = H'10
flags
[3] = H'2A
>EXAMINE/DECIMAL count
count = D'12
>EXAMINE/HEXADECIMAL count
count = H'0C
>EXAMINE/DECIMAL fwork
fwork = 2.36S+1
<注意事項>
式の指定方法については『SOFTUNE Workbench 操作マニュアル』の以下の節を参照し
てください。
「2.1.1 データ式 , アドレス式(数値定数)
」
「2.1.2 データ式 , アドレス式(シンボル , 行番号 , 文字定数)」
「2.1.3 データ式 , アドレス式(レジスタ , フラグ名)
」
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
259
第 5 章 メモリ / レジスタ 操作コマンド
5.2 ENTER
So ft war e
5.2
S up po r t
M a nu al
ENTER
指定された変数に指定データを代入します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
ENTER 変数 = データ
● パラメータ
変数 ( アドレス式 )
データを格納する変数を指定します。
データ ( データ式 )
格納する値を指定します。
● コマンド修飾子
• データ長
/BYTE
格納する値をバイト (8 ビット ) 長で指定されたメモリ位置へ格納します。
/WORD
格納する値を 2 バイト (16 ビット ) 長で指定されたメモリ位置へ格納します。
/LONG
格納する値を 4 バイト (32 ビット ) 長で指定されたメモリ位置へ格納します。
/SINGLE
格納する値を単精度浮動小数点数として指定されたメモリ位置へ格納します。
/DOUBLE
格納する値を倍精度浮動小数点数として指定されたメモリ位置へ格納します。
■ 機能
指定された変数に指定データを代入します。
コマンド修飾子の型を指定すると , 指定の大きさでデータを代入できます。
260
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.2 ENTER
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>ENTER tmcnt = 10
>ENTER work = 6A5
>ENTER tmp = 1DF2BF
>ENTER fsymbol = F'10.55S+2
>ENTER/WORD work = 12345678
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
261
第 5 章 メモリ / レジスタ 操作コマンド
5.3 SET MEMORY
So ft war e
5.3
S up po r t
M a nu al
SET MEMORY
データを指定されたコマンド修飾子の型 ( タイプ ) で , 格納アドレスによって指定し
たメモリ位置に格納します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
SET MEMORY[ 格納アドレス ]= データ [ , ... ]
● パラメータ
格納アドレス ( アドレス式 )
データを格納するメモリ位置を指定します。
データ ( データ式 )
格納する値を指定します。
● コマンド修飾子
• データ長
/BIT
格納する値をビット長で指定されたメモリ位置へ格納します。
/BYTE( 省略時のデフォルト )
格納する値をバイト (8 ビット ) 長で指定されたメモリ位置へ格納します。
/WORD
格納する値を 2 バイト (16 ビット ) または 4 バイト (32 ビット ) 長で指定されたメモ
リ位置へ格納します。
/LONG
格納する値を 4 バイト (32 ビット ) 長として指定されたメモリ位置へ格納します。
/SINGLE
格納する値を単精度浮動小数点数として , 指定されたメモリ位置へ格納します。
/DOUBLE
格納する値を倍精度浮動小数点数として , 指定されたメモリ位置へ格納します。
262
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.3 SET MEMORY
S o ft w a r e
S u p p o r t
M a n u a l
/STRING
格納する値を文字列で指定し , アスキーコードで , 指定されたメモリ位置へ格納し
ます。
■ 機能
データを指定されたコマンド修飾子の型 ( タイプ ) で , 格納アドレスによって指定した
メモリ位置に格納します。
格納アドレスを省略した場合は , SHOW MEMORY コマンド , または SET MEMORY コ
マンドで最後にアクセスしたメモリ位置の次のメモリ位置に対して格納します。
データの型も最後のアクセスと同じものとなります。
格納アドレスにピリオド "." だけを指定した場合は , SHOW MEMORY コマンド , また
は SET MEMORY で最後にアクセスしたメモリ位置に対して格納します。
データの型も最後のアクセスと同じものとなります。
コマンド修飾子の型 ( タイプ ) を省略すると , /BYTE になります。
■ 例
>SET MEMORY/BYTE 1000 = 10
>SET MEMORY/HALFWORD 1030 = 6A5
>SET MEMORY/WORD 1050 = 1DF2BF
>SET MEMORY/STRING 2000 = "ST"
>SET MEMORY . = 45
>SET MEMORY/BIT 8000:3 = 1
>SET MEMORY/SINGLE 2050 = F'10.55S+2
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
263
第 5 章 メモリ / レジスタ 操作コマンド
5.4 SHOW MEMORY
So ft war e
5.4
S up po r t
M a nu al
SHOW MEMORY
アドレス , またはアドレス範囲によって指定されたメモリ位置の内容を , 指定された
データの型で表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
SHOW MEMORY[{ アドレス | アドレス範囲 }[ , ... ]]
● パラメータ
アドレス ( アドレス式 )
確認するメモリ位置のアドレスを指定します。
アドレス範囲 ( アドレス式 )
確認するメモリ領域の範囲を指定します。
● コマンド修飾子
/BIT
確認する値を 1 ビット長で表示することを指定します。
/BYTE( 省略時のデフォルト )
確認する値を 1 バイト (8 ビット ) 長で表示することを指定します。
/WORD
確認する値を 2 バイト (16 ビット ) 長で表示することを指定します。
/LONG
確認する値を 4 バイト (32 ビット ) 長で表示することを指定します。
/SINGLE
確認する値を単精度浮動小数点数で表示することを指定します。
/DOUBLE
確認する値を倍精度浮動小数点数で表示することを指定します。
/ASCII
確認する値をアスキー文字で表示することを指定します。
264
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.4 SHOW MEMORY
S o ft w a r e
S u p p o r t
M a n u a l
/STRING
確認する値を文字列で表示することを指定します。
/BINARY
確認する値を 2 進数で表示することを指定します。
/OCTAL
確認する値を 8 進数で表示することを指定します。
/DECIMAL
確認する値を 10 進数で表示することを指定します。
/HEXADECIMAL
確認する値を 16 進数で表示することを指定します。
■ 機能
アドレス , またはアドレス範囲によって指定されたメモリ位置の内容を , 指定された
データの型で表示します。ただし , /BIT を指定した場合は , アドレス範囲を指定できま
せん。
アドレス , およびアドレス範囲を省略した場合は , SHOW MEMORY, または SET
MEMORY コマンドで最後にアクセスしたメモリ位置の次のメモリ位置を表示します。
データの型も最後のアクセスと同じものとなります。
ア ド レ ス に ピ リ オ ド (" . ") だ け を 指 定 し た 場 合 は , SHOW MEMORY ま た は SET
MEMORY コマンドで最後にアクセスしたメモリ位置を表示します。
データの型も最後のアクセスと同じものとなります。
コマンド修飾子の型 ( タイプ ) を省略すると , /BYTE を指定したことになります。
また , コマンド修飾子の表示基数を省略した場合は , SET RADIX コマンドで指定され
た基数となります。
July 31, 2015, CM41-00314-9
265
第 5 章 メモリ / レジスタ 操作コマンド
5.4 SHOW MEMORY
So ft war e
S up po r t
M a nu al
■ 例
>SHOW MEMORY/DECIMAL 1000
00001000 = D'12
>SHOW MEMORY/BINARY 1000
00001000 = B'00001100
>SHOW MEMORY/HEXADECIMAL 1000..1001
00001000 = H'0C
00001001 = H'41
>SHOW MEMORY/HEXADECIMAL/HALFWORD 1000
00001000 = D'410C
>SHOW MEMORY/HEXADECIMAL/WORD 1000
00001000 = H'0030410C
>SHOW MEMORY/HEXADECIMAL 1000, 1020
00001000 = H'0C
00001020 = H'E3
>SHOW MEMORY/ASCII 1001
00001000 = 'A'
>SHOW MEMORY/SINGLE/DECIMAL 1030
00001030 = 2.36S+1
>SHOW MEMORY/BYTE 1000
00001000 = H'0C
>SHOW MEMORY.
00001000 = H'0C
>SHOW MEMORY
00001001 = H'41
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
266
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.5 SEARCH MEMORY
S o ft w a r e
5.5
S u p p o r t
M a n u a l
SEARCH MEMORY
指定されたデータを指定されたメモリの中から検索し , 一致したアドレスを表示しま
す。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
SEARCH MEMORY アドレス範囲 = データ [ , ... ][ , S= スキップバイト数 ]
● パラメータ
アドレス範囲 ( アドレス式 )
検索を行うメモリ領域を指定します。
データ ( データ式 )
検索するデータを指定します。
スキップバイト数 ( データ式 )
検索する際のスキップバイト数を指定します。
(H'1 ~ H'FFFF) が指定できます。
省略するとデータ長になります。
● コマンド修飾子
• データ長
/BYTE( 省略時のデフォルト )
データをバイト (8 ビット ) 長として検索します。
/WORD
データを 2 バイト (16 ビット ) 長として検索します。
2 バイトごとスキップして検索します。
/LONG
データを 4 バイト (32 ビット ) 長として検索します。
/ASCII
データをアスキー文字列として検索します。
July 31, 2015, CM41-00314-9
267
第 5 章 メモリ / レジスタ 操作コマンド
5.5 SEARCH MEMORY
So ft war e
S up po r t
M a nu al
■ 機能
指定されたデータを指定されたメモリの中から検索し , 一致したアドレスを表示しま
す。
■ 例
>SEARCH MEMORY 2000..3000 = 88
found at = 00002050
found at = 00002577
found at = 00002BDF
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
268
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.6 SET REGISTER
S o ft w a r e
5.6
S u p p o r t
M a n u a l
SET REGISTER
指定されたレジスタ , フラグに値を設定します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SET REGISTER レジスタ名 = データ
● パラメータ
レジスタ名
変更したいレジスタおよびフラグ名を指定します。
レジスタおよびフラグ名については『SOFTUNE Workbench 操作マニュアル』の「付
録 A レジスタ名一覧」を参照してください。
データ ( データ式 )
レジスタ , フラグに設定したい値を指定します。
■ 機能
指定されたレジスタ , フラグに値を設定します。
■ 例
>SET REGISTER PC = 1000
>SET REGISTER C = 1
July 31, 2015, CM41-00314-9
269
第 5 章 メモリ / レジスタ 操作コマンド
5.7 SHOW REGISTER
So ft war e
5.7
S up po r t
M a nu al
SHOW REGISTER
指定されたレジスタおよびフラグの内容を表示します。表示は 16 進数で行われま
す。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SHOW REGISTER レジスタ名
● パラメータ
レジスタ名
確認したいレジスタおよびフラグ名を指定します。
レジスタ名を省略した場合はすべてのレジスタ , フラグの内容を表示します。
レジスタおよびフラグ名については『SOFTUNE Workbench 操作マニュアル』の「付
録 A レジスタ名一覧」を参照してください。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのレジスタ , フラグの内容を表示します。
■ 機能
指定されたレジスタおよびフラグの内容を表示します。表示は 16 進数で行われます。
フラグレジスタの内容は , フラグがセットされていなければ , " - " を表示し , セット
されていればそのフラグ名を表示します。
270
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.7 SHOW REGISTER
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>SHOW REGISTER PC
PC = FF00
>SHOW REGISTER
A = 00000000
SP = 000000
FP = 000000
IP = F80000
ILM = 0
RP = 00
CCR = -S----- DTB = 00
ADB = 00
DPR = 01
USB = 00
USP = 0000
SSB = 00
SSP = 0000
PCB = F8
PC = 0000
RW0 = 0000
RW1 = 0000
RW2 = 0000
RW3 = 0000
RW4 = 0000
RW5 = 0000
RW6 = 0000
RW7 = 0000
TBR = FFFC00
July 31, 2015, CM41-00314-9
271
第 5 章 メモリ / レジスタ 操作コマンド
5.8 COMPARE
So ft war e
5.8
S up po r t
M a nu al
COMPARE
メモリ内容の比較を行います。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
○
Monitor
■ 形式
COMPARE 比較元アドレス範囲 , 比較先アドレス
● パラメータ
比較元アドレス範囲 ( アドレス式 )
比較元のメモリ領域を指定します。
比較先アドレス ( アドレス式 )
比較先アドレスを指定します。
■ 機能
メモリ内容の比較を行います。
比較の結果 , 相違箇所がない場合は「Not found」と表示します。
相違箇所があった場合は , 左側に比較元のメモリ位置とその内容を , 右側に比較先のメ
モリ位置とその内容を 16 進数で表示します。
■ 例
>COMPARE 2000..3000, 4000
address
source
destination
address
00002050
35
10
00004050
00002051
40
00
00004051
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
272
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.9 FILL
S o ft w a r e
5.9
S u p p o r t
M a n u a l
FILL
指定されたメモリ領域へ任意のデータを充てんします。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
FILL アドレス範囲 = データ [ , ... ]
● パラメータ
アドレス範囲 ( アドレス式 )
充てんを行うメモリ範囲を指定します。
データ ( データ式 )
充てんするデータを指定します。
● コマンド修飾子
• データ長
/BYTE( 省略時のデフォルト )
データをバイト (8 ビット ) 長で充てんすることを指定します。
/WORD
データを 2 バイト (16 ビット ) 長で充てんすることを指定します。
/LONG
データを 4 バイト (32 ビット ) 長で充てんすることを指定します。
/ASCII
データをアスキー文字列で充てんすることを指定します。
■ 機能
指定されたメモリ領域へ任意のデータを充てんします。
■ 例
>FILL 2000..2FFF = 23
July 31, 2015, CM41-00314-9
273
第 5 章 メモリ / レジスタ 操作コマンド
5.9 FILL
So ft war e
S up po r t
M a nu al
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
274
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.10 MOVE
S o ft w a r e
5.10
S u p p o r t
M a n u a l
MOVE
指定されたメモリのデータを指定された転送先へ転送します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
MOVE 転送元アドレス範囲 , 転送先アドレス
● パラメータ
転送元アドレス範囲 ( アドレス式 )
転送を行うメモリ領域を指定します。
転送先アドレス ( アドレス式 )
転送先のメモリ位置を指定します。
■ 機能
指定されたメモリのデータを指定された転送先へ転送します。
■ 例
>MOVE 2000..3000, 4000
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
275
第 5 章 メモリ / レジスタ 操作コマンド
5.11 DUMP
So ft war e
5.11
S up po r t
M a nu al
DUMP
指定されたメモリの内容をダンプします。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
DUMP[{ 開始アドレス | アドレス範囲 }]
● パラメータ
開始アドレス ( アドレス式 )
ダンプを開始するメモリ位置のアドレスを指定します。
アドレス範囲 ( アドレス式 )
ダンプするメモリ領域の範囲を指定します。
● コマンド修飾子
• 表示単位
/BIT
ビット単位でダンプします。
/BYTE( 起動時のデフォルト )
バイト (8 ビット ) 単位でダンプします。
/WORD
2 バイト (16 ビット ) 単位で表示します。
/LONG
4 バイト (32 ビット ) 単位で表示します。
■ 機能
指定されたメモリの内容をダンプします。
開始アドレスだけ指定した場合は , 出力ウィンドウの 16 行分表示します。
パラメータを省略した場合は,前回のコマンド実行で最後に表示されたメモリ位置の次
から表示します。
276
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.11 DUMP
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>DUMP 100..118
address
+0 +1 +2 +3 +4 +5 +6 +7 +8 +9 +A +B +C +D +E +F ---ascii -00000100
00 00 41 42 43 00 00 00 00 00 00 00 00 00 00 00 ..ABC...........
00000110
53 49 4D 55 4C 41 54 4F 52
SIMULATOR
>
>DUMP/WORD 100..118
addres s +0
+2
+4
+6
+8
+A
+C
+E
---ascii-00000100 0000 4241 0043 0000 0000 0000 0000 0000 ..ABC...........
00000110 4953 554D 414C 4F54 0052
SIMULATOR
>
>DUMP/BIT 5
address
:7 :6 :5 :4 :3 :2 :1 :0 HEX
00000005 0 1 1 0 1 0 1 0
6A
00000006 1 1 1 1 0 1 0 0
F4
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
277
第 5 章 メモリ / レジスタ 操作コマンド
5.12 COPY
So ft war e
5.12
S up po r t
M a nu al
COPY
指定されたメモリ領域に対応するユーザメモリの内容を指定されたメモリ領域に対
応するエミュレーションメモリに複写します。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
COPY 転送元アドレス範囲
● パラメータ
転送元アドレス範囲
転送元のメモリ領域を指定します。
■ 機能
指定されたメモリ領域に対応するユーザメモリの内容を指定されたメモリ領域に対応
するエミュレーションメモリに複写します。指定されたメモリ領域は , エミュレーショ
ンメモリとしてマッピングされていなければなりません。
■ 例
>COPY
278
600000..60FFFF
CM41-00314-9, July 31, 2015
第 5 章 メモリ / レジスタ 操作コマンド
5.13 VERIFY
S o ft w a r e
5.13
S u p p o r t
M a n u a l
VERIFY
指定されたメモリ領域に対応するユーザメモリと , エミュレーションメモリ内容の照
合を行います。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
×
(MB2100-01)
×
×
Monitor
■ 形式
VERIFY 照合アドレス範囲
● パラメータ
照合アドレス範囲
照合するメモリ領域を指定します。
■ 機能
指定されたメモリ領域に対応するユーザメモリと,エミュレーションメモリ内容の照合
を行います。照合の結果相違個所がない場合はコマンド待ちに戻ります。
照合を行う領域はエミュレーションメモリにマップされていなければなりません。
■ 例
>VERIFY
July 31, 2015, CM41-00314-9
600000..60FFFF
279
第 5 章 メモリ / レジスタ 操作コマンド
5.13 VERIFY
So ft war e
280
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第6章
アセンブル / 逆アセンブル
コマンド
アセンブル / 逆アセンブルコマンドについて説明し
ます。
6.1 ASSEMBLE
6.2 DISASSEMBLE
July 31, 2015, CM41-00314-9
281
第 6 章 アセンブル / 逆アセンブルコマンド
6.1 ASSEMBLE
So ft war e
6.1
S up po r t
M a nu al
ASSEMBLE
入力されたニーモニックとオペランドをラインアセンブルして , 命令コードを指定さ
れたメモリ位置へ格納します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
◎
Monitor
○
■ 形式
ASSEMBLE[ 開始アドレス ]= アセンブル文字列
● パラメータ
開始アドレス ( アドレス式 )
ラインアセンブルしたコードを格納したいメモリの先頭位置を指定します。
アセンブル文字列 ( 文字列 )
ラインアセンブルする文字列を指定します。文字列をダブルクォーテーション (")
でくくってください。
■ 機能
入力されたニーモニックとオペランドをラインアセンブルして,命令コードを指定され
たメモリ位置へ格納します。
開始アドレス省略時は,前回最後に命令コードを格納したメモリ位置の次のメモリ位置
からニーモニックの入力待ちとなります。
■ 例
>ASSEMBLE F90040="MOV DTB,A"
>ASSEMBLE F90042="MOVW RW0,#0000"
>DISASSEMBLE F90040..+8
282
F90040 6F10
MOV
DTB,A
F90042 A80000
MOVW
RW0,#0000
F90045 4A0001
MOVW
A,#0100
F90048 4A7E04
MOVW
A,#047E
CM41-00314-9, July 31, 2015
第 6 章 アセンブル / 逆アセンブルコマンド
6.1 ASSEMBLE
S o ft w a r e
S u p p o r t
M a n u a l
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
283
第 6 章 アセンブル / 逆アセンブルコマンド
6.2 DISASSEMBLE
So ft war e
6.2
S up po r t
M a nu al
DISASSEMBLE
指定されたメモリ位置の内容を逆アセンブル表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
○
■ 形式
DISASSEMBLE[{ 開始アドレス | アドレス範囲 }]
● パラメータ
開始アドレス ( アドレス式 )
逆アセンブルするメモリの先頭位置を指定します。
アドレス範囲 ( アドレス式 )
逆アセンブルするメモリの範囲を指定します。
■ 機能
表示は出力ウィンドウに行われます。
開始アドレスだけを指定した場合は , 16 行分表示します。
パラメータに "." だけを指定した場合は , 現在のプログラムカウンタのアドレスから表
示します。
パラメータ省略時は , 前回最後に表示した行の次の行から 16 行分表示します。
SET SOURCE コマンドの設定がソース行を付加するモードになっていれば , メモリ位
置がソース行に対応している場合は , そのソース行も表示します。
284
CM41-00314-9, July 31, 2015
第 6 章 アセンブル / 逆アセンブルコマンド
6.2 DISASSEMBLE
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>DISASSEMBLE F900C0..F900C8
F900C0 4200
MOV
A,#00
F900C2 6F13
MOV
USB,A
F900C4 4ADC0A
MOVW
A,#0ADC
F900C7 47
MOVW
SP,A
F900C8 648F02
CALL
\main
F90040 6F10
MOV
DTB,A
F90042 A80000
MOVW
RW0,#0000
F90045 4A0001
MOVW
A,#0100
F90048 4A7E04
MOVW
A,#047E
F9004B 6E06
MOVSI
DTB,ADB
F9004D 4200
MOV
A,#00
F9004F 6F10
MOV
DTB,A
F90051 A80000
MOVW
RW0,#0000
F90054 4A0001
MOVW
A,#0100
F90057 D0
MOVN
A,#0
F90058 6EC1
FILSI
DTB
F9005A 4200
MOV
A,#00
F9005C 6F10
MOV
DTB,A
F9005E A80000
MOVW
RW0,#0000
>DISASSEMBLE
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
July 31, 2015, CM41-00314-9
285
第 6 章 アセンブル / 逆アセンブルコマンド
6.2 DISASSEMBLE
So ft war e
286
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第7章
ロード / セーブコマンド
ロード / セーブコマンドについて説明します。
7.1 LOAD
7.2 SAVE
July 31, 2015, CM41-00314-9
287
第 7 章 ロード / セーブコマンド
7.1 LOAD
So ft war e
7.1
S up po r t
M a nu al
LOAD
指定したファイルをロードします。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
LOAD ファイル名 [ , アドレス ][ , ファイルオフセット [ , バイト数 ]]
● パラメータ
ファイル名
ロードしたいファイルのファイル名を指定します。
デフォルト拡張子は , コマンド修飾子によって異なります。
アドレス ( アドレス式 )
メモリイメージファイルをロードするメモリ位置を指定します。
コマンド修飾子が , "/BINARY" のときだけ有効です。
ほかの修飾子の場合は , 指定するとエラーとなります。
ファイルオフセット ( データ式 )
指定されたファイルの読み込み開始データのオフセットを指定します。
省略した場合は , ファイルの先頭からとなります。
コマンド修飾子が , "/BINARY" のときだけ有効です。
ほかの修飾子の場合は , 指定するとエラーとなります。
バイト数 ( データ式 )
指定したファイル名に対し , ロードするデータ数をバイト単位で指定します。
省略した場合は , すべてのデータを読み込みます。
コマンド修飾子が , "/BINARY" のときだけ有効です。
ほかの修飾子の場合は , 指定するとエラーとなります。
● コマンド修飾子
ファイル形式 , ロード時の動作 , データ長をそれぞれ指定します。
• ファイル形式の指定
288
CM41-00314-9, July 31, 2015
第 7 章 ロード / セーブコマンド
7.1 LOAD
S o ft w a r e
S u p p o r t
M a n u a l
/OBJECT ( 省略時のデフォルト )
ロードモジュールファイルをロードします。
デフォルトの拡張子は , ".abs" です。
/DEBUG
ロードモジュールファイル内のデバッグ情報だけをロードします。
デフォルト拡張子は , ".abs" です。
/BINARY
バイナリ形式のメモリイメージファイルをロードします。
デフォルト拡張子は , ".bin" です。
アドレスの指定は省略できません。
/COVERAGE ( エミュレータデバッガ限定 )
カバレッジデータファイルをロードします。デフォルト拡張子は ".cov" です。
/ALIAS
エイリアスファイル ( コマンド別名 , マクロ定義 ) をロードします。
デフォルト拡張子は , ".lst" です。
• ロード時の動作指定
/AUTOMATIC ( 省略時のデフォルト )
シミュレータデバッガの場合 , ロード時に自動的にマップ設定を行います。
この修飾子は "/OBJECT" または "/DEBUG" を指定した場合だけ指定できます。
/MANUAL
シミュレータデバッガの場合 , ロード時に自動的にマップ設定を行わないため , あ
ら か じ め S ET M A P コ マ ン ド で マ ッ プ 領 域 の 設 定 が 必 要 で す 。
この修飾子は "/OBJECT" または "/DEBUG" を指定した場合だけ指定できます。
/READ
シミュレータデバッガの場合 , AUTOMATIC 修飾子が有効な場合 , データセグメン
トの ROM 領域を /READ 属性として設定します。
この修飾子が省略されると /READ /CODE 属性が設定されます。
この修飾子は "/OBJECT" または "/DEBUG" を指定した場合だけ指定できます。
/SYNCHRONIZE
ターゲットファイルのロード直後にフラッシュメモリの同期を行います。
MB2100-01 使用時に "/OBJECT" または "/BINARY" を指定した場合だけ指定できま
す。
■ 機能
指定したファイルをロードします。次の 4 種類のファイルのロードができます。ファ
イル名の拡張子を省略すると , それぞれデフォルトの拡張子を付加してファイルを
オープンします。
• ロードモジュールファイル
リンカで作成した絶対形式オブジェクトファイルです。
• メモリイメージファイル
SAVE コマンドでセーブしたメモリイメージファイルです。
July 31, 2015, CM41-00314-9
289
第 7 章 ロード / セーブコマンド
7.1 LOAD
So ft war e
S up po r t
M a nu al
ロードする場合は , 必ずアドレスを指定してください。
• カバレッジデータファイル
SAVE コマンドでセーブしたカバレッジデータファイルです。
• エイリアスファイル
コマンド別名 , マクロ定義が格納されたファイルです。
ファイル名の拡張子を省略すると , それぞれデフォルトの拡張子を付加してファイ
ルをオープンします。
■ 例
>LOAD debug
>LOAD/BINARY data.bin, FE0000
<注意事項>
LOAD コマンドによりターゲットファイルをロードする場合 , セットアップウィザードの
「ロード前後のバッチファイル指定」で指定したバッチファイルは実行しません。
詳細は『SOFTUNE Workbench 操作マニュアル』の「4.7.2.5 セットアップウィザード」
を参照してください。
290
CM41-00314-9, July 31, 2015
第 7 章 ロード / セーブコマンド
7.2 SAVE
S o ft w a r e
7.2
S u p p o r t
M a n u a l
SAVE
コマンド修飾子を省略するか , または "/BINARY" の指定を行ったときは , 指定され
たメモリの内容をメモリイメージ ( データだけのバイナリ形式 ) でファイルにセーブ
します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SAVE ファイル名 [ ,{ アドレス範囲 | モジュール名 }]
● パラメータ
ファイル名
メモリの内容をセーブするファイル名を指定します。
ファイル名の拡張子を省略した場合は , 次の拡張子が付加されます。
- メモリイメージでセーブする場合
".bin"
- カバレッジデータをセーブする場合
".cov"
- カバレッジ測定結果を CSV 形式でセーブする場合
".csv"
- コマンド別名 , マクロ定義をセーブする場合
".lst"
アドレス範囲 ( アドレス式 )
セーブしたいメモリ領域を指定します。
コマンド修飾子が /BINARY の場合だけ有効です。
ほかの修飾子の場合は指定するとエラーとなります。
モジュール名
セーブしたいカバレッジ測定結果のモジュール名を指定します。
コマンド修飾子が /COVERAGE の場合だけ有効です。
省略した場合はモジュール全体のカバレッジ率をセーブします。
July 31, 2015, CM41-00314-9
291
第 7 章 ロード / セーブコマンド
7.2 SAVE
So ft war e
S up po r t
M a nu al
● コマンド修飾子
• ファイルの種類
/BINARY( 省略時のデフォルト )
メモリ内容をバイナリ形式でメモリイメージファイルへセーブします。
デフォルト拡張子は , ".bin" です。
アドレス範囲の指定は省略できません。
/COVERAGE
SET COVERAGE コマンドで指定された全領域のカバレッジデータをセーブしま
す。デフォルト拡張子は , ".cov" です。アドレス範囲の指定は無効です。
/ALIAS
コマンド別名定義 , マクロコマンド定義をエイリアスファイルにセーブします。
デフォルト拡張子は , ".lst" です。
アドレス範囲の指定は無効です。
• 保存形式
/CSV
CSV 形式でファイルをセーブします。
コマンド修飾子が /COVERAGE の場合だけ有効です。
■ 機能
コマンド修飾子を省略するか , または "/BINARY" の指定を行ったときは , 指定された
メモリの内容をメモリイメージ ( データだけのバイナリ形式 ) でファイルにセーブしま
す。
この場合 , アドレス範囲の指定は省略できません。
"/COVERAGE" を指定した場合は , SET COVERAGE で指定された全領域のカバレッジ
測定データをセーブします。
同時に "/csv" を指定すると , モジュールのカバレッジ測定結果を CSV 形式でセーブし
ます。
"/ALIAS" を指定した場合は , コマンド別名定義 , マクロコマンド定義をエイリアスファ
イルにセーブします。
■ 例
>SAVE memo.bin, 0..0fff
>SAVE/COVERAGE/CSV cov.csv, sample.c
292
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第8章
ソースファイル / シンボル
コマンド
ソースファイル / シンボルコマンドについて説明し
ます。
8.1 LIST
8.2 SET PATH
8.3 SHOW PATH
8.4 SHOW SCOPE
8.5 UP
8.6 DOWN
July 31, 2015, CM41-00314-9
293
第 8 章 ソースファイル / シンボルコマンド
8.1 LIST
So ft war e
8.1
S up po r t
M a nu al
LIST
指定した行番号に対応するソース行を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
LIST[{[ ファイル名 ] 行番号 [.. 行番号 ]| アドレス }]
● パラメータ
ファイル名
表示したいソースファイル名を指定します。
省略した場合は前回指定されたファイル名となります。
行番号
表示したいソースの行番号を指定します。
行番号の先頭には , 必ず $ を付けてください。
行番号を ".." で区切った場合は指定範囲のソースを表示します。
アドレス ( アドレス式 )
コード属性のメモリ位置を指定します。
メモリ位置に対応するソースを表示させたい場合に指定します。
■ 機能
指定した行番号に対応するソース行を表示します。
パラメータに "." だけを指定した場合は , 現在のプログラムカウンタに対応するソース
行から表示します。
パラメータ省略時は,プログラム実行等によりプログラムカウンタが書き換わった場合
は , 現在のプログラムカウンタに対応するソース行から表示します。
それ以外は , 前回最後に表示した行の次の行から 19 行分表示します。
294
CM41-00314-9, July 31, 2015
第 8 章 ソースファイル / シンボルコマンド
8.1 LIST
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>LIST PROGRAM.C$2..$3
2:
x = x+1 ;
3:
printf ("%d\n", x) ;
>LIST subdisp
30:
subdisp ( )
31:
{
32:
int i;
33:
34:
for (i = p; i
> = 1; i--)
35:
printf ( "data [%d] = %d \n", i, data [i] );
36:
.
.
.
>LIST.
53:
switch (*s) {
54:
case '0' : z = " "; return (z) ;
55:
case '1' : z = "a"; return (z) ;
56:
case '2' : z = "b"; return (z) ;
.
.
July 31, 2015, CM41-00314-9
295
第 8 章 ソースファイル / シンボルコマンド
8.2 SET PATH
So ft war e
8.2
S up po r t
M a nu al
SET PATH
ソースファイルを検索するときに使用するディレクトリを指定します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SET PATH[ ソース検索ディレクトリ名 [ , ... ]]
● パラメータ
ソース検索ディレクトリ名
ソースファイルを検索するディレクトリを指定します。
● コマンド修飾子
/APPEND
指定した検索ディレクトリ指定を現在の設定に追加します。
■ 機能
ソースファイルを検索するときに使用するディレクトリを指定します。
ソースファイルは指定された検索ディレクトリ順 ( 左から順番に ) で検索されます。
パラメータ省略時は , ソース検索ディレクトリ名が削除されます ( 検索ディレクトリを
カレントディレクトリとします )。
■ 例
>SET PATH A:\
296
CM41-00314-9, July 31, 2015
第 8 章 ソースファイル / シンボルコマンド
8.3 SHOW PATH
S o ft w a r e
8.3
S u p p o r t
M a n u a l
SHOW PATH
現在有効なソースファイル検索ディレクトリを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW PATH
■ 機能
現在有効なソースファイル検索ディレクトリを表示します。
■ 例
>SHOW PATH
source file search path = a:\
July 31, 2015, CM41-00314-9
297
第 8 章 ソースファイル / シンボルコマンド
8.4 SHOW SCOPE
So ft war e
8.4
S up po r t
M a nu al
SHOW SCOPE
現在のプログラムカウンタが指すメモリ位置が含まれるモジュール名および関数名
を表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SHOW SCOPE
■ 機能
現在のプログラムカウンタが指すメモリ位置が含まれるモジュール名および関数名を
表示します。
■ 例
>SHOW SCOPE
current scope = SIEVE\sub_main\
298
CM41-00314-9, July 31, 2015
第 8 章 ソースファイル / シンボルコマンド
8.5 UP
S o ft w a r e
8.5
S u p p o r t
M a n u a l
UP
親関数へスコープを移します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
UP
■ 機能
親関数へスコープを移します。
UP/DOWN の情報は , MCU 実行を行ったとき , RESET を行ったとき , プログラムカウ
ンタを変更したときにはクリアされます。
■ 例
>UP
Current Scope = demo\sort\
July 31, 2015, CM41-00314-9
299
第 8 章 ソースファイル / シンボルコマンド
8.6 DOWN
So ft war e
8.6
S up po r t
M a nu al
DOWN
子関数へスコープを移します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
DOWN
■ 機能
子関数へスコープを移します。
UP/DOWN の情報は , MCU 実行を行ったとき , RESET を行ったとき , プログラムカウ
ンタを変更したときにはクリアされます。
■ 例
>DOWN
Current Scope = demo\check\
300
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第9章
コマンドプロシージャ
コマンド
コマンドプロシージャコマンドについて説明する。
9.1 BATCH
9.2 QUIT
July 31, 2015, CM41-00314-9
301
第 9 章 コマンドプロシージャ コマンド
9.1 BATCH
So ft war e
9.1
S up po r t
M a nu al
BATCH
指定したコマンドプロシージャファイルに含まれているコマンドを実行します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
BATCH ファイル名 [ , 実パラメータ [ , ... ]]
● パラメータ
ファイル名
実行させたいコマンドプロシージャを記述してあるファイル名を指定します。
デフォルト拡張子は , ".prc" です。
実パラメータ
コマンドプロシージャに必要な実パラメータを指定します。
● コマンド修飾子
/ICON
コマンドプロシージャ実行時にデバッガをアイコン化して実行します。
コマンドプロシージャが終了すると元のサイズに戻ります。
■ 機能
指定したコマンドプロシージャファイルに含まれているコマンドを実行します。
バッチ処理のネスト ( プロシージャファイルコールのネスト ) は 8 レベルまでできます。
実パラメータは , 指定された順にコマンドプロシージャファイル中の仮パラメータ
"%P0 ~ %P9" と置き換えられます。
仮パラメータの数が指定された実パラメータの数よりも多い場合は,残りの仮パラメー
タは空文字列 ( ヌル ) に置き換えられます。
仮パラメータの数が指定された実パラメータの数よりも少ない場合は,残りのパラメー
タは無視されます。
また , 指定された実パラメータの数は , "%NP" で参照できます。
302
CM41-00314-9, July 31, 2015
第 9 章 コマンドプロシージャ コマンド
9.1 BATCH
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>BATCH TST.PRC, 0, 0FFF, BRK
July 31, 2015, CM41-00314-9
303
第 9 章 コマンドプロシージャ コマンド
9.2 QUIT
So ft war e
9.2
S up po r t
M a nu al
QUIT
コマンドプロシージャ実行中に実行された場合は , コマンドプロシージャ処理を終了
します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
QUIT
■ 機能
コマンドプロシージャ実行中に実行された場合は,コマンドプロシージャ処理を終了し
ます。
制御コマンドの中に書かれた場合は , 制御コマンドのすべてのループを抜け出します。
コマンド待ちで実行された場合は , 何も実行されません。
■ 例
コマンドプロシージャファイルの内容
IF %NP
< 2
QUIT
ENDIF
SET VARIABLE I = 0
SET VARIABLE ADDR = %P0
WHILE %I <%P1
SET MEMORY %ADDR = %I
SET VARIABLE I = %I+1
IF %ADD R == H'FFFFFF
QUIT
ELSE
SET VARIABLE ADDR = %ADDR+1
ENDIF
ENDW
304
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第 10 章
置き換えコマンド
置き換えコマンドについて説明します。
10.1 SET ALIAS
10.2 SHOW ALIAS
10.3 CANCEL ALIAS
10.4 SET VARIABLE
10.5 SHOW VARIABLE
10.6 CANCEL VARIABLE
July 31, 2015, CM41-00314-9
305
第 10 章 置き換えコマンド
10.1 SET ALIAS
So ft war e
10.1
S up po r t
M a nu al
SET ALIAS
コマンドの別名 ( コマンドエイリアス ) を定義します。
■ 対応デバッガ
◎
Simulator
Emulator
Monitor
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
■ 形式
SET ALIAS 別名 = コマンド文字列
● パラメータ
別名 ( 識別子 )
コマンドの別名を指定します。
コマンド文字列
別名で置き換えたいコマンド文字列 ( コマンド名 , コマンド修飾子およびパラメー
タ ) をダブルクォーテーション ( " ) で囲んで指定します。
■ 機能
コマンドの別名 ( コマンドエイリアス ) を定義します。
よく使うコマンドはコマンド別名を定義しておくと便利です。
コマンド別名のネストはできません。
コマンド別名定義の中に別のコマンド別名を含むことはできません。
■ 例
>SET ALIAS BP = "SET BREAK 00FF0300,3"
>SET ALIAS E = "ENTER"
>SET ALIAS R = "SHOW REGISTER"
306
CM41-00314-9, July 31, 2015
第 10 章 置き換えコマンド
10.2 SHOW ALIAS
S o ft w a r e
10.2
S u p p o r t
M a n u a l
SHOW ALIAS
定義されているコマンド別名リストを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
SHOW ALIAS
■ 機能
定義されているコマンド別名リストを表示します。
■ 例
>SHOW ALIAS
T
:
STEP
D
:
EXAMINE
PC
:
SHOW REGISTER PC
>
July 31, 2015, CM41-00314-9
307
第 10 章 置き換えコマンド
10.3 CANCEL ALIAS
So ft war e
10.3
S up po r t
M a nu al
CANCEL ALIAS
指定したコマンド文字列の別名 ( コマンドエイリアス ) を解除します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
CANCEL ALIAS[ 別名 [ , ... ]]
● パラメータ
別名 ( 識別子 )
解除したいコマンド別名を指定します。
● コマンド修飾子
/ALL
すべてのコマンド文字列の別名を解除します。
■ 機能
指定したコマンド文字列の別名 ( コマンドエイリアス ) を解除します。
■ 例
>CANCEL ALIAS BP
>
308
CM41-00314-9, July 31, 2015
第 10 章 置き換えコマンド
10.4 SET VARIABLE
S o ft w a r e
10.4
S u p p o r t
M a n u a l
SET VARIABLE
デバッグ変数を定義します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SET VARIABLE デバッグ変数名 = 置き換え文字列
● パラメータ
デバッグ変数名 ( 識別子 )
定義したいデバッグ変数を指定します。
置き換え文字列
デバッグ変数と置き換える文字列を指定します。
■ 機能
デバッグ変数を定義します。
定義されたデバッグ変数は , コマンド指定時のパラメータ部の一部として使用できま
す。
使用されたデバッグ変数は,このコマンドで定義された置き換え文字列にそのまま置き
換えられます。
デバッグ変数には , パラメータ部に指定できるものはすべて定義できます。
例えば , 文字列を定義する場合には文字列を , 式を定義する場合には式をそのまま定義
できます。
デバッグ変数は定義されたそのままの形で置き換えを行いますので,同一のデバッグ変
数を用いた定義 ( 例えばインクリメント等 ) では注意が必要です。
■ 例
>SET VARIABLE ADDR = 0309+12
>SET VARIABLE STR = "ABCDEF"
>SET MEMORY/STRING %ADDR = %STR(SET MEMORY/STRING 0309+12 = "ABCDE" に置き換えられます。)
>SET VARIABLE CNT = 1
>WHILE %CNT <5
*PRINTF "val [%d] = %d\n", %CNT, %CNT
*SET VARIABLE CNT = %EVAL (%CNT+1)(%EVAL 関数で CNT の設定文字列が限度を超えないようにしています。)
*ENDW
July 31, 2015, CM41-00314-9
309
第 10 章 置き換えコマンド
10.5 SHOW VARIABLE
So ft war e
10.5
S up po r t
M a nu al
SHOW VARIABLE
指定されたデバッグ変数の定義を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW VARIABLE [ デバッグ変数名 [ , ... ]]
● パラメータ
デバッグ変数名 ( 識別子 )
表示したいデバッグ変数名を指定します。
● コマンド修飾子
/ALL( 省略時のデフォルト )
すべてのデバッグ変数を表示します。
■ 機能
指定されたデバッグ変数の定義を表示します。
310
CM41-00314-9, July 31, 2015
第 10 章 置き換えコマンド
10.5 SHOW VARIABLE
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>SET VARIABLE CNT = 1
>WHILE %CNT <5
*SHOW VARIABLE CNT
*PRINTF "CNT = %d\n", %CNT
*SET VARIABLE CNT = %CNT+1
*ENDW
CNT : 1
CNT = 1
CNT : 1+1
CNT = 2
CNT : 1+1+1
CNT = 3
CNT : 1+1+1+1
CNT = 4
>
July 31, 2015, CM41-00314-9
311
第 10 章 置き換えコマンド
10.6 CANCEL VARIABLE
So ft war e
10.6
S up po r t
M a nu al
CANCEL VARIABLE
指定されたデバッグ変数を解除します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
CANCEL VARIABLE [ デバッグ変数名 [ , ... ]]
● パラメータ
デバッグ変数名 ( 識別子 )
解除したいデバッグ変数名を指定します。
● コマンド修飾子
/ALL
すべてのデバッグ変数を解除します。
■ 機能
指定されたデバッグ変数を解除します。
■ 例
>CANCEL VARIABLE CHKADR, X, Y
>
312
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第 11 章
ユーティリティコマンド
ユーティリティコマンドについて説明します。
11.1 SET LOGGING
11.2 SHOW LOGGING
11.3 CANCEL LOGGING
11.4 ENABLE LOGGING
11.5 DISABLE LOGGING
11.6 PRINTF
11.7 SET OUTPUT
11.8 SHOW OUTPUT
July 31, 2015, CM41-00314-9
313
第 11 章 ユーティリティコマンド
11.1 SET LOGGING
So ft war e
11.1
S up po r t
M a nu al
SET LOGGING
ロギングファイルをオープンし , ロギングを開始します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
SET LOGGING [ ファイル名 ]
● パラメータ
ファイル名
ログファイルのファイル名を指定します。
デフォルト拡張子は , ".LOG" です。
省略した場合 , ロギング対象ウィンドウによりそれぞれ以下のファイル名でロギン
グします。
- コマンドウィンドウ
:DEBUG.LOG
- ターミナルウィンドウ :TERMINAL.LOG
● コマンド修飾子
• ロギングファイル作成の指定
/OPEN( 省略時のデフォルト )
指定されたファイルを新規にオープンします。
/APPEND
指定されたファイルの最後からログの内容を追加するようにします。
• コマンドウィンドウのデータ取得形式の指定
/EXPANSION( 省略時のデフォルト )
コマンドリストとその結果をロギングします。
/TERMINALWINDOW 指定時は指定できません。
/UNEXPANSION
結果だけをロギングします。
/TERMINALWINDOW 指定時は指定できません。
314
CM41-00314-9, July 31, 2015
第 11 章 ユーティリティコマンド
11.1 SET LOGGING
S o ft w a r e
S u p p o r t
M a n u a l
/COMMAND
ユーザ入力だけをロギングします。
/TERMINALWINDOW 指定時は指定できません。
• ロギング対象ウィンドウの指定
/COMMANDWINDOW(省略時のデフォルト)
コマンドウィンドウのロギングを開始します。
/TERMINALWINDOW
ターミナルウィンドウのロギングを開始します。
MB2100-01 の場合だけ指定できます。
■ 機能
ロギングファイルをオープンし , ロギングを開始します。
コマンド修飾子 "/APPEND" を指定した場合は , 前のファイルの内容は失われません。
ロギングするデータを選択できます。
コマンド修飾子に "/COMMAND" を指定するとキー入力したものだけがロギングされ
るため , そのファイルをそのまま , コマンドプロシージャファイルとして用いることが
できます。MB2100-01 の場合 , ターミナルウィンドウのロギングもできます。
■ 例
>SET LOGGING filename.log
>
>SET LOGGING/COMMAND filename.log
【エミュレータデバッガ(MB2100-01)の場合】
>SET LOGGING /TERMINALWINDOW
July 31, 2015, CM41-00314-9
315
第 11 章 ユーティリティコマンド
11.2 SHOW LOGGING
So ft war e
11.2
S up po r t
M a nu al
SHOW LOGGING
ロギングの状態を表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
SHOW LOGGING
■ 機能
ロギングの状態を表示します。
MB2100-01 の場合 , ターミナルウィンドウのロギング状態も表示できます。
■ 例
>SHOW LOGGING
en/dis
:
ENABLE
logging file
:
logfile.log
logging data
:
EXPANSION
【エミュレータデバッガ(MB2100-01)の場合】
>SHOW LOGGING
316
window
:
COMMAND
en/dis
:
ENABLE
logging file
:
comandlog.log
logging data
:
EXPANSION
window
:
TERMINAL
en/dis
:
ENABLE
logging file
:
terminallog.log
CM41-00314-9, July 31, 2015
第 11 章 ユーティリティコマンド
11.3 CANCEL LOGGING
S o ft w a r e
11.3
S u p p o r t
M a n u a l
CANCEL LOGGING
ロギング設定を解除し , ロギングファイルをクローズします。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
CANCEL LOGGING
■ 機能
● コマンド修飾子
/COMMANDWINDOW(省略時のデフォルト)
コマンドウィンドウのロギング設定を解除し , ロギングファイルをクローズしま
す。
/TERMINALWINDOW
ターミナルウィンドウのロギング設定を解除し , ロギングファイルをクローズしま
す。
MB2100-01 の場合だけ指定できます。
ロギング設定を解除し , ロギングファイルをクローズします。
■ 例
>CANCEL LOGGING
【エミュレータデバッガ(MB2100-01)の場合】
CANCEL LOGGING /TERMINALWINDOW
July 31, 2015, CM41-00314-9
317
第 11 章 ユーティリティコマンド
11.4 ENABLE LOGGING
So ft war e
11.4
S up po r t
M a nu al
ENABLE LOGGING
ロギングを有効にします。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
ENABLE LOGGING
■ 機能
● コマンド修飾子
/COMMANDWINDOW(省略時のデフォルト)
コマンドウィンドウのロギングを有効にします。
/TERMINALWINDOW
ターミナルウィンドウのロギングを有効にします。
MB2100-01 の場合だけ指定できます。
ロギングを有効にします。
■ 例
>ENABLE LOGGING
【エミュレータデバッガ(MB2100-01)の場合】
ENABLE LOGGING /TERMINALWINDOW
318
CM41-00314-9, July 31, 2015
第 11 章 ユーティリティコマンド
11.5 DISABLE LOGGING
S o ft w a r e
11.5
S u p p o r t
M a n u a l
DISABLE LOGGING
ロギングを無効にします。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
DISABLE LOGGING
■ 機能
● コマンド修飾子
/COMMANDWINDOW(省略時のデフォルト)
コマンドウィンドウのロギングを無効にします。
/TERMINALWINDOW
ターミナルウィンドウのロギングを無効にします。
MB2100-01 の場合だけ指定できます。
ロギングを無効にします。
ENABLE LOGGING コマンドで再度有効にすることができます。
■ 例
>DISABLE LOGGING
【エミュレータデバッガ(MB2100-01)の場合】
DISABLE LOGGING /TERMINALWINDOW
July 31, 2015, CM41-00314-9
319
第 11 章 ユーティリティコマンド
11.6 PRINTF
So ft war e
11.6
S up po r t
M a nu al
PRINTF
指定された文字列や , 指定された書式での式の値を画面上に表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
PRINTF " 書式制御文字列 " [ , 式 [ , ... ]]
● パラメータ
書式制御文字列
画面上に表示する文字列と , 式の値を表示するための書式を指定します。
書式指定は , ダブルクォーテーション ( " ) で囲みます。
"% [flag] [width] [.precision] [l] type"
%
書式指定を行って表示したい場合に指定します。
% 記号の後ろに書式指定でない文字が続く場合には , その文字を表示します。
flag
表示を右詰めにするか , 左詰めにするか , あるいは 8 進数 , 16 進数を表す o, 0x,
0X の出力制御を指定します。
省略した場合は右詰めで表示します。
変換表示形式 (type) が , b または f の場合は無効です。
-
:
# :
左詰め
変換表示形式が , o, x, X の場合に数値の前にそれぞれ 0, 0x, 0X を付けて表
示します。
320
CM41-00314-9, July 31, 2015
第 11 章 ユーティリティコマンド
11.6 PRINTF
S o ft w a r e
S u p p o r t
M a n u a l
width
出力する整数の最小桁数を指定します。
変換結果が指定した桁数より小さい場合には ,その桁数になるように空白が補わ
れます。
右詰め表示で 0 を補いたい場合には , 先頭に 0 をつけて桁数を指定します。
変換表示形式 (type) が , b または f の場合は無効です。
precision
出力する整数の最小桁数を指定します。
変換結果が指定した桁数より小さい場合には , その桁数になるように 0 が補われ
ます。
変換表示形式 (type) が , b または f の場合は無効です。
l
変換表示形式 (type) が , d, u, o, x, X の場合に言語式の値を long, unsigned long 型
とみなして表示するかを指定します。
l 指定しなかった場合は , 式の値を short, unsigned short 型とみなします。
type
式の値の変換表示形式での指示をします。
d :
符号付きの 10 進数
u :
符号なしの 10 進数
o :
符号なしの 8 進数
x :
符号なしの 16 進数 (10 ~ 15 を abcdef と小文字で表示します。)
X :
符号なしの 16 進数 (10 ~ 15 を ABCDEF と大文字で表示します。)
c :
1 文字
b :
符号なしの 2 進数
s
文字列(アドレス指定だけ有効です。最大文字数は128バイトまでです。)
:
式
表示したい式を記述します。
■ 機能
指定された文字列や , 指定された書式での式の値を画面上に表示します。
■ 例
>PRINTF "ABC = %d\n", datflg
ABC = 3
July 31, 2015, CM41-00314-9
321
第 11 章 ユーティリティコマンド
11.7 SET OUTPUT
So ft war e
11.7
S up po r t
M a nu al
SET OUTPUT
ユーザプログラムが停止した場合 , PC が示す位置のデバッグ情報に従ってソース
ウィンドウを開きます。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
SET OUTPUT
● コマンド修飾子
/SOURCE( 省略時のデフォルト )
ソースウィンドウが開かれるとき , ファイルが存在しない場合でもソースウィンド
ウを混在モードで開きます。
/INSTRUCTION
ソースウィンドウが開かれるとき , ファイルが存在しない場合 , 逆アセンブルウィ
ンドウを開きます。
■ 機能
ユーザプログラムが停止した場合 , PC が示す位置のデバッグ情報に従ってソースウィ
ンドウを開きます。
このとき , 目的のソースファイルが見つからない場合の動作を指定します。
■ 例
>SET OUTPUT
322
/SOURCE
CM41-00314-9, July 31, 2015
第 11 章 ユーティリティコマンド
11.8 SHOW OUTPUT
S o ft w a r e
11.8
S u p p o r t
M a n u a l
SHOW OUTPUT
SET OUTPUT コマンドで設定した表示モードを表示します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
SHOW OUTPUT
■ 機能
SET OUTPUT コマンドで設定した表示モードを表示します。
■ 例
>SHOW OUTPUT
source mode : source
July 31, 2015, CM41-00314-9
323
第 11 章 ユーティリティコマンド
11.8 SHOW OUTPUT
So ft war e
324
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第 12 章
タスクデバッグコマンド
タスクデバッグコマンドについて説明します。
12.1 SYSTEMCALL
12.2 SHOW OBJECT
July 31, 2015, CM41-00314-9
325
第 12 章 タスクデバッグコマンド
12.1 SYSTEMCALL
So ft war e
12.1
S up po r t
M a nu al
SYSTEMCALL
指定されたシステムコール関数を呼び出します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SYSTEMCALL システムコール関数 ( 引数 [ , 引数 , ... ])
● パラメータ
システムコール関数
呼び出すシステムコール関数名または , 番号を指定します。
引数
システムコール関数に送る引数を指定します。
複数指定する場合は , ' , ' で区切って指定します。
■ 機能
指定されたシステムコール関数を呼び出します。
以下に , 指定できるシステムコール関数と引数を示します。
(1) STA_TSK (Task ID, TaskStartCode)
(2) TER_TSK (Task ID)
(3) CHG_PRI (Task ID, TaskPriority)
(4) SUS_TSK (Task ID)
(5) RSM_TSK (Task ID)
(6) FRSM_TSK (Task ID)
(7) WUP_TSK (Task ID)
(8) CAN_WUP (WakeupCountAddress, Task ID)
(9) SIG_SEM (Semaphore ID)
(10) PREQ_SEM (Semaphore ID)
(11) SET_FLG (EventFlag ID)
(12) CLR_FLG (EventFlag ID)
326
CM41-00314-9, July 31, 2015
第 12 章 タスクデバッグコマンド
12.1 SYSTEMCALL
S o ft w a r e
S u p p o r t
M a n u a l
(13) SND_MSG (MailBox ID, PacketAddress of Message)
(14) PRCV_MSG (PacketAddress of Message, MailBox ID)
(15) PGET_BLK (MemoryBlock Address, MemoryPool ID, MemoryBlockSize)
(16) REL_BLK (MemoryPool ID, MemoryBlockAddres)
(17) ACT_CYC (CyclicHandlerID, Status)
<注意事項>
CAN_WUP, PRCV_MSG, PGET_BLK の第 1 引数は省略できます。引数を入力しても結
果には影響しません。
■ 例
>SYSTEMCALL STA_TSK (1, 5)
E_OK
July 31, 2015, CM41-00314-9
327
第 12 章 タスクデバッグコマンド
12.2 SHOW OBJECT
So ft war e
12.2
S up po r t
M a nu al
SHOW OBJECT
指定されたオブジェクトの内容を表示します。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
Monitor
○
■ 形式
SHOW OBJECT[ オブジェクト番号 ]
● パラメータ
オブジェクト番号 (/TSK, /SEM, /FLG, /MBX, /MPL, /CYC, /ALM だけ )
表示するオブジェクト番号を指定します。
省略時は , 全オブジェクトの概要を表示します。
● コマンド修飾子
• オブジェクト指定
/TSK
タスク内容を表示します。
/SEM
セマフォ内容を表示します。
/FLG
イベントフラグ内容を表示します。
/MBX
メイルボックス内容を表示します。
/MPL
メモリプール内容を表示します。
/CYC
サイクリックハンドラ内容を表示します。
/ALM
アラームハンドラ内容を表示します。
328
CM41-00314-9, July 31, 2015
第 12 章 タスクデバッグコマンド
12.2 SHOW OBJECT
S o ft w a r e
S u p p o r t
M a n u a l
/RDYQ
レディキュー内容を表示します。
/ALMQ
アラームキュー内容を表示します。
/TMRQ
タイマ待ちキュー内容を表示します。
■ 機能
指定されたオブジェクトの内容を表示します。
■ 例
>SHOW OBJECT/TSK 1
[tskid]
0001
[tskpri]
0001
[status]
TTS_RDY
[wupcnt]
0000
[stack]
0051661c
July 31, 2015, CM41-00314-9
[tcbadr]
[itskpri]
0051413c
0001
[exinf]
[suscnt]
[stkarea]
0000
[tmocnt]
0051656c..0051666b
00000001
ffffffff
329
第 12 章 タスクデバッグコマンド
12.2 SHOW OBJECT
So ft war e
330
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第 13 章
制御コマンド
制御コマンドについて説明します。
13.1 IF
13.2 REPEAT
13.3 WHILE
13.4 BREAK
July 31, 2015, CM41-00314-9
331
第 13 章 制御コマンド
13.1 IF
So ft war e
13.1
S up po r t
M a nu al
IF
式が真と評価される場合は , IF の直後のコマンドリストを実行し , 偽と評価される場
合は , ELSE の次に指定したコマンドリストを実行します。
■ 対応デバッガ
◎
Simulator
Emulator
Monitor
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
■ 形式
IF 式
コマンドリスト
[ELSEIF 式
コマンドリスト ]
[ELSE
コマンドリスト ]
ENDIF
● パラメータ
式
指定されたコマンドリストの実行条件式を指定します。
コマンドリスト
実行させたいコマンドを指定します。
■ 機能
式が真と評価される場合は , IF の直後のコマンドリストを実行し , 偽と評価される場合
は , ELSE の次に指定したコマンドリストを実行します。
ELSE を省略した場合は , 式が偽なら何も実行しません。
制御コマンドは , マクロまたは , バッチからだけ使用できます。
332
CM41-00314-9, July 31, 2015
第 13 章 制御コマンド
13.1 IF
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
IF %R0 == 0
print "OK!!"
else
print "NG!!"
endif
July 31, 2015, CM41-00314-9
333
第 13 章 制御コマンド
13.2 REPEAT
So ft war e
13.2
S up po r t
M a nu al
REPEAT
コマンドリストで指定したコマンドリストを実行後 , UNTIL の式を評価します。偽
と評価される間 , コマンドリストの実行を繰り返します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
REPEAT
コマンドリスト
UNTIL 式
● パラメータ
コマンドリスト
実行させたいコマンドを指定します。
式
指定されたコマンドリストの実行条件式を指定します。
■ 機能
コマンドリストで指定したコマンドリストを実行後 , UNTIL の式を評価します。偽と
評価される間 , コマンドリストの実行を繰り返します。
制御コマンドは , マクロまたは , バッチからだけ使用できます。
■ 例
REPEAT
STEP
UNTIL
334
%PC == main
CM41-00314-9, July 31, 2015
第 13 章 制御コマンド
13.3 WHILE
S o ft w a r e
13.3
S u p p o r t
M a n u a l
WHILE
指定した式が真と評価される間 , コマンドリストの実行を繰り返します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
WHILE 式
コマンドリスト
ENDW
● パラメータ
式
指定されたコマンドリストの実行条件式を指定します。
コマンドリスト
実行させたいコマンドを指定します。
■ 機能
指定した式が真と評価される間 , コマンドリストの実行を繰り返します。
制御コマンドは , マクロまたは , バッチからだけ使用できます。
■ 例
WHILE %PC ! = function
STEP
ENDW
July 31, 2015, CM41-00314-9
335
第 13 章 制御コマンド
13.4 BREAK
So ft war e
13.4
S up po r t
M a nu al
BREAK
制御構造を脱出します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
BREAK
■ 機能
制御構造を脱出します。
REPEAT コマンドおよび , WHILE コマンドのコマンドリスト内だけ有効です。
制御コマンドは , マクロまたは , バッチからだけ使用できます。
■ 例
WHILE
1
if %PC == main
BREAK
ENDIF
STEP
ENDW
336
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
第 14 章
組込み変数 / 関数
組込み変数 / 関数について説明します。
14.1 %CALL
14.2 %ERRNUM
14.3 %ENTRY
14.4 %STKTOP
14.5 %RADIX
14.6 %SCPADR
14.7 %LOADNUM
14.8 %BIT, %B, %W, %L, %S, %D
14.9 %STRGET
14.10 %STRSTR
14.11 %STRCMP
14.12 %STRLEN
14.13 %STRCAT
14.14 %SYMLEN
14.15 %TOVAL
14.16 %TOSTR
14.17 %EVAL
14.18 %EVENTNUM
14.19 %TRIGGERNUM
14.20 %PFMEVENTNUM
14.21 %DEBUGFUNCTION
14.22 %GET_CORESTATE
14.23 %GET_TRACESTATE
14.24 %GET_EVENTSTATE
14.25 %GET_PERFORMANCESTATE
July 31, 2015, CM41-00314-9
337
第 14 章 組込み変数 / 関数
14.1 %CALL
So ft war e
14.1
S up po r t
M a nu al
%CALL
最後に実行した CALL コマンドの復帰値に置き換わります。
■ 対応デバッガ
○
Simulator
Emulator
(MB2141)
○
(MB2147-01)
○
(MB2147-05)
○
(MB2198)
○
(MB2100-01)
○
○
Monitor
■ 形式
%CALL
■ 機能
最後に実行したCALLコマンドの復帰値に置き換わります。関数の復帰値がvoid, double
の場合は 0 を返します。
■ 例
>CALL
func( 100,200)
return value is H'40
>ENTER val=%CALL+0x80
338
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.2 %ERRNUM
S o ft w a r e
14.2
S u p p o r t
M a n u a l
%ERRNUM
コマンドウィンドウから実行した最後に実行したエラー番号に置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%ERRNUM
■ 機能
コマンドウィンドウから実行した最後に実行したエラー番号に置き換わります。
0 の場合はエラーがないことを表します。
■ 例
>PRINTF "ERROR NO. =%d\n", %ERRNUM
ERROR NO. = 5
July 31, 2015, CM41-00314-9
339
第 14 章 組込み変数 / 関数
14.3 %ENTRY
So ft war e
14.3
S up po r t
M a nu al
%ENTRY
ロードされているロードモジュールの実行開始アドレスに置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%ENTRY
■ 機能
ロードされているロードモジュールの実行開始アドレスに置き換わります。
実行開始のエントリがない場合は , 0 になります。
■ 例
>PRINTF "ENTRY = 0x%X\n", %ENTRY
ENTRY = 0x10000
340
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.4 %STKTOP
S o ft w a r e
14.4
S u p p o r t
M a n u a l
%STKTOP
ロードされているロードモジュールのスタック領域の先頭アドレスに置き換わりま
す。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%STKTOP
■ 機能
スタック領域がない場合は , 0 になります。
■ 例
>PRINTF "STACK = 0x%X\n", %STKTOP
STACK = 0x80000
July 31, 2015, CM41-00314-9
341
第 14 章 組込み変数 / 関数
14.5 %RADIX
So ft war e
14.5
S up po r t
M a nu al
%RADIX
現在設定されている基数 ("BINARY", "OCTAL", "DECIMAL", "HEXADECIMAL") に
置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%RADIX
■ 機能
現在設定されている基数 ("BINARY", "OCTAL", "DECIMAL", "HEXADECIMAL") に置
き換わります。
■ 例
>PRINTF " 基数 = "
>PRINTF %TOSTR(%RADIX)
基数 = HEXADECIMAL
342
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.6 %SCPADR
S o ft w a r e
14.6
S u p p o r t
M a n u a l
%SCPADR
現在のスコープアドレスに置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%SCPADR
■ 機能
現在のスコープアドレスに置き換わります。
■ 例
>PRINTF " スコープ = 0x%X\n", %SCPADR
スコープ = 0x18300
July 31, 2015, CM41-00314-9
343
第 14 章 組込み変数 / 関数
14.7 %LOADNUM
So ft war e
14.7
S up po r t
M a nu al
%LOADNUM
最後にロードしたバイナリファイルのサイズに置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%LOADNUM
■ 機能
最後にロードしたバイナリファイルのサイズに置き換わります。
■ 例
>PRINTF " バイト数 = %d\n", %LOADNUM
バイト数 = 584
344
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.8 %BIT, %B, %W, %L, %S, %D
S o ft w a r e
14.8
S u p p o r t
M a n u a l
%BIT, %B, %W, %L, %S, %D
指定アドレスのメモリを次のサイズで読み出し , その内容に置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
○
Monitor
■ 形式
%BIT( アドレス )
%B( アドレス )
%W( アドレス )
%L( アドレス )
%S( アドレス )
%D( アドレス )
● パラメータ
アドレス
メモリ内容を読み出すアドレスを指定します。
■ 機能
指定アドレスのメモリを次のサイズで読み出し , その内容に置き換わります。
%BIT
: ビットデータ
%B
: バイト (8 ビット ) データ
%W:
: ワード (16 ビット ) データ
%L
: ロングワード (32 ビット ) データ
%S
: 単精度浮動小数点数データ
%D
: 倍精度浮動小数点数データ
■ 例
>PRINTF "10000 = 0x%X\n", %W(10000)
10000 = 0xAABBAACC
July 31, 2015, CM41-00314-9
345
第 14 章 組込み変数 / 関数
14.8 %BIT, %B, %W, %L, %S, %D
So ft war e
S up po r t
M a nu al
<注意事項>
エミュレータデバッガ (MB2100-01) において,ユーザプログラム実行中には本コマンド
で汎用レジスタ (GPR) 領域 [0x000180 ~ 0x00037F] へアクセスしないでください。
346
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.9 %STRGET
S o ft w a r e
14.9
S u p p o r t
M a n u a l
%STRGET
文字列中の指定位置から指定文字数分の文字列に置き換わります。
■ 対応デバッガ
◎
Simulator
Emulator
Monitor
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
■ 形式
%STRGET( 文字列 , 文字位置 , 文字数 )
● パラメータ
文字列
対象となる文字列を指定します。
文字位置
切り出す文字位置を指定します ( 先頭からの何文字目か )。
文字数
切り出す文字数を指定します。
■ 機能
文字列中の指定位置から指定文字数分の文字列に置き換わります。
■ 例
>PRINTF %TOSTR(%STRGET("abcdefghijklmn", 3, 4) )
cdef
July 31, 2015, CM41-00314-9
347
第 14 章 組込み変数 / 関数
14.10 %STRSTR
So ft war e
14.10
S up po r t
M a nu al
%STRSTR
文字列 1 の中に文字列 2 が含まれるかを検索します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%STRSTR( 文字列 1, 文字列 2)
● パラメータ
文字列 1
対象となる文字列を指定します。
文字列 2
検索する文字列を指定します。
■ 機能
文字列 1 の中に文字列 2 が含まれるかを検索します。
含まれている場合は , 文字列 1 の文字位置に置き換わります。
含まれていない場合は , 0 となります。
■ 例
>PRINTF "%d\n", %STRSTR("abcdefghijklmn", "fg")
6
348
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.11 %STRCMP
S o ft w a r e
14.11
S u p p o r t
M a n u a l
%STRCMP
文字列 1 と文字列 2 を比較します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%STRCMP( 文字列 1, 文字列 2)
● パラメータ
文字列 1, 文字列 2
比較する文字列を指定します。
■ 機能
文字列 1 と文字列 2 を比較します。
一致している場合は , 0 となり , 一致していない場合は , 1 となります。
■ 例
>PRINTF "%d\n", %STRCMP("abcde", "fg")
1
>PRINTF "%d\n", %STRCMP("abcde", "abcde")
0
July 31, 2015, CM41-00314-9
349
第 14 章 組込み変数 / 関数
14.12 %STRLEN
So ft war e
14.12
S up po r t
M a nu al
%STRLEN
文字列の文字数に置き換えます。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%STRLEN( 文字列 )
● パラメータ
文字列
対象となる文字列を指定します。
■ 機能
文字列の文字数に置き換えます。
■ 例
>PRINTF "%d\n", %STRLEN("abcde")
5
350
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.13 %STRCAT
S o ft w a r e
14.13
S u p p o r t
M a n u a l
%STRCAT
文字列 1 と文字列 2 を結合し , その文字列に置き換えます。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%STRCAT( 文字列 1, 文字列 2)
● パラメータ
文字列 1, 文字列 2
結合する文字列を指定します。
■ 機能
文字列 1 と文字列 2 を結合し , その文字列に置き換えます。
■ 例
>PRINTF %TOSTR(%STRCAT ("abcde", "fg") )
abcdefg
July 31, 2015, CM41-00314-9
351
第 14 章 組込み変数 / 関数
14.14 %SYMLEN
So ft war e
14.14
S up po r t
M a nu al
%SYMLEN
指定されたシンボルの大きさを返します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%SYMLEN( シンボル名 )
● パラメータ
シンボル名
シンボルを指定します。
■ 機能
指定されたシンボルの大きさを返します。
■ 例
>PRINTF "%d\n", %SYMLEN("abcde")
2
352
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.15 %TOVAL
S o ft w a r e
14.15
S u p p o r t
M a n u a l
%TOVAL
指定された文字列の両端にあるダブルクォーテーション ( " ) を削除します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%TOVAL( 文字列 )
● パラメータ
文字列
文字列を指定します。
■ 機能
指定された文字列の両端にあるダブルクォーテーション ( " ) を削除します。
文字列以外のパラメータしか記述できない部分に,ダブルクォーテーション内の文字列
を指定する場合に使用します。
■ 例
>SET BREAK %TOVAL("main")
July 31, 2015, CM41-00314-9
353
第 14 章 組込み変数 / 関数
14.16 %TOSTR
So ft war e
14.16
S up po r t
M a nu al
%TOSTR
指定された文字列をダブルクォーテーション ( " ) で括ります。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%TOSTR ( 文字列 )
● パラメータ
文字列
パラメータに指定できるすべてのものが指定できます。
■ 機能
指定された文字列をダブルクォーテーション ( " ) で括ります。
文字列だけのパラメータしか記述できない部分に,指定した文字列を指定する場合に使
用します。
■ 例
>PRINTF %TOSTR(main)
main
354
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.17 %EVAL
S o ft w a r e
14.17
S u p p o r t
M a n u a l
%EVAL
指定された式を評価します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%EVAL( 式 )
● パラメータ
式
評価する式を指定します。
■ 機能
指定された式を評価します。
■ 例
>PRINTF "%d\n", %EVAL(10+20+30)
60
July 31, 2015, CM41-00314-9
355
第 14 章 組込み変数 / 関数
14.18 %EVENTNUM
So ft war e
14.18
S up po r t
M a nu al
%EVENTNUM
指定したアドレスに設定したイベントの番号を取得します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%EVENTNUM( アドレス )
● パラメータ
アドレス
自動的に設定されたイベント番号を読み出すアドレスを指定します。
■ 機能
【MB2147-01 の場合】
SET EVENT で設定したイベント番号を取得します。
以下コマンドのパラメータにも使用できます。
SET SEQUENCE
SHOW EVENT
CANCEL EVENT
ENABLE EVENT
DISABLE EVENT
【MB2100-01 の場合】
SET EVENT /SEQUENCE で設定したイベント番号を取得します。
以下コマンドのパラメータにも使用できます。
SET SEQUENCE
SHOW EVENT /SEQUENCE
CANCEL EVENT /SEQUENCE
356
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.18 %EVENTNUM
S o ft w a r e
S u p p o r t
M a n u a l
■ 例
>PRINTF "event no : %d\n", %EVENTNUM(F0000)
event no : 1
<注意事項>
デバッガの種類にかかわらず , 以下の場合は 0 が返ります。
• 無効なアドレスを指定
• イベントが設定されていないアドレスを指定
• イベント機能が存在しない
July 31, 2015, CM41-00314-9
357
第 14 章 組込み変数 / 関数
14.19 %TRIGGERNUM
So ft war e
14.19
S up po r t
M a nu al
%TRIGGERNUM
指定したアドレスに設定したトレーストリガの番号を取得します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%TRIGGERNUM( アドレス )
● パラメータ
アドレス
自動的に設定されたトレーストリガ番号を読み出すアドレスを指定します。
■ 機能
SET TRACETRIGGER で設定したトレーストリガの番号を取得します。
以下コマンドのパラメータにも使用できます。
SHOW TRACETRIGGER
CANCEL TRACETRIGGER
■ 例
>PRINTF "trace trigger no : %d\n", %TRIGGERNUM(F0000)
trace trigger no : 2
<注意事項>
デバッガの種類にかかわらず , 以下の場合は 0 が返ります。
• 無効なアドレスを指定
• トレーストリガが設定されていないアドレスを指定
• トレーストリガ機能が存在しない
358
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.20 %PFMEVENTNUM
S o ft w a r e
14.20
S u p p o r t
M a n u a l
%PFMEVENTNUM
指定したアドレスに設定したパフォーマンストリガの番号を取得します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%PFMEVENTNUM ( アドレス )
● パラメータ
アドレス
自動的に設定されたパフォーマンストリガ番号を読み出すアドレスを指定します。
■ 機能
SET EVENT /PERFORMANCE で設定したパフォーマンストリガの番号を取得します。
1 ~ 8 の場合はコードイベントを , 9 ~ 12 の場合はデータイベントを表します。
パフォーマンストリガが設定されていないアドレスを指定した場合は 0 を返します。
以下コマンドのパラメータにも使用できます。
SET PERFORMANCE
SHOW EVENT /PERFORMANCE
CANCEL EVENT /PERFORMANCE
■ 例
>PRINTF "performance event no : %d\n", %PFMEVENTNUM (F0000)
performance event no : 1
<注意事項>
以下の場合は "0" が返ります。
• 無効なアドレスを指定している
• パフォーマンストリガが設定されていないアドレスを指定している
• MB2100-01 以外のエミュレータを使用中である
July 31, 2015, CM41-00314-9
359
第 14 章 組込み変数 / 関数
14.21 %DEBUGFUNCTION
So ft war e
14.21
S up po r t
M a nu al
%DEBUGFUNCTION
現在のデバッグ機能番号に置き換わります。
■ 対応デバッガ
×
Simulator
Emulator
(MB2141)
×
(MB2147-01)
◎
(MB2147-05)
×
(MB2198)
×
(MB2100-01)
×
Monitor
×
■ 形式
%DEBUGFUNCTION
■ 機能
現在のデバッグ機能番号に置き換わります。
■ 例
>PRINTF "debug function : %d\n", %DEBUGFUNCTION
debug function : 0
360
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.22 %GET_CORESTATE
S o ft w a r e
14.22
S u p p o r t
M a n u a l
%GET_CORESTATE
コアの実行状態を取得する組込み変数を実装します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%GET_CORESTATE
■ 機能
コアの実行状態を取得します。
実行中の場合は 1, ブレーク中の場合は 0 になります。
■ 例
> PRINTF " CORE_STATUS : %d\n", %GET_CORESTATE
CORE_STATUS : 0
July 31, 2015, CM41-00314-9
361
第 14 章 組込み変数 / 関数
14.23 %GET_TRACESTATE
So ft war e
14.23
S up po r t
M a nu al
%GET_TRACESTATE
トレースの取得状態を取得する組込み変数を実装します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%GET_TRACESTATE
■ 機能
トレースの取得状態を取得します。
• トレース取得中の場合
:1
• 停止中の場合
:0
• 取得状態を取得できない場合
: -1
■ 例
> PRINTF " TRACE_STATUS : %d\n", %GET_TRACESTATE
TRACE_STATUS : 0
362
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.24 %GET_EVENTSTATE
S o ft w a r e
14.24
S u p p o r t
M a n u a l
%GET_EVENTSTATE
コードイベントとデータイベントのヒット情報を取得します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
◎
Monitor
■ 形式
%GET_EVENTSTATE
■ 機能
設定してあるコードイベントとデータイベントのヒット情報を取得します。
イベントにヒットしていない場合
:0
イベントにヒットした場合
:1
どのイベントにヒットしたかは以下のビット割り当てにより確認できます。
Bit0 ~ bit7
:コードイベント(1 ~ 8)
Bit 8 ~ bit15
:データイベント(9 ~ 16)
Bit 16 ~
:リザーブビット
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
データイベント
bit
イベント番号
コードイベント
ヒットしたイベント番号がどの機能に使用されているかは SHOW EVENT コマンド
(「3.19 SHOW EVENT」参照)にて確認してください。
■ 例
設定したパフォーマンスイベントがヒットしたかどうかを確認する場合
> IF ((1<<(%PFMEVENTNUM (F0000) - 1)) & %GET_EVENTSTATE) != 0
> PRINTF "performance event hit\n"
> ENDIF
July 31, 2015, CM41-00314-9
363
第 14 章 組込み変数 / 関数
14.24 %GET_EVENTSTATE
So ft war e
S up po r t
M a nu al
<注意事項>
• リザーブビットの値は不定値が入ります。
• イベントのヒット状態にかかわらず , 以下の場合にも "0" が返ります。
- MB2100-01 以外のエミュレータを使用中である
- プログラムがブレーク中である
- ヒット情報が取得できない
364
CM41-00314-9, July 31, 2015
第 14 章 組込み変数 / 関数
14.25 %GET_PERFORMANCESTATE
S o ft w a r e
14.25
S u p p o r t
M a n u a l
%GET_PERFORMANCESTATE
パフォーマンスの測定回数が最大に達しているかの状態を取得します。
■ 対応デバッガ
◎
Simulator
Emulator
(MB2141)
◎
(MB2147-01)
◎
(MB2147-05)
◎
(MB2198)
◎
(MB2100-01)
◎
Monitor
◎
■ 形式
%GET_PERFORMANCESTATE
■ 機能
パフォーマンスの測定回数が最大に達しているかの状態を取得します。測定回数が最
大に達していない場合は , 継続してパフォーマンス測定が可能であることを示します。
状態により以下の値を返します。
1 :パフォーマンス測定継続中
0 :パフォーマンス測定終了
-1:測定状態を取得できない
■ 例
> PRINTF " PERFORMANCE_STATUS : %d\n", %GET_PERFORMANCESTATE
PERFORMANCE_STATUS : 1
July 31, 2015, CM41-00314-9
365
第 14 章 組込み変数 / 関数
14.25 %GET_PERFORMANCESTATE
So ft war e
366
S up po r t
M a nu al
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
付録
マネージャ関係およびデバッガ関係の , メッセージ
を掲載します。
付録 A マネージャ関係のエラーメッセージ
付録 B デバッガ関係のエラーメッセージ
付録 C デバッガ中断メッセージ
付録 D 主な変更内容
July 31, 2015, CM41-00314-9
367
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
付録 A
S up po r t
M a nu al
マネージャ関係のエラーメッセージ
マネージャ関係のエラーメッセージを以下に示します。
■ マネージャ関係のエラーメッセージ
E4002W
メモリ不足です。
Insufficient memory.
【内容】システムのメモリが足りなくなりました。
【対処】ほかのプログラムを終了してから実行してください。
E4011W
レジストリに登録できませんでした。
Registration not possible.
【内容】システムのレジストリに書き込めませんでした。
【対処】ほかのプログラムを終了してから実行してください。
E4012W
関数の呼び出しに失敗しました。EXE ファイルのバージョンが古い可能性がありま
す。
Function call failed. Exe file is old.
【内容】プログラムファイルと DLL ファイルのバージョンが合っていません。
【対処】SOFTUNE Workbench の最新版を再インストールしてください。
E4013W
関数の呼び出しに失敗しました。DLL のバージョンが古い可能性があります。
Failed function call. DLL file is old.
【内容】プログラムファイルと DLL ファイルのバージョンが合っていません。
【対処】SOFTUNE Workbench の最新版を再インストールしてください。
E4020W
CPU 情報ファイルのバージョンが古いです。
CPU information file version is different. Contains uninterpretable information.
【内容】CPU 情報ファイルが古いため , 必要な情報がありません。
【対処】最新の CPU 情報ファイルを入手してください。
368
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4021W
S u p p o r t
M a n u a l
CPU 情報ファイルに記述されているチップ種別は対象ではありません。
Chip type in CPU information file is not applicable.
【内容】違う種類の CPU 情報ファイルが指定されました。
【対処】正しい CPU 情報ファイルを指定してください。
E4022W
CPU 情報ファイルを指定してください。
Please enter CPU information file.
【内容】CPU 情報ファイルが見つかりません。
【対処】CPU 情報ファイルのディレクトリを入力してください。
E4023W
ツールオプションデータが不正です。ツールのオプションはデフォルト値が再設定
されました。
Illegal tool option data. Default data is set.
【内容】プロジェクトファイル内のツールオプションに不正な値が書き込まれていま
す。
【対処】ツールオプションを再設定してください。
E4024W
プロジェクトファイルに設定されている CPU 情報に誤りがあります。デフォルト
値を設定します。
Invalid CPU information. Set default value.
【内容】CPU 情報ファイル内に不正なデータが書き込まれています。
【対処】最新の CPU 情報ファイルを入手してください。
E4100W
アクセスが拒否されました。
Access was denied.
【内容】ファイルのアクセスができません。
【対処】ファイルがライト禁止 , リード禁止になっている可能性があります。ファイル
属性を見直してください。
E4110W
オープンするファイルが多すぎます。
Too many open files.
【内容】オープンできる最大ファイル数を超えています。
【対処】ほかのファイルをクローズしてください。
July 31, 2015, CM41-00314-9
369
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4120W
S up po r t
M a nu al
不正なディレクトリです。
Directory does not exist.
【内容】ディレクトリが見つかりません。
【対処】正しいディレクトリ名を入力してください。
E4121W
ドライブの準備ができていません。
Drive is not ready.
【内容】ドライブをアクセスできません。
【対処】ドライブの状態をチェックしてください。
E4122W
ディレクトリパスは無効です。
Path is invalid.
【内容】ディレクトリが見つかりません。
【対処】正しいディレクトリ名を入力してください。
E4123W
ディレクトリが作成できません。
Unable to create directory.
【内容】ディレクトリの作成に失敗しました。
【対処】ディレクトリが書込み禁止か , ディレクトリ名が正しくない場合が考えられま
す。
E4124W
ディレクトリを削除できません。
Unable to delete directory.
【内容】ディレクトリの削除に失敗しました。
【対処】ディレクトリが書込み禁止か , ディレクトリ内のファイルを別のプロセスが使
用中であることが考えられます。
E4125W
出力先のディスクがいっぱいです。
Destination disk is full.
【内容】ディスクの残り容量が不足しています。
【対処】不要なファイルを削除してください。
370
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4126W
S u p p o r t
M a n u a l
カレントディレクトリは削除できません。
Could not be removed because it is the current directory.
【内容】カレントディレクトリを削除しようとしています。
【対処】カレントディレクトリを移動してから削除してください。
E4127W
このディレクトリにアクセスできません。
This directory cannot be access.
【内容】ディレクトリへのアクセスが拒否されました。
【対処】ディレクトリのアクセス権がないことが考えられます。
E4130W
ファイルをオープンできません。
File cannot be open.
【内容】ファイルのオープンに失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4131W
ファイルをクローズできません。
File cannot be close.
【内容】ファイルのクローズに失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4132W
ファイルを読み込めません。
File cannot be read.
【内容】ファイルのリードに失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4133W
ファイルが書き込めません。
File cannot be written.
【内容】ファイルのライトに失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
July 31, 2015, CM41-00314-9
371
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4134W
S up po r t
M a nu al
ファイルを作成できません。
File cannot be create.
【内容】ファイルの作成に失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4135W
ファイルを削除できません。
File cannot be delete.
【内容】ファイルの削除に失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4136W
ファイル名を変更できません。
File cannot be change name.
【内容】ファイル名の変更に失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4137W
ファイルをコピーできません。
File cannot be copied.
【内容】ファイルのコピーに失敗しました。
【対処】ファイルまたはディレクトリのアクセス権がないことが考えられます。
E4138W
ファイルが見つかりません。
File not found.
【内容】ファイルが見つかりませんでした。
【対処】ファイル名を見直してください。
E4140W
ファイルが存在しません。ファイルを作成しますか ?
File not found. Do you create this file?
【内容】ファイルが見つかりませんでした。
【対処】新しいファイルを作る場合は OK ボタンを押してください。
372
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4142W
S u p p o r t
M a n u a l
アクセス中に共有違反が発生しました。
A sharing violation occurred while accessing.
【内容】同じファイルを別のプロセスが使っています。
【対処】ファイルを使っているプログラムを終了してください。まれに , プログラムを
終了した後もファイルが使用状態のままになってしまう場合があります。この
場合は Windows をリブートしてください。
E4143W
アクセス中にロッキング違反が発生しました。
A locking violation occurred while accessing.
【内容】同じファイルを別のプロセスが使っています。
【対処】ファイルを使っているプログラムを終了してください。まれに , プログラムを
終了した後もファイルが使用状態のままになってしまう場合があります。この
場合は Windows をリブートしてください。
E4200W
プロジェクトファイルのフォーマットが正しくありません。
The project file format is illegal.
【内容】プロジェクトファイルが正しく読めません。
【対処】SOFTUNE Workbench のプロジェクトファイルではないか , プロジェクトファ
イルが壊れている可能性があります。新しいプロジェクトファイルを作ってく
ださい。
E4201W
プロジェクトファイルは MCU タイプが異なるのでオープンできません。
Project file cannot be opened-CPU type is different.
【内容】異なるファミリの MCU 用のプロジェクトファイルです。
【対処】必要な MCU 用の新しいプロジェクトファイルを作ってください。
E4202W
プロジェクトファイルにセーブできませんでした。
Unable to save project file.
【内容】プロジェクトファイルの書込みでエラーが発生しました。
【対処】ディスクの残り領域が足りないか , プロジェクトファイルが書込み禁止になっ
ているなどの原因が考えられます。
July 31, 2015, CM41-00314-9
373
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4204W
S up po r t
M a nu al
プロジェクトファイルの CPU 情報が不正です。デフォルト値を設定します。
Illegal CPU information of project file. Setting default value.
【内容】プロジェクトファイルに記録されているCPU の情報が正しくないため, デフォ
ルトの値に置き換えました。
【対処】そのプロジェクトの CPU に関する設定値を見直してください。
E4205W
プロジェクトファイルに記述されているターゲットファイルディレクトリが存在し
ません。ディレクトリを作成しますか ?
Target file directory not found. Create a directory?
【内容】プロジェクトファイルの書込み先ディレクトリがありません。
【対処】OK ボタンを押すとディレクトリを作成します。
E4206W
プロジェクトファイルに記述されているリストファイルディレクトリが存在しませ
ん。ディレクトリを作成しますか ?
List file directory not found. Create a directory?
【内容】リストファイルの書込み先ディレクトリがありません。
【対処】OK ボタンを押すとディレクトリを作成します。
E4207W
プロジェクトファイルに記述されているオブジェクトファイルディレクトリが存在
しません。ディレクトリを作成しますか ?
Object file directory not found. Create a directory?
【内容】オブジェクトファイルの書込み先ディレクトリがありません。
【対処】OK ボタンを押すとディレクトリを作成します。
E4210W
プロジェクト名を指定してください。
Please specify the project name.
【内容】プロジェクト名が指定されていません。
【対処】プロジェクト名を入力してください。
E4211W
プロジェクトディレクトリを指定してください。
Please specify the project directory.
【内容】プロジェクトのディレクトリが指定されていません。
【対処】ディレクトリを入力してください。
374
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4212W
S u p p o r t
M a n u a l
ターゲットファイル名を指定してください。
Please specify the target file name.
【内容】ターゲットファイル名が指定されていません。
【対処】ターゲットファイル名を入力してください。
E4213W
指定できない文字が含まれています。¥/ : , ; * ? "" < > |
Includes characters that cannot be designated. ¥/ : , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4214W
指定できない文字が含まれています。, ; * ? "" < > |
Includes characters that cannot be designated. , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4215W
指定できない文字が含まれています。, ; * ? "" < > |
Includes characters that cannot be designated. , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4220W
ターゲットファイル名を指定してください。
Please specify the target file name.
【内容】ターゲットファイル名が指定されていません。
【対処】ターゲットファイル名を入力してください。
E4221W
指定のディレクトリが存在しません。ディレクトリを作成しますか ?
Directory not found. Do you create this directory?
【内容】ディレクトリが存在しません。
【対処】ディレクトリ名を入力してください。
July 31, 2015, CM41-00314-9
375
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4222W
S up po r t
M a nu al
ディレクトリが作成できませんでした。
Unable to create directory.
【内容】ディレクトリの作成に失敗しました。
【対処】ファイル書込みが禁止されている可能性があります。
E4223W
ターゲット MCU が変更されました。CPU 情報をデフォルト値に変更します。
Changed target MCU. CPU information changed to default value.
【内容】ターゲット MCU を変更すると , それまでに設定した CPU の情報はデフォルト
値に戻ります。
【対処】CPU の情報を再設定してください。
E4224W
ターゲット MCU を指定してください。
Specify target MCU.
【内容】ターゲット MCU が指定されていません。
【対処】ターゲット MCU 名を入力してください。
E4225W
プロジェクトタイプを指定してください。
Specify project type.
【内容】プロジェクトタイプが指定されていません。
【対処】プロジェクトタイプを指定してください。
E4226W
指定できない文字が含まれています。, ; * ? "" < > |
Includes characters that cannot be designated. , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4227W
オブジェクトファイルディレクトリを指定してください。
Please specify Object File Directory.
【内容】オブジェクトファイルの書込み先ディレクトリが指定されていません。
【対処】ディレクトリ名を指定してください。
376
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4228W
S u p p o r t
M a n u a l
リストファイルディレクトリを指定してください。
Please specify List File Directory.
【内容】リストファイルの書込み先ディレクトリが指定されていません。
【対処】ディレクトリ名を指定してください。
E4230W
2 重指定です。
Double specification.
【内容】同じ指定が既に使われています。
【対処】別の指定に変更してください。
E4232W
セットアップファイルが登録されていません。自動登録します。
Setup file is not registered. Registered automatically.
【内容】デバッガを起動するには ,
セットアップファイルが必要です。セットアップ
ファイルがまだ指定されていない場合は , プロジェクト名と同じ名前でセット
アップファイルを作成します。
【対処】自動作成されたセットアップファイルは , [ プロジェクト ] - [ プロジェクトの
設定 ] - [ デバッグ ] で必要な項目を設定してください。
E4233W
有効なセットアップファイルが登録されていません。自動登録します。
Available setup file is not registered. Registered automatically.
【内容】デバッガを起動するには ,
セットアップファイルが必要です。セットアップ
ファイルがまだ指定されていない場合は , プロジェクト名と同じ名前でセット
アップファイルを作成します。
【対処】自動作成されたセットアップファイルは , [ プロジェクト ] - [ プロジェクトの
設定 ] - [ デバッグ ] で必要な項目を設定してください。
E4234W
タイトル名を指定してください。
Please specify the title.
【内容】タイトル名が指定されていません。
【対処】タイトルを設定してください。
July 31, 2015, CM41-00314-9
377
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4240W
S up po r t
M a nu al
既に登録済みのメンバです。
Already a registered member.
【内容】指定されたファイルは , 既にプロジェクトに登録されています。
【対処】ファイル名を見直してください。
E4241W
このファイル名は既に登録されています。
This file name has already been registered.
【内容】指定されたファイルは , 既にプロジェクトに登録されています。
【対処】ファイル名を見直してください。
E4242W
ファイルがみつかりません。登録しますか ?
File not found. Do you registered?
【内容】存在しないファイルをプロジェクトに登録しようとしています。
【対処】ファイル名が正しければ , そのまま登録してください。エディタを起動する際 ,
ファイルを新規作成するかどうかの問い合わせが表示されます。
E4243W
選択したファイルが多すぎます。
Too many select files.
【内容】選択したファイルの総数が最大値を超えています。
【対処】選択数を減らしてください。
E4301W
ツールを起動するためのコマンドラインの生成ができません。
Unable to create command line.
【内容】言語ツールを起動するためのオプションファイルの生成に失敗しました。
【対処】プロジェクトディレクトリ下の OPT サブディレクトリのアクセス権やディス
ク容量を確認してください。
E4302W
起動に失敗しました。
Failed during start.
【内容】ツールの起動ができませんでした。
【対処】ツール名などが間違っている可能性があります。ツールの設定を見直してくだ
さい。
378
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4303W
S u p p o r t
M a n u a l
起動コマンドが長すぎます。
Command Line too long.
【内容】起動するコマンドラインが長すぎます。( 最大 2048 文字 )
【対処】オプションパラメータを見直してください。
E4304W
エディタの起動に失敗しました。
Failed during start editor.
【内容】登録された外部エディタが起動できませんでした。
【対処】エディタの実行ファイル名を見直してください。
E4305W
コンパイラ / アセンブラが起動されています。
Compiler/Assembler is started.
【内容】ツールの起動中にプロジェクトを閉じようとしています。
【対処】中断ボタンでツールを終了してから , プロジェクトを閉じてください。
E4306W
メイクが起動されています。
Make function is started.
【内容】ツールの起動中にプロジェクトを閉じようとしています。
【対処】中断ボタンでツールを終了してから , プロジェクトを閉じてください。
E4307W
ビルドが起動されています。
Build function is started.
【内容】ツールの起動中にプロジェクトを閉じようとしています。
【対処】中断ボタンでツールを終了してから , プロジェクトを閉じてください。
E4308W
依存関係の更新が起動されています。
Include Dependencies is started.
【内容】ツールの起動中にプロジェクトを閉じようとしています。
【対処】中断ボタンでツールを終了してから , プロジェクトを閉じてください。
July 31, 2015, CM41-00314-9
379
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4309W
S up po r t
M a nu al
ツールが起動されています。
Tool is started.
【内容】ツールの起動中にプロジェクトを閉じようとしています。
【対処】中断ボタンでツールを終了してから , プロジェクトを閉じてください。
E4400W
セットアップファイルは読み取り専用です。セットアップ情報の変更は保存できま
せん。
Setup file is read only. Setup information is not saved.
【内容】セットアップファイルへの書込みができませんでした。
【対処】セットアップファイルを書込みできる状態にしてください。
E4401W
デバッグ環境ファイルが見つかりません。
Setup file not found.
【内容】デバッグ環境ファイルが見つかりません。
【対処】インストールが正常に行なわれていない可能性がありますので , インストール
をやり直してください。
E4402W
デバッグ環境ファイルのフォーマットが正しくありません。
The setup file format is illegal.
【内容】デバッグ環境ファイル内に不正なデータが書き込まれています。
【対処】インストールが正常に行なわれていない可能性がありますので , インストール
をやり直してください。
E4420W
アドレスの最大値は xxxx です。
Maximum of address is xxxx.
【内容】アドレスの最大値を超えています。
【対処】アドレス指定を見直してください。
E4421W
開始アドレスが終了アドレスを超えています。
The start address exceeds the end address.
【内容】指定されたアドレス範囲が間違っています。
【対処】アドレス範囲の指定を見直してください。
380
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4422W
S u p p o r t
M a n u a l
指定されたアドレス範囲は既に設定されています。
The designated address is already designated.
【内容】既に登録されているアドレス範囲を指定しました。
【対処】アドレス範囲を見直してください。
E4601W
2 重指定です。
Double specification.
【内容】指定された項目は既に存在します。
【対処】指定内容を見直してください。
E4603W
ツールオプションデータが不正です。
Illegal tool option data.
【内容】ツールオプションデータに必要なデータがありません。
【対処】ツールオプションの確認ダイアログを開いて [OK] ボタンを押すと , 入力を必
要としているコントロールが表示されますので入力してください。
E4604W
指定されていないコントロールがあります。
There is no control data.
【内容】指定されていないコントロールがあります。
【対処】データを指定してください。
E4605W
指定できない文字が含まれています。
Includes characters that cannot be designated.
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4606W
指定できない文字が含まれています。, ; * ? "" < > |
Includes characters that cannot be designated. , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
July 31, 2015, CM41-00314-9
381
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4607W
S up po r t
M a nu al
指定できない文字が含まれています。
Includes characters that cannot be designated. , ; * ? "" < > |
【内容】これらの文字は使用できません。
【対処】名前を変更してください。
E4610W
行数の範囲は 20 ~ 255 です。
The range of the number of lines is 20-255.
【内容】行数の制限を超えています。
【対処】行数を変更してください。
E4611W
桁数の範囲は 80 ~ 1023 です。
The range of the number of columns is 80-1023.
【内容】桁数の制限を超えています。
【対処】桁数を変更してください。
E4612W
桁数の範囲は 70 ~ 1023 です。
The range of the number of columns is 70-1023.
【内容】桁数の制限を超えています。
【対処】桁数を変更してください。
E4613W
タブ数の範囲は 0 ~ 32 です。
The range of the number of tabs is 0-32.
【内容】タブ数の制限を超えています。
【対処】タブ数を変更してください。
E4614W
マクロ名を指定してください。
Please specify the macro name.
【内容】マクロ名が指定されていません。
【対処】マクロ名を指定してください。
382
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4615W
S u p p o r t
M a n u a l
インクルードパスを指定してください。
Please specify the include path.
【内容】インクルードパスが指定されていません。
【対処】インクルードパスを指定してください。
E4616W
同名のマクロ名がすでに登録されています。内容を変更しますか ?
Already a registered macro name. Do you change contents?
【内容】既に存在するマクロ名を指定しました。
【対処】変更する場合は OK ボタンを押してください。
E4620W
スタートアドレスを指定してください。
Please specify the start address.
【内容】スタートアドレスがありません。
【対処】スタートアドレスを指定してください。
E4621W
エンドアドレスを指定してください。
Please specify the end address.
【内容】エンドアドレスがありません。
【対処】エンドアドレスを指定してください。
E4622W
スタートアドレスがエンドアドレスより大きすぎます。
The start address is larger than the end address.
【内容】アドレス範囲が間違っています。
【対処】アドレス範囲を見直してください。
E4623W
正しいスタートアドレスを指定してください。
Please specify a correct start address.
【内容】スタートアドレスが間違っています。
【対処】正しいスタートアドレスを指定してください。
July 31, 2015, CM41-00314-9
383
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4624W
S up po r t
M a nu al
正しいエンドアドレスを指定してください。
Please specify a correct end address.
【内容】エンドアドレスが間違っています。
【対処】正しいエンドアドレスを指定してください。
E4625W
ROM/RAM 領域名を指定してください。
Please specify the ROM/RAM area name.
【内容】ROM/RAM 領域名が指定されていません。
【対処】ROM/RAM 領域名を指定してください。
E4626W
セクション名を指定してください。
Please specify the section name.
【内容】セクション名が指定されていません。
【対処】セクション名を指定してください。
E4627W
アドレスの最大値は 0xFFFFFFFF です。
Maximum of address is 0xFFFFFFFF.
【内容】アドレスの最大値を超えています。
【対処】アドレス指定を見直してください。
E4628W
アドレスの最大値は 0xFFFFFF です。
Maximum of address is 0xFFFFFF.
【内容】アドレスの最大値を超えています。
【対処】アドレス指定を見直してください。
E4629W
アドレスの最大値は 0xFFFF です。
Maximum of address is 0xFFFF.
【内容】アドレスの最大値を超えています。
【対処】アドレス指定を見直してください。
384
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4630W
S u p p o r t
M a n u a l
バンクを超えたアドレス指定はできません。
Cannot specify address over bank.
【内容】複数のバンクにまたがったアドレスを指定しています。
【対処】ひとつのバンク内のアドレスを指定してください。
E4631W
シンボル名を指定してください。
Specify symbol name.
【内容】シンボル名がありません。
【対処】シンボル名を指定してください。
E4632W
設定値を指定してください。
Specify set value.
【内容】設定値がありません。
【対処】設定値を入力してください。
E4633W
領域リストに不正な設定があります。正しい設定に変更してください。
Incorrect setting in area list. Please change setting.
【内容】ROM/RAM 領域設定について , アドレスに変換できなかった設定があります。
【対処】アドレスを見直してください。
E4635W
同名のシンボル名がすでに登録されています。内容を変更しますか ?
This symbol name has been already registered. Change the setting?
【内容】既に登録されたシンボル名で登録しようとしています。
【対処】変更する場合は , OK ボタンを押してください。
E4636W
同名の ROM/RAM 領域名がすでに登録されています。内容を変更しますか ?
This ROM/RAM area name has been already registered. Change the setting?
【内容】既に登録されている ROM/RAM 領域名を指定しています。
【対処】変更する場合は , OK ボタンを押してください。
July 31, 2015, CM41-00314-9
385
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4637W
S up po r t
M a nu al
同名のセクション名がすでに登録されています。内容を変更しますか ?
This section name has been already registered. Change the setting?
【内容】既に登録されているセクション名を指定しています。
【対処】変更する場合は , OK ボタンを押してください。
E4638W
先頭のセクション名にはアドレス指定が必要です。
Address must be specified to leader section name.
【内容】先頭のセクションにアドレスが指定されていません。
【対処】アドレスを指定してください。
E4639W
このセクション名は他の ROM/RAM 領域ですでに設定されています。
This section name has been already specified in another ROM/RAM area.
【内容】既に登録されている ROM/RAM 領域名を指定しています。
【対処】ROM/RAM 領域名を見直してください。
E4640W
正しいアドレスを指定してください。
Specify exact address.
【内容】アドレスの指定が間違っています。
【対処】正しいアドレスを入力してください。
E4641W
最大値は 127 です。
Maximum of value is 127.
【内容】inline 展開の対象関数の行数について , 最大値 127 を超えた値または不正な値が
指定されています。
【対処】0 から 127 の範囲で行数を指定してください。
386
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4642W
S u p p o r t
M a n u a l
内蔵 ROM/RAM のアドレス範囲を超えた ROM/RAM 領域名が登録されています。
登録しますか?
The name of the ROM/RAM area across the internal ROM/RAM address range is entered. Do
you want to enter this name?
【内容】内蔵 ROM/RAM 領域以外の領域が指定されています。
【対処】内蔵 ROM/RAM 領域以外の領域には , 以下の領域があります。
・アクセス可能な領域 (ROM, RAM または I/O を配置した外バス領域 )
・その他の領域
- アクセス禁止領域
- デバッグシステム向け予約領域 (F2MC-16FX だけに存在し , アドレスは
0xDF0100 ~ 0xDF01FF)
アクセス可能な領域の場合だけ , はいボタンを押して登録してください。
E4701W
指定のディレクトリが存在しません。設定しますか ?
Specified directory does not exist. Specify?
【内容】存在しないディレクトリが指定されています。
【対処】指定に間違いが無ければ OK ボタンを押してください。
E4702W
複数のディレクトリ記述はできません。
Cannot specify multiple directories.
【内容】1 つのディレクトリしか設定できません。
【対処】1 つのディレクトリだけを設定してください。
E4703W
環境変数の設定値が不正です。
Illegal Environment Variable.
【内容】設定された値が不正です。
【対処】設定値を見直してください。
E4740W
指定の実行ファイルは存在しませんが , リストに登録しますか ?
This executable file does not exist. Register in the list?
【内容】実行ファイル名に記述されているファイルが見つかりません。
【対処】ファイル名を確認してください。
July 31, 2015, CM41-00314-9
387
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4741W
S up po r t
M a nu al
タイトルが指定されていません。
Title is not specified.
【内容】タイトル名が指定されていません。
【対処】タイトルを設定してください。
E4742W
実行ファイル名が指定されていません。
Executable file is not specified.
【内容】実行ファイル名が指定されていません。
【対処】実行ファイル名を指定してください。
E4743W
登録数が最大です。これ以上登録できません。
The registration count is maximum. You cannot register any more.
【内容】これ以上設定できません。
【対処】不要な設定を削除してください。
E4744W
シンタックスが不正です。定義されていないマクロ記述が存在します。
Syntax error. Illegal macro is specified.
【内容】オプションまたは実行時のディレクトリに定義されていないマクロ記述があ
ります。
【対処】シンタックスを確認してください。
E4745W
タイトルが長すぎます。
Title is too long.
【内容】タイトルが長すぎます。
【対処】タイトルを短くしてください。
E4746W
実行ファイル名が長すぎます。
Execute file name is too long.
【内容】実行ファイルが長すぎます。
【対処】ファイル名を短くしてください。
388
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4747W
S u p p o r t
M a n u a l
オプションが長すぎます。
Option too long.
【内容】オプション指定が長すぎます。
【対処】オプションを減らしてください。
E4748W
実行時のディレクトリが長すぎます。
The executing directory too long.
【内容】ディレクトリが長すぎます。
【対処】ディレクトリを短くしてください。
E4749W
指定のディレクトリが存在しません。ディレクトリを作成しますか ?
Directory not found. Create this directory?
【内容】指定されたディレクトリが見つかりませんでした。
【対処】ディレクトリが間違っていない場合は , OK ボタンを押してください。
E4750W
同名のタイトルがすでに登録されています。内容を変更しますか ?
Already a registered title. Do you change contents?
【内容】既に登録されているタイトルが指定されています。
【対処】変更する場合は , OK ボタンを押してください。
E4752W
起動するツールが存在しません。
Start tool does not exist.
【内容】起動するツールが見つかりません。
【対処】登録されたツール名 , ディレクトリ名を見直してください。
E4760W
登録されているエラーシンタックスのフォーマットが変換できませんでした。
The registered error syntax format cannot be converted.
【内容】アウトプットウィンドウに表示されたエラーメッセージが解析できません。
【対処】[ 環境 ] - [ エラージャンプの設定 ] のシンタックスリストの設定を見直してく
ださい。
July 31, 2015, CM41-00314-9
389
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4761W
S up po r t
M a nu al
シンタックスが不正です。定義されていないマクロ記述が存在します。
Syntax error. Undefined Macro.
【内容】定義されていないマクロが指定されています。
【対処】シンタックスを確認してください。
E4762W
シンタックスが不正です。%f , %* の区切りを示す文字が定義されていないため正
しく解析できません。
Syntax error. Undefined separate of '%f','%*'.
【内容】%f , %* の終了を示す区切り文字が入力されていません。
【対処】%f , %* マクロ記述には , これらの終了を判断するための区切り文字が必要で
す。マクロ記述の次の文字を区切り記号として認識しています。
E4763W
シンタックスが不正です。マクロ記述が重複しています。
Syntax error. Duplicate Macro syntax.
【内容】%f , %l , %h のマクロが重複して記述されています。
【対処】シンタックスを見直してください。
E4764W
シンタックスが不正です。¥ 記述が不正です。
Syntax error. Invalid '¥' syntax.
【内容】¥ 記述が不正です。¥, ¥], ¥¥ など以外で ¥ が使われています。
【対処】シンタックスを見直してください。
E4765W
シンタックスが不正です。%[] の記述が不正です。
Syntax error. Invalid '%[]' syntax.
【内容】%[ ] マクロ記述が不正です。
【対処】[ ] の対応がとれていない可能性があります。シンタックスを見直してくださ
い。
E4766W
シンタックスが不正です。%f が記述されていません。
Syntax error. Don't describe '%f'.
【内容】%f または %h マクロが記述されていません。
【対処】エラージャンプの設定のシンタックスには必ず %f または %h を指定してくだ
さい。
390
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4767W
S u p p o r t
M a n u a l
シンタックスが不正です。%[...] の間に不正なマクロ記述が存在します。
Syntax error. Invalid Macro into '%[...]'.
【内容】%[ ] マクロの間に不正なマクロ記述が存在しています。
【対処】%[ ] マクロの中に記述できるマクロは %% または %] だけです。
E4768W
同じシンタックスがすでに存在しています。内容を変更しますか ?
Already a registered syntax. Do you change contents?
【内容】既に同じシンタックスが登録されています。
【対処】内容を変更する場合は OK ボタンを押してください。
E4769W
シンタックスが指定されていません。
Syntax not specified.
【内容】シンタックスがありません。
【対処】シンタックスを指定してください。
E4771W
シンタックス文字列が長すぎます。
Syntax too long.
【内容】シンタックス文字列が長すぎます。
【対処】シンタックスを短くしてください。
E4772W
コメントが長すぎます。
Comment too long.
【内容】コメントが長すぎます。
【対処】コメントを短くしてください。
E4773W
登録数が最大です。これ以上登録できません。
The registration count is maximum. You cannot register any more.
【内容】登録数が最大値を超えました。
【対処】不要な設定を見直してください。
July 31, 2015, CM41-00314-9
391
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4774W
S up po r t
M a nu al
同じシンタックスが SYSTEM に設定されています。変更できません。
The same syntax has been already set in the SYSTEM. It cannot be changed.
【内容】同じ内容が既に SYSTEM として設定されています。
【対処】SYSTEM として登録されている内容は変更できません。
E4780W
タイトルが指定されていません。
Title not specified.
【内容】タイトル名がありません。
【対処】タイトルを指定してください。
E4781W
実行ファイル名が指定されていません。
Execute filename not specified.
【内容】実行ファイル名が指定されていません。
【対処】実行ファイル名を指定してください。
E4782W
オプションが指定されていません。
Option not specified.
【内容】オプションが指定されていません。
【対処】オプションを指定してください。
E4783W
同名のタイトルがすでに存在しています。内容を変更しますか ?
Already a registered title. Do you change contents?
【内容】既に登録されているタイトルが指定されています。
【対処】変更する場合は , OK ボタンを押してください。
E4784W
シンタックスが不正です。定義されていないマクロ記述が存在します。
Syntax error. Undefined Macro.
【内容】定義されていないマクロが指定されています。
【対処】シンタックスを確認してください。
392
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4785W
S u p p o r t
M a n u a l
シンタックスが不正です。マクロ記述が重複しています。
Syntax error. Duplicate Macro syntax.
【内容】%f , %l , %h のマクロが重複して記述されています。
【対処】シンタックスを見直してください。
E4786W
シンタックスが不正です。%f が記述されていません。
Syntax error. Don't describe '%f'.
【内容】%f または %h マクロが記述されていません。
【対処】エラージャンプの設定のシンタックスには必ず %f または %h を指定してくだ
さい。
E4789W
登録数が最大です。これ以上登録できません。
The registration count is maximum. You cannot register any more.
【内容】登録数が最大値を超えました。
【対処】不要な設定を削除してください。
E4790W
リスト中のエディタが選択されていません。
Editor in list not selected.
【内容】操作すべきエディタが選択されていません。
【対処】エディタリストの中から必要なエディタを選択してから操作してください。
E4791W
標準エディタは削除・変更できません。
The standard editor cannot delete and change.
【内容】標準エディタを削除または変更しようとしています。
【対処】標準エディタは , SOFTUNE Workbench の内蔵エディタです。削除・変更はで
きません。
E4792W
指定の実行ファイルが存在しませんが , リストに登録しますか ?
This executable file does not exist. Register in the list?
【内容】指定された実行ファイルが見つかりません。
【対処】実行ファイル名 , ディレクトリ名に間違いが無ければそのまま登録してくださ
い。
July 31, 2015, CM41-00314-9
393
付録
付録 A マネージャ関係のエラーメッセージ
So ft war e
E4793W
S up po r t
M a nu al
有効なエディタは削除できません。
The valid editor cannot delete.
【内容】[ 使用するエディタ ] として選択されているエディタを削除しようとしました。
【対処】[ 使用するエディタ ] を別のエディタに変更してから削除してください。
E4794W
指定のディレクトリが存在しません。ディレクトリを作成しますか ?
Directory not found. Create this directory?
【内容】指定されたディレクトリが見つかりません。
【対処】ディレクトリを作成する場合は , OK ボタンを押してください。
E4795W
タイトルが長すぎます。
Title too long.
【内容】タイトル名が最大文字数を超えています。
【対処】タイトル名を短くしてください。
E4796W
実行ファイル名が長すぎます。
Execute file name too long.
【内容】実行ファイル名が長すぎます。
【対処】実行ファイル名を短くしてください。
E4797W
オプション文字列が長すぎます。
Option string too long.
【内容】オプション文字列が最大文字数を超えています。
【対処】オプション文字列を短くしてください。
E4798W
実行時のディレクトリが長すぎます。
The executing directory too long.
【内容】ディレクトリが長すぎます。
【対処】ディレクトリを短くしてください。
394
CM41-00314-9, July 31, 2015
付録
付録 A マネージャ関係のエラーメッセージ
S o ft w a r e
E4804W
S u p p o r t
M a n u a l
新しいバージョンのワークスペース / プロジェクトを開きました。SOFTUNE V3/V6
では読み込めません。
The new version workspace/project file was opened. It can not be read by SOFTUNE V3/V6.
【内容】新しいバージョンのワークスペース / プロジェクトを開きました。SOFTUNE
V3/V6 では読み込めません。
【対処】このワークスペース / プロジェクトを開くには、SOFTUNE V7 以降を使用して
ください。
July 31, 2015, CM41-00314-9
395
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
付録 B
S up po r t
M a nu al
デバッガ関係のエラーメッセージ
デバッガ関係のエラーメッセージを以下に示します。
■ デバッガ関係のエラーメッセージ
F9201S
セットアップファイルが見つかりません。
Invalid setup file (not found).
【内容】指定されたセットアップファイルが見つかりませんでした。
【対処】起動オプションのセットアップファイル指定で指定しているファイルが存在
していることを確認してください。
F9202S
セットアップファイルの記述に誤りがあります。
Invalid command or parameter (in setup file).
【内容】セットアップファイル中に不正なコマンドあるいはパラメータがあります。ま
たは , MCU 設定情報が変更されたため , パラメータあるいはコマンドを変更す
る必要があります。
【対処】セットアップウィザードを使用してデバッガを再起動してください。
F9203S
マシン依存ファイルの実行エラーが発生しました。
Invalid machine program (execution error).
【内容】すでに実行されているか , システムリソース不足により実行処理が行えません。
【対処】実行状態を確認し , 実行されていなければ , 表示ウィンドウを閉じるもしくは ,
ほかの起動プログラムを終了してください。
F9204S
ディスク容量が足りません。
The disk space is insufficient.
【内容】ロギング中に保存先のディスクが 500MB 未満になりました。
【対処】ディスクの空き容量を増やしてください。
F9205S
Boot ROM ファイルが見つかりませんでした。指定のフォルダを確認してください。
"Boot ROM ファイル名 "
The Boot-ROM file was not found. Please check the specified folder. "Boot ROM file name"
【内容】使用している MCU の Boot ROM ファイルが見つかりませんでした。
【対処】プロジェクトの設定ダイアログの [ デバッグ ] タブの [Boot ROM] カテゴリで指
定しているフォルダに , Boot ROM ファイルが存在するかを確認してください。
396
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
F9401S
S u p p o r t
M a n u a l
エミュレーションポッドが違います。
Invalid emulation pod or MCU cable (unmatch or no-connect).
【内容】エミュレーションポッド , もしくは , MCU ケーブルが本製品用のものではあり
ません。または , 正しく接続されていません。
【対処】エミュレータの電源を切り , エミュレーションポッド , MCU ケーブルを確認し ,
正常に接続後 , 再起動してください。
F9402S
エミュレータのモニタプログラムが違います。
Invalid emulator hardware monitor program (unmatch).
【内容】以下のモニタプログラムに相違があります。
- エミュレータ内部にロードされているモニタプログラム
-SOFTUNE インストールディレクトリ内のモニタプログラム
【対処】モニタローダプログラムを用いて ,
製品に添付しているモニタプログラムを
ロードしてください。
詳細は 製品に同梱されている 『SOFTUNE Workbench インストール説明書 ( リ
リースノート )』を参照してください。
エミュレータデバッガ (MB2100-01) の場合 , セットアップウィザードでモニタ
プログラムの自動ロードを有効にしてください。
F9403S
エミュレータのハードウェアエラーです。[" 詳細情報 "]
Emulator hardware error. ["detailed information"]
【内容】エミュレータハードが正常動作できなくなりました。または , 詳細情報に示さ
れる例外を検出したため , 処理を中断しました。
【対処】・"RAM checker over-flow"
ほかのアプリケーションなどの影響により , 指定された間隔でログデータを
取得できなかったため , RAM チェッカのロギングを中断しました。ロギング
中は , マシンに負荷のかかる動作を行わないでください。
・詳細情報に何も表示されない , もしくは ERRID が表示されている場合
MCU が正常動作をしているかを確認してください。エミュレータ本体をリ
セットし , 再起動してください。頻繁に発生する場合は , エミュレータハード ,
MCU, ターゲットシステムの故障が考えられます。
July 31, 2015, CM41-00314-9
397
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
F9404S
S up po r t
M a nu al
エミュレータのモニタプログラムのバージョンが違います。
Invalid emulator hardware monitor program version (old).
【内容】エミュレータハード内のモニタプログラムのバージョンが古いために動作で
きません。
【対処】モニタローダプログラムを用いて , 製品に添付しているモニタをロードしてく
ださい。詳細は , 製品に同梱されている 『SOFTUNE Workbench インストール
説明書 ( リリースノート )』を参照してください。
MB2100-01 の場合 , セットアップウィザードでモニタプログラムの自動ロード
を有効にしてください。
F9405S
バスエラーが発生しました。リセットが必要です。
A bus error occurs. To issue reset is necessary for restore.
【内容】メモリへアクセスしたところ , バスエラーが発生しました。
【対処】バスエラーが発生したため , エミュレータから MPU を制御できません。リセッ
トを自動的に発生しました。
F9406S
プロジェクトとターゲットのチップ種別が違います。
Invalid either chip classification in project or chip on board.
【内容】ターゲットのチップが ,
プロジェクトで指定されているチップ種別と違いま
す。
【対処】ターゲットのチップ , もしくはプロジェクトで指定されているチップ種別を確
認してください。
F9407S
通信デバイスが認識できません。デバッガを終了します。
Cannot recognize a communication device and finish a debugger.
【内容】エミュレータに接続されている通信デバイスが認識できなくなりました。デ
バッガを強制終了します。
【対処】ホスト PC とエミュレータ間の接続を確認してください。
F9408S
ターゲットとエミュレータ接続環境の整合性があっていません。
Don't match target for emulator environment.
【内容】セットアップファイルで指定してあるエミュレータの設定と接続しているエ
ミュレータの環境が異なっています。
【対処】セットアップウィザードを起動してセットアップファイルのエミュレータ種
別を変更するか , エミュレータの環境を正しいものに変更してください。
398
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
F9409S
S u p p o r t
M a n u a l
エミュレータのハードウェアエラーです。直ちに電源を切断し , 接続を確認してく
ださい。
Emulator hardware error. Please turn off the power immediately and check the connection.
【内容】エミュレータハードが正常動作できなくなりました。
【対処】直ちに電源を切断し,MCU が正常動作をしているかを確認してください。頻
繁に発生する場合は,エミュレータハード,MCU,ターゲットシステムの故障
が考えられます。
F9410S
コンフィギュレーションボードが接続されていません。
A configuration board is not connected.
【内容】コンフィギュレーションボードが正しく接続されていません。
【対処】エミュレータの電源を切り , コンフィギュレーションボードを確認し , 正常に
接続後 , 再起動してください。
F9411S
CR トリミングデータに異常があり , デバッグを継続できません。
Cannot continue debugging due to a CR trimming value error.
【内容】デバイスにあらかじめ設定されていたCRトリミングデータに異常があります。
【対処】デバッグシステムを再起動するか , デバイスを交換してください。
F9412S
ユーザシステムからの電源電圧に異常があります。
Invalid supply voltage.
【内容】ユーザシステムから供給されている電源電圧に異常があります。
【対処】ユーザシステムの電源電圧を見直してください。
F9413S
MB2100-01 が接続されていません。
MB2100-01 is not connected.
【内容】USB が接続されていません。または , MB2100-01 に電源が入っていません。
【対処】MB2100-01 を接続してください。または , MB2100-01 に電源を入れてください。
F9414S
DLL のバージョンが異なります。" ファイル名 "
DLL version is different. "file name"
【内容】" ファイル名 " の DLL ファイルのバージョンが正しくありません。
【対処】最新の SOFTUNE Workbench をインストールしてください。
July 31, 2015, CM41-00314-9
399
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
F9415S
S up po r t
M a nu al
FPGA のコンフィギュレーションが正常に行われていません。
The FPGA configuration is incompleteness.
【内容】FPGA のコンフィギュレーションが正常に行われていません。
【対処】電源を入れなおして再度起動してください。再度同様のメッセージが出る場合
には , モニタプログラム自動ロードをセットして起動しなおしてください。
それでもこのメッセージが出力される場合には , 弊社営業部門にお問い合わせ
ください。
F9416S
ターゲットとの接続を確立できません。
The connection cannot be established to the target MCU.
【内容】ターゲットとの接続が確立できなかったため , デバッグの開始ができませんで
した。
【対処】起動時の設定項目を見直してください。設定項目は以下のものがあります。
・通信速度基準周波数(セットアップウィザード)
・DEBUG I/F ケーブル長(セットアップウィザード)
・チップ種別(プロジェクトの設定)
F9417S
USB ドライバのロードに失敗しました。
The USB driver is not found.
【内容】USB ドライバのロードに失敗しました。
【対処】USB ドライバが正しくインストールされているかどうかを確認してください。
確認後 , 再びこのエラーが発生した場合は , SOFTUNE Workbench を再インス
トールしてください。
F9418S
チップリセットを検出したため,ユーザプログラム実行をキャンセルしました。
The user program execution was canceled, because chip reset was detected.
【内容】ブレーク中にチップリセットが入ったことを検出したため,プログラム実行を
中断しました。
【対処】ブレーク中にチップリセットが入った場合, MCUへのリセットはマスクされま
す。再度ユーザプログラムを実行する場合は , MCU をリセットしてください。
400
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
F9601S
S u p p o r t
M a n u a l
通信エラーが発生しました。
Invalid communication status (or cable connection).
【内容】通信回線の状態が異常であるか , ケーブルが正しく接続されていません。
【対処】通信回線の状態を確認してください。
この場合には , デバッグを終了してから , エミュレータの電源を再投入してく
ださい。
デバッグ中に USB ケーブルを抜き差しした場合にも , 通信エラーとなります。
F9602S
通信デバイス名が違います。
Invalid communication device name (or not specified).
【内容】通信デバイス名の指定に誤りがあります。
【対処】インストールファイル中の通信デバイス名を確認してください。
F9603S
インストールファイル内に INTERFACE が設定されていません。
Invalid INTERFACE (not specified in install file).
【内容】インストールファイル内に INTERFACE の指定がありません。
【対処】インストールファイルを確認してください。
F9604S
WINSOCK.DLL が初期化できません。
Cannot initialize "WINSOCK.DLL".
【内容】WINSOCK.DLL が初期化できません。
【対処】ご使用の Windows に TCP/IP プロトコルがインストールされていることを確認
してください。
インストールされていない場合はご使用の Windows のヘルプを参照してイン
ストールしてください。
F9901S
メモリが足りません。
Memory allocation error.
【内容】ホスト PC のメモリ容量が不足しているため , デバッグが継続できません。
【対処】ただちに SOFTUNE Workbench を終了し , ホスト PC の空きメモリを増やして ,
SOFTUNE Workbench を再起動してください。
July 31, 2015, CM41-00314-9
401
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
F9902S
S up po r t
M a nu al
OS から異常なエラーが返されました。
System error.
【内容】OS に異常があり , 正常に起動できませんでした。
【対処】OS を起動し直して , SOFTUNE Workbench を再起動してください。
F9903S
必要な DLL ファイルが見つかりませんでした。
A necessary DLL file was not found.
【内容】必要な DLL ファイルをロードできませんでした。
【対処】SOFTUNE Workbench を再インストールしてください。
F9904S
CPU 情報のバージョンが旧バージョンです。
The version of CPU information file is an old version.
【内容】CPU 情報ファイルが古いため , 正しく情報を設定できません。
【対処】お使いの CPU 情報ファイルを最新のファイルに更新してください。
F9905S
USB 通信で必要なファイルが見つかりませんでした。
A necessary file for the USB communication is not found.
【内容】USB 通信で必要な DLL ファイルが見つかりませんでした。
【対処】SOFTUNE Workbench を再インストールしてください。
F9906S
BGM アダプタが古いため , 接続できません。
Failed in connection because BGM adaptor is too old.
【内容】BGM アダプタのバージョンが古いため , MCU ボードと接続できませんでした。
【対処】BGM アダプタと MCU ボードのバージョンを確認し , 正しい組合せで接続を
行ってください。
F9907S
パスワードが間違っています。セットアップウィザードにて正しいパスワードを入
力してください。
Password is not correct. Please input the correct password by setup wizard.
【内容】デバッガ起動時に必要なパスワードが間違っています。
【対処】セットアップウィザードにて , デバッガ起動用パスワードを正しく入力し直し
てください。
402
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
W1001S
S u p p o r t
M a n u a l
データがアンダフローしました。
Invalid data value (underflow).
【内容】指定した精度においてデータがアンダフローしました。
【対処】精度 , またデータの値を見直してください。
W1002S
データがオーバフローしました。
Invalid data value (overflow).
【内容】指定した精度においてデータがオーバフローしました。
【対処】精度 , またデータの値を見直してください。
W1101S
二重定義シンボルがありました。
Invalid symbol (multiple).
【内容】二重定義シンボルがありました。
【対処】ロードモジュールに対応するソースファイルを見直してください。
W1102S
ロードモジュールに実行開始アドレス情報がありません。
Invalid code section or entry data (not found in load module).
【内容】ロードしたロードモジュールには ,
コードセクションおよびエントリ情報が
入っていませんでした。この場合プログラムカウンタ (PC) は設定されません。
【対処】プログラムカウンタ (PC) を設定してからプログラムを実行してください。
W1103S
メモリ不足のためコマンドヒストリが使えません。
Command history buffer allocation error (in host memory).
【内容】コマンドヒストリ用のバッファをホスト PC の内部メモリに取れません。
【対処】ホスト PC の内部メモリを増設してください。このまま使用すると , コマンド
ヒストリ機能は使用できません。
W1104S
指定アドレスが 16 ビット境界または 32 ビット境界に合っていません。
Invalid address (mis-alignment).
【内容】FR ファミリ MCU では , 16 ビットデータ , 32 ビットデータは , それぞれ 16 ビッ
ト境界 , 32 ビット境界のアドレスしかアクセスできません。
【対処】指定アドレスを見直してください。
July 31, 2015, CM41-00314-9
403
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
W1201S
S up po r t
M a nu al
HELP コマンドファイルが見つかりません。
Invalid HELP command file (not found).
【内容】HELP コマンドファイルが正しい位置に存在しません。
【対処】HELP コマンドファイルを正しい位置に置いてください。
W1202S
異なった MCU シリーズ用のロードモジュールをロードしました。
Loaded different series's file.
【内容】プロジェクトで設定したターゲット MCU と異なった MCU シリーズのロード
モジュールをロードしました。異なった MCU シリーズのロードモジュールで
は , 命令動作が正しく行えない場合があります。
【対処】目的のファイルでない場合は , ファイルを確認後 , 再ロードしてください。
W1203S
ファイルの形式が違います。
Invalid file format.
【内容】ロードしようとしたファイルの形式が違っています。
【対処】ファイルの内容を確認してください。
W1204S
異なった MCU シリーズ用のロードモジュールをロードしました。
(FPU 命令が含まれています )
Loaded different series's file(FPU instructions are included).
【内容】プロジェクトで設定したターゲット MCU と異なった MCU シリーズのロード
モジュールをロードしました(FPU 命令が含まれています)。異なった MCU シ
リーズのロードモジュールでは , 命令動作が正しく行えない場合があります。
【対処】目的のファイルでない場合は , ファイルを確認後 , 再ロードしてください。
W1401S
実行時間タイマがオーバフローしました。
Invalid timer (overflow).
【内容】プログラム実行中に実行時間タイマがオーバフローしました。
【対処】測定時間を短くしてください。
404
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
W1402S
S u p p o r t
M a n u a l
パフォーマンスバッファがオーバフローしました。
Invalid performance measuring data (buffer full).
【内容】実行中にパフォーマンス測定データを格納するバッファがバッファフルにな
りました。バッファフルになった以降は , 測定されていません。
【対処】測定回数を少なくしてください。
W1403S
パスカウントがオーバフローしました。
Invalid pass count (overflow).
【内容】パスカウントがオーバフローしました。
【対処】式中の項を確認の上 , 再入力してください。
W1404S
コマンド実行中にユーザリセットが入りました。
User reset.
【内容】コマンド実行中に MCU にユーザリセットが入りました。
【対処】[ デバッグ ] - [ 実行 ] - [ 連続実行 ] メニューを再入力してください。
W1405S
CR トリミングデータに異常がありましたが , 簡易修復されました。デバッグを安定
して継続するためには , トリミング値の再設定が必要です。
The CR trimming value was adjusted due to a CR trimming value error.
To continue debugging, adjust the CR trimming value.
【内容】デバイスにあらかじめ設定されていた CR トリミングデータに異常がありまし
たが , 簡易的にトリミング値が自動修復されました。デバッグを安定して継続
するためには , トリミング値の再設定が必要です。
【対処】トリミング値の再設定が必要です。再設定の方法については , サポートページ
をご参照頂くか , 弊社サポート窓口へご連絡下さい。なお , トリミング値の再
設定を行った場合 , デバッグは継続できますが , 量産システム上でマイコンが
正常に動作することは保証されません。
W1406S
MCU 停止中のため使用できません。
Command error(Now MCU stopping).
【内容】MCU 停止中に実行できないコマンドを実行しようとしました。
【対処】コマンドを確認してください。
July 31, 2015, CM41-00314-9
405
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
W1407S
S up po r t
M a nu al
デバッグリソースへのアクセスは行わないでください。
Do not access to debug resource.
【内容】ユーザプログラムブレーク中に DMAC がデバッグリソースの領域(H'10000..
H'103FF)をアクセスした場合 , 正常に読み書きができません。
【対処】DMAC がデバッグリソースの領域をアクセスする可能性がある場合 , ブレーク
時の DMA 転送は許可にしないでください。
W1408S
ソフトウェアブレークを有効にしました。ソフトウェアブレークは命令コードとし
てメモリ上に展開されます。
Enabled the software break. The software break is written as an instruction code in the
memory.
【内容】FLASH 領域にソフトウェアブレークを設定すると , 設定したアドレスのメモ
リ内容を一時的に書き換えます。
【対処】なし。
W1601S
DEBUG I/F の高速通信への切り替えに失敗しました。
Failed to switch to the high-speed communication of DEBUG I/F.
【内容】高速通信がおこなえなかったため , 高速通信の設定を無効に変更し , 通常通信
モードに切り替えました。
【対処】設定中の動作周波数を確認し , 再度高速通信を有効にしてください。
W1901S
セットアップファイルは読み取り専用です。セットアップ情報の変更は保存できま
せん。
The setup file is read-only. The change in setup information cannot be preserved.
【内容】セットアップファイルが読み取り専用のため , 保存できませんでした。
【対処】セットアップ名に対応するセットアップファイルのファイル属性から読み取
り属性をはずしてください。
W1902S
CPU 情報が正しくありません。
Invalid CPU information data.
【内容】CPU 情報ファイルの情報が正しくありません。
【対処】最新の CPU 情報ファイルを入手してください。
406
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
W1903S
S u p p o r t
M a n u a l
DLL のバージョンが古い可能性があります。
There is a possibility with an old version of DLL.
【内容】プログラム本体と DLL ファイルのバージョンが合っていません。
【対処】最新の SOFTUNE Workbench をインストールしてください。
W1904S
セットアップファイルを更新する必要があります。セットアップウィザードを起動
します。
Start "Setup Wizard" to update the setup file.
【内容】MCU 情報等が変更されたため , セットアップファイルの情報を更新する必要
があります。
【対処】セットアップウィザードにより , ファイルの更新を行ってください。
W1905S
リアルタイムモニタリングが無効となったウォッチ変数があります。
Please check! There is watch-variable that the realtime-monitoring function becomes invalid.
【内容】リアルタイム領域が変更されたため , リアルタイムモニタリングが無効となっ
たウォッチ変数があります。
【対処】リアルタイム領域とウォッチ変数の設定状態を確認してください。
W1906S
情報ファイルが最新ではありません。" 情報ファイル名 "
Information file is not the latest. "Information file name"
【内容】情報ファイルが最新ではありません。
【対処】最新の SOFTUNE Workbench をインストールしてください。
E4001S
コマンド名に誤りがあります。
Command error.
【内容】コマンドの文法またはラインアセンブラの文法に誤りがあります。
【対処】文法 , パラメータを確認の上 , 再入力してください。
E4002S
コマンド修飾子名に誤りがあります。
Command qualifier error.
【内容】コマンド修飾子名に誤りがあるか , 指定されたコマンド修飾子はそのコマンド
に存在しません。
【対処】コマンド修飾子名を確認の上 , 再入力してください。
July 31, 2015, CM41-00314-9
407
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4003S
S up po r t
M a nu al
文法に誤りがあります。
Syntax error.
【内容】コマンドの文法またはラインアセンブラの文法に誤りがあります。
【対処】文法 , パラメータを確認の上 , 再入力してください。
E4004S
パラメータが多すぎます。
Invalid parameter count (over limit).
【内容】パラメータの数が多すぎます。
【対処】コマンドの文法を確認の上 , 再入力してください。
E4005S
パラメータが足りません。
Invalid parameter omission.
【内容】省略できないパラメータを省略しました。
【対処】コマンドの文法を確認の上 , 再入力してください。
E4006S
パラメータに誤りがあります。
Parameter error.
【内容】パラメータの指定方法に誤りがあります。パラメータ名を間違えているか , 数
値として認識できません。
【対処】コマンドの文法または入力基数を確認の上 , 正しく再入力してください。
E4007S
計算式のオペランドに誤りがあります。
Invalid operand.
【内容】式中に不正な項があります。または , 浮動小数点数を用いて演算しようとしま
した。浮動小数点数による演算はできません。
【対処】式中の項を確認の上 , 再入力してください。
E4008S
演算子に誤りがあります。
Invalid operator.
【内容】式中に不正な演算子があります。
【対処】式中の演算子を確認の上 , 再入力してください。
408
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4009S
S u p p o r t
M a n u a l
計算式のオペランドがありません。
Syntax error (operand not found).
【内容】式中の多項演算子に項がありません。
【対処】式を確認の上 , 正しく入力してください。
E4010S
ダブルクォートまたはシングルクォート記号が足りません。
Syntax error (’ " ’ or ’ ’ ’ not found).
【内容】式中の " あるいは ' に対する右側の " あるいは ' がありません。または , 1 文字
以上からなる文字定数です。
【対処】式を確認の上 , 正しく入力してください。
E4011S
計算式のネストレベルが深すぎます。
Invalid nest level (over limit).
【内容】式中の ( ) , *, [ ] のネストレベルが 16 を超えています。または , 構造体 , 共用体
のネストレベルが 16 を超えています。
【対処】式を簡単にしてください。
E4012S
計算式で 0 による除算が起こりました。
Syntax error (dividing by zero).
【内容】式中において 0 による除算があります。
【対処】式中の項を確認の上 , 再入力してください。
E4013S
アドレスの指定に誤りがあります。
Invalid address specifying.
【内容】終了アドレスが開始アドレスよりも小さい場合 , または指定された範囲が複数
の領域にまたがっている場合が考えられます。
【対処】アドレスを確認の上 , 再入力してください。
E4014S
0x01 ~ 0xff 以外のビットパターンが指定されました。
Invalid bit pattern (over 0x01 to 0xff).
【内容】指定されたビットパターンが 0x01 ~ 0xff 以外の値です。
【対処】ビットパターンを確認の上 , 再入力してください。
July 31, 2015, CM41-00314-9
409
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4015S
S up po r t
M a nu al
0 ~ 31 以外のビットオフセットが指定されました。
Invalid bit offset (over 0 to 31).
【内容】指定されたビットオフセットが 0 ~ 31 以外の値です。
【対処】ビットオフセットを確認の上 , 再入力してください。
E4016S
レジスタ名に誤りがあります。
Invalid register or flag name (not found).
【内容】指定されたレジスタ / フラグ名は存在しません。
【対処】レジスタ / フラグ名を確認して , 再入力してください。
E4017S
シンボルが見つかりません。
Invalid symbol (not found).
【内容】指定されたシンボルはシンボルテーブル中に存在しません。または , 指定され
たシンボルがローカル変数で , そのシンボルパスネームがカレントスコープに
登録されていません。
【対処】シンボル名の記述に誤りがないか , そのシンボルが属するモジュールのシンボ
ル情報がシンボルテーブルに登録されているかを確認して , 再入力してくださ
い。シンボルが属するモジュールのシンボル情報がシンボルテーブルに登録さ
れている場合はシンボルパスネームをつけて指定するか , カレントスコープに
シンボルパスネームを登録してください。
E4018S
コマンド別名が見つかりません。
Invalid command alias (not found).
【内容】指定されたコマンドの別名は存在しません。
【対処】コマンドの別名を確認して再入力してください。
E4019S
行番号が見つかりません。
Invalid line number (not found).
【内容】ソースファイル中に指定された行番号はありません。または , ソースファイル
に対応したロードモジュールファイル ( 行番号情報 ) がロードされていません。
【対処】ソースファイルを確認して , 再入力してください。または , ソースファイルに
対応したロードモジュールファイルをロードしてください。
410
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4020S
S u p p o r t
M a n u a l
行番号が大きすぎます。
Invalid starting display line number (over ending line number).
【内容】ソース行表示開始行番号が表示終了行番号より大きいです。
【対処】行番号を確認の上 , 再入力してください。
E4021S
サイクル数の指定が 0 です。
Invalid cycle count (0).
【内容】サイクル数に 0 が指定されました。
【対処】サイクル数を確認の上 , 再入力してください。
E4022S
ブレークポイント番号が見つかりません。
Invalid break point number (not found).
【内容】指定されたブレークポイント番号は存在しません。
【対処】ブレークポイント番号を確認してください。
E4023S
データブレークポイント番号が見つかりません。
Invalid data break point number (not found).
【内容】指定されたデータブレークポイント番号は存在しません。
【対処】データブレークポイント番号を確認してください。
E4024S
ウォッチポイント番号が見つかりません。
Invalid watch point number (not found).
【内容】指定されたウォッチポイント番号は存在しません。
【対処】ウォッチポイント番号を確認してください。
E4025S
トレース開始番号に終了番号より大きな値が指定されました。
Invalid starting display trace number (over ending number).
【内容】表示開始トレース番号が表示終了トレース番号より大きいです。
【対処】トレース番号を確認の上 , 再入力してください。
July 31, 2015, CM41-00314-9
411
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4026S
S up po r t
M a nu al
書式制御文字列に誤りがあります。
Invalid format statement characters.
【内容】指定された書式制御文字列に誤りがあります。
【対処】書式制御文字列を確認の上 , 再入力してください。
E4027S
シンボルのスコープ指定に誤りがあります。
Invalid symbol (not found) path name.
【内容】指定されたシンボルパス名は存在しません。
【対処】シンボルパス名を確認の上 , 再入力してください。
E4028S
関数が見つかりません。
Invalid function (not found, or argument error).
【内容】指定された関数は存在しません。または関数の引数指定に誤りがあります。
【対処】関数または引数を確認の上 , 再入力してください。
E4029S
構造体・共用体のメンバ名がありません。
Invalid expression (used variable of structure or union type).
【内容】構造体または共用体型の変数を言語式中の項には使用できません。
【対処】式を見直してください。ただし , & 演算子を前置きすれば使用できます。
E4030S
行番号に対するアドレスが見つかりません。
Invalid address (not found).
【内容】行番号に対応するアドレスが存在しません。
【対処】行番号を見直してください。
E4031S
変数がアクティブではありません。
Invalid automatic variable reference.
【内容】指定された自動変数を変数が定義されている関数外で参照しようとしました。
【対処】自動変数は , 変数が定義されている関数内でなければ参照できません。
412
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4032S
S u p p o r t
M a n u a l
構造体・共用体のメンバが見つかりません。
Invalid variable specifying.
【内容】指定された変数は , 構造体または共用体変数のメンバではありません。
【対処】構造体または共用体のメンバを確認してください。
E4033S
浮動小数点数の形式に誤りがあります。
Floating point data format error.
【内容】浮動小数点データの形式が間違っています。
【対処】浮動小数点データの形式を見直してください。
E4034S
マクロコマンドが見つかりません。
Invalid macro command definition (not found).
【内容】指定されたマクロコマンド名は存在しません。
【対処】マクロコマンド名を確認の上 , 再入力してください。
E4035S
シンボルのアドレスが見つかりません。
Invalid symbol address (not found).
【内容】レジスタ変数またはレジスタに割り付けた変数のアドレスを参照しました。
例)
SET DATABREAK &r
変数が割り付けられたレジスタが CPU のアドレス空間上に存在する場合は , そ
のアドレスを参照することができます。
【対処】レジスタ変数およびレジスタに割り付けた変数のアドレスを参照することは
できません。
E4101S
コマンドのネストレベルが深すぎます。
Invalid command list nest level (over 8).
【内容】コマンドプロシージャ, コマンドマクロ , および , ブレークポイントのコマンド
リスト実行のネストが 8 レベルを超えています。
【対処】コマンド実行を見直してください。
July 31, 2015, CM41-00314-9
413
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4102S
S up po r t
M a nu al
シンボルが定義できません。
Symbol definition error.
【内容】ホスト PC のメモリに , コマンド実行に必要な充分な空きメモリがありません
でした。パソコン環境 (MS-DOS) において , 多くのデバイスドライバを組み込
みすぎた場合などに発生します。
【対処】ホスト PC の空きメモリを増やしてから , SOFTUNE Workbench を再起動してく
ださい。
E4103S
OS コマンドが実行できません。
OS command error.
【内容】OS コマンドの実行ができません。コマンドシェルのフォーマットが異常です。
【対処】正常なフォーマットのコマンドシェルを起動してください。
E4104S
コマンドシェルが見つかりません。
Invalid command shell (not found).
【内容】コマンドシェルが見つかりません。
【対処】コマンドシェルが起動できるように環境変数等の見直してください。
E4105S
コマンド別名に登録できない文字が含まれています。
Invalid alias string.
【内容】コマンド別名に登録できない文字が含まれています。
【対処】コマンド別名の登録を見直して , 再入力してください。
E4106S
同じマクロコマンドが既に登録されています。
Invalid macro command name (registered already).
【内容】同じマクロコマンドが既に登録されています。
【対処】マクロコマンド名を見直して , 再入力してください。
E4107S
マップの領域指定に誤りがあります。
Invalid memory map definition.
【内容】メモリマップの定義が複雑すぎるため , これ以上領域を定義できません。メモ
リの領域属性設定時 , 異なる属性の領域が多すぎると内部テーブルがあふれま
す。
【対処】メモリマップを簡略化してください。
414
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4108S
S u p p o r t
M a n u a l
メモリが足りません。
Memory allocation error.
【内容】ホスト PC のメモリに , コマンド実行に必要な充分な空きメモリがありません
でした。多くのソフトウェアを起動した場合などに発生します。
【対処】ホスト PC の空きメモリを増やしてから , SOFTUNE Workbench を再起動してく
ださい。
E4109S
アドレスが最大値を超えました。
Object loading error.
【内容】オブジェクトのロード先が , アドレスの最大値を超えました。
【対処】オブジェクトの大きさとロード先または , 指定したアドレスを確認してくださ
い。
E4110S
ログファイルは既にオープンしています。
Log file open error (already).
【内容】ログファイルは既にオープンしています。
【対処】新しいログファイルをオープンするためには , 今のログファイルを閉じてから
行ってください。
E4111S
マップ未定義アドレスをアクセスしました。
Memory access error.
【内容】未定義属性のメモリをアクセスしようとしました。アドレスの部分には ,
エ
ラーの原因となるアクセスを行ったアドレスが表示されます。
【対処】メモリマップを確認してください。
E4112S
構造体・共用体のネストレベルが深すぎます。
Invalid nest level of structure or union (over 16).
【内容】デバッグ情報テーブルをホスト PC のメモリ上に作成できませんでした。
【対処】ホスト PC の空きメモリを増やして , SOFTUNE Workbench を再起動してくださ
い。
July 31, 2015, CM41-00314-9
415
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4113S
S up po r t
M a nu al
デバッグ情報テーブルを作成できませんでした。
Debug data table creation error.
【内容】デバッグ情報テーブルをホスト PC のメモリ上または , インストールファイル
中の TMP で指定されているディレクトリ上に作成できませんでした。
【対処】ホスト PC の空きメモリを増やして , SOFTUNE Workbench を再起動してくださ
い。または , インストールファイル中の TMP で指定されているディレクトリの
状態を調べてください。
E4114S
ログファイルがオープンされていません。
Logging control command error.
【内容】ログファイルがオープンされていない状態で , ログファイルへの操作が行われ
ました。
【対処】ログファイルがオープンされているかを確認してください。
E4115S
同じコマンド別名が既に登録されています。
Invalid alias name (registered already).
【内容】同じコマンド別名が既に登録されています。
【対処】コマンド別名を見直して , 再入力してください。
E4116S
コマンド別名が見つかりません。
Invalid alias name (not found).
【内容】指定されたコマンド別名は存在しません。
【対処】コマンド別名を確認の上 , 再入力してください。
E4117S
データの型が合いません。
Data type error.
【内容】データの型が合っていません。
【対処】データを確認後 , 再入力してください。
E4118S
構造体・共用体は指定できません。
Invalid member name (not specified).
【内容】構造体または , 共用体名は指定できません。
【対処】メンバ名を付けて指定してください。
416
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4119S
S u p p o r t
M a n u a l
ブレークポイントが設定できません。
Break point and data break point setting error.
【内容】ブレークポイントおよび , データブレークポイントの設定ができません。
MB2198 または MB2147-01 エミュレータの場合には , データ監視ブレークの設
定ができない場合にも表示されます。
【対処】ブレークポイントの設定内容および , 最大設定数を確認してください。
MB2198 または MB2147-01 エミュレータ の場合 , ブレークポイントがトレース
トリガやデータ監視ブレークと重複している可能性があります。イベントリス
トで設定状態を確認してください。
MB2145-506/507 エミュレータポッドまたは MB2147-05 エミュレータの場合 ,
デバッグ領域外の最大設定数を超えている可能性があります。デバッグ領域を
確認してください。
E4120S
CALL コマンドが既に実行中です。
CALL command error.
【内容】CALL コマンドが既に実行中です。CALL コマンドはネストできません。
【対処】CLEAR CALL コマンドにより CALL を中断するか , もしくは , GO , STEP コマ
ンドでコール動作が終了するまで実行させて, その後, CALL コマンドを行って
ください。
E4121S
親関数が見つかりません。
Invalid function (at the top).
【内容】これ以上上位の関数がないか , C 言語以外のプログラムから呼び出されていま
す。
【対処】現在の関数を確認してください。
E4122S
これ以上 DOWN できません。
Invalid function (at the bottom).
【内容】これ以上下位の関数がないか , C 言語以外のプログラムから呼び出されていま
す。
【対処】現在の関数を確認してください。
E4123S
カバレッジ領域数が多すぎます。
Invalid coverage map (over-full).
【内容】カバレッジ領域は , これ以上設定できません。
【対処】カバレッジ領域に指定を簡略化してください。
July 31, 2015, CM41-00314-9
417
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4124S
S up po r t
M a nu al
カバレッジの設定に誤りがあります。
Coverage area setting error.
【内容】カバレッジ領域の設定がありません。
【対処】カバレッジ領域の設定を行って指定してください。
E4125S
カバレッジ領域指定に誤りがあります。
Invalid coverage area.
【内容】カバレッジ領域外を指定しました。
【対処】カバレッジ領域を確認して指定してください。
E4126S
カバレッジファイルではありません。
Invalid coverage file.
【内容】カバレッジファイル以外を指定しました。
【対処】ファイル内容を確認してください。
E4127S
デバッグ情報がロードされていません。
Invalid debug data (not loaded).
【内容】デバッグ情報ファイルがロードされていません。
【対処】デバッグ情報ファイルをロードしてからカバレッジ指定を行ってください。
E4128S
マップ領域がオーバラップしています。
Mapping overlap.
【内容】指定されたマップ領域がほかの領域と重なっています。
【対処】マップ指定を確認の上 , 再入力してください。
E4129S
指定アドレスが , サイズ指定によって決まる境界に合っていません。
Invalid address (mis-alignment).
【内容】FR ファミリ MCU では , 16 ビットデータ , 32 ビットデータは , それぞれ 16 ビッ
ト境界 , 32 ビット境界のアドレスしかアクセスできません。
【対処】指定アドレスを見直してください。
418
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4130S
S u p p o r t
M a n u a l
現在の位置を表示するソースウィンドウがオープンできません。
Cannot open current source window.
【内容】現在の位置を表示するソースウィンドウが設定されているソース検索ディレ
クトリ内に見つかりませんでした。
【対処】ソースファイルのあるディレクトリを設定してください。
E4131S
現在のデバッガ種別では使用できません。
Cannot be used in current mode of debugger.
【内容】デバッガの種類に応じて使用できる機能が異なります。
【対処】デバッガの種別を確認してください。
E4132S
現在のデバッグ機能では使えないコマンドです。
Command error(debug function violation).
【内容】デバッグ機能に応じて使用できる機能が異なります。
【対処】[ 環境 ] - [ デバッグ環境の設定 ] - [ デバッグ機能の選択 ] メニューでデバッグ
機能の設定を確認してください。
E4133S
タスクデバッグ機能が有効ではありません。
Task debugging cannot be used.
【内容】タスクデバッグ機能が有効なオブジェクトがロードされていない場合 , タスク
デバッグ機能は使用できません。
【対処】タスクデバッグ機能付きオブジェクトをロードしてください。
E4134S
MMU アクセスエラーが発生しました。
MMU data access exception.
【内容】MMU アクセスエラーが発生しました。
【対処】不正なメモリをアクセスしていないか確認してください。
E4135S
レジスタ例外が発生しました。
Register exception.
【内容】未定義のレジスタをアクセスしています。
【対処】復旧できない場合はターゲットの電源を入れ直してください。正しいレジスタ
をアクセスしているか確認してください。
July 31, 2015, CM41-00314-9
419
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4136S
S up po r t
M a nu al
並列命令の先頭アドレスではありません。
Not the first address of a parallel instruction.
【内容】指定されたアドレスは並列に実行される命令の先頭のアドレスではありませ
ん。
【対処】並列に実行される命令の先頭のアドレスを指定してください。
E4137S
レジスタにアクセスできません。
Register access error.
【内容】レジスタに対してアクセスできません。
【対処】チップの種類により操作できるレジスタが異なります。詳細はご使用の品種の
ハードウェアマニュアルを参照してください。
E4138S
64 ビット境界を越えるアドレスとサイズの組み合わせは指定できません。
Invalid combination of size and address beyond 64 bits boundary.
【内容】指定アドレスから 64 ビット境界を跨ぐようなサイズは指定できません。
【対処】指定アドレスから 64 ビット境界に収まるようなサイズを指定してください。
E4139S
設定数を超えています。
Over point.
【内容】指定した機能は , 最大設定数を超えています。
FR 用 MB2198 エミュレータや F2MC-16 用 MB2147-01 エミュレータで , トレー
ストリガやデータ監視ブレーク , RAM チェッカを設定したときに表示されま
す。
【対処】現在の設定数と設定状態を確認し , 不要な設定を削除して改めて設定してくだ
さい。
E4140S
指定した番号がみつかりません。
Invalid point number.
【内容】指定したポイント番号がみつかりません。
イベントの削除などで , 指定したポイント番号が存在しない場合に表示しま
す。
【対処】ポイント番号を確認してください。
420
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4141S
S u p p o r t
M a n u a l
同じアドレスが設定されています。
The same address is set.
【内容】同じアドレスに対して , 同じ機能を設定しています。
例えば , シーケンサの設定のとき , 複数のレベルに対して同じアドレスを設定
した場合などに表示します。
【対処】現在の設定数と設定状態を確認し , 不要な設定を削除して改めて設定してくだ
さい。
E4142S
監視条件が設定されていません。
Invalid break condition.
【内容】指定した機能は , 最大設定数を超えています。
FR 用MB2198 エミュレータで, イベント用リソースが不足して監視条件ブレー
クが設定できないときに表示されます。
【対処】現在の設定数と設定状態を確認し , 不要な設定を削除して改めて設定してくだ
さい。
E4143S
このデバイスではサポートされていません。
No supported function with this device. (MBXXXX)
【内容】このデバイスではサポートされていない機能を指定しました。
【対処】評価用チップ , OCD またはエミュレータに実装されていない機能を指定した
可能性があります。エラーメッセージ内の MB 番号 /OCD 情報を基に , ご使用
の品種のハードウェアマニュアルまたは『SOFTUNE Workbench コマンドリ
ファレンスマニュアル』を参照してください。
E4144S
RAM チェッカは USB デバイスでご使用ください。
Please use the RAM checker function with an USB device.
【内容】通信デバイスに USB 以外 (RS または LAN) が使用されているため , RAM チェッ
カが使用できません。
【対処】RAM チェッカを使用する場合は , 通信デバイスに USB を使用してください。
E4201S
ファイルがアクセスできません。
File access error.
【内容】ファイルに対してアクセスができませんでした。
【対処】ホスト PC のディスクの状態を調べてください。
July 31, 2015, CM41-00314-9
421
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4202S
S up po r t
M a nu al
ファイルがクローズできません。
File close error.
【内容】ファイルのクローズ処理ができません。
【対処】ホスト PC のディスクの状態を調べてください。
E4203S
ファイルがオープンできません。
File open error.
【内容】ファイルがオープンできません。
【対処】ファイル名またはホスト PC のディスクの状態を調べてください。または , ファ
イルのあるディレクトリを確認してください。
E4204S
ファイルが書き込めません。
Data write error.
【内容】ファイルへデータを書き込めません。
【対処】ホスト PC のディスクの状態を調べてください。
E4205S
アドレスに対する行番号が見つかりません。
Invalid line number (not found).
【内容】指定されたアドレスに対応するソース行が存在しません。ソースウィンドウ表
示で , 対応するソース行が存在しない場合も表示されます。
【対処】アドレスを見直してください。または , デバッグ情報付きのロードモジュール
をロードしてください。
E4206S
エイリアスファイルがロードできません。
Alias file load error.
【内容】指定されたエイリアスファイルがロードできません。
【対処】エイリアスファイル名またはホスト PC のディスクの状態を調べてください。
または , ファイルのあるディレクトリを確認してください。
E4207S
エイリアスファイルがセーブできません。
Alias file save error.
【内容】指定されたエイリアスファイルが保存できません。
【対処】ホスト PC のディスクの状態を調べてください。
422
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4208S
S u p p o r t
M a n u a l
ファイルの形式が違います。
Invalid file format.
【内容】ロードしようとしたファイルの形式が違っています。
【対処】ファイルの内容を確認してください。
E4209S
ファイルがリードできません。
Open file read error.
【内容】オープンしたファイルの読み出し途中でエラーが発生しました。
【対処】読み出し中のファイル ( ドライブ ) を確認してください。
E4301S
割込み番号に誤りがあります。
Invalid interrupt factor number.
【内容】指定された割込み番号は存在しません。
【対処】割当てできる割込み番号を指定してください。(IRQ0 ~ IRQ47)
E4302S
I/O バッファ番号に誤りがあります。
Invalid I/O buffer number.
【内容】指定された I/O バッファ番号は存在しません。
【対処】シミュレータデバッガでは I/O バッファは 0 ~ 3 個まで用意されています。
E4303S
ポートアドレスが大きすぎます。
Invalid port address.
【内容】ポートアドレスの範囲を超えたアドレスが指定されました。
【対処】ポートアドレスは , MCU の I/O 領域内しか指定できません。MCU の I/O 領域
アドレス内のアドレスを指定してください。
E4304S
データ出力先は既に使われています。
Invalid output destination.
【内容】データ出力先に既に使用されている出力先が指定されました。
【対処】まだ使用されていないデータの出力先を指定してください。
July 31, 2015, CM41-00314-9
423
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4305S
S up po r t
M a nu al
ポート数が多すぎます。
Invalid port count.
【内容】指定されたポートの数が 4096 個を超えてしまいました。
【対処】4096 個を超えるポートの指定はできません。
E4306S
シミュレーションメモリが確保できません。
Simulation memory allocation error.
【内容】シミュレーションメモリをホストPCの内部メモリ上に作成できませんでした。
【対処】ホスト PC の内部メモリを増設してください。
E4307S
入力ポートに割り当てたファイルの内容に誤りがあります。
Invalid inport data file.
【内容】入力ポートに割り当てたファイル名が間違っているか , またはそのファイルが
存在しません。
【対処】ファイルの記述形式を確認してください。
E4401S
メモリベリファイエラーが発生しました。
Verify error.
【内容】コマンドによるメモリへの書込み時にベリファイエラーが発生しました。
【対処】値の変化する I/O 領域に書込みをしていないか , メモリが実装されているか, ま
た , メモリに異常がないかを調べてください。
E4402S
エミュレーションメモリでパリティエラーが発生しました。
Parity error (at emulation memory).
【内容】エミュレーションメモリへのアクセスでパリティエラーが発生しました。
【対処】エミュレータ本体をリセットし , 再起動してください。頻繁に発生する場合は ,
エミュレーションメモリの故障が考えられます。
E4403S
エミュレータの作業用メモリでパリティエラーが発生しました。
Parity error (at debug memory).
【内容】エミュレータ作業用メモリのアクセスでパリティエラーが発生しました。
【対処】エミュレータ本体をリセットし , 再起動してください。頻繁に発生する場合は ,
エミュレータ作業用メモリの故障が考えられます。
424
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4404S
S u p p o r t
M a n u a l
MCU 実行中は使えないコマンドです。
Command error (MCU is busy).
【内容】MCU 実行中に実行できないコマンドを実行しようとしました。
【対処】コマンドを確認してください。
E4408S
イベント番号が見つかりません。
Invalid event number (not found).
【内容】指定されたイベント番号は存在しません。
【対処】イベント番号を確認してください。
E4409S
レベル番号が見つかりません。
Invalid level number (not found).
【内容】指定されたレベル番号が存在しません。
【対処】レベル番号を確認してください。
E4410S
このイベントモードでは使えないコマンドです。
Command error (event mode violation).
【内容】イベントモードに違反するコマンドを指定しました。
【対処】
[環境]-[デバッグ環境の設定]-[デバッグ環境]メニューでイベントモード
の設定を確認してください。
E4411S
ラッチ番号が見つかりません。
Invalid latch number (not found).
【内容】指定されたラッチ番号が存在しません。
【対処】ラッチ番号を確認してください。
E4412S
ユーザシステムからの電源電圧に異常があります。
Invalid supply voltage.
【内容】ユーザシステムから供給されている電源電圧に異常があります。
【対処】ユーザシステムの電源電圧を見直してください。
July 31, 2015, CM41-00314-9
425
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4413S
S up po r t
M a nu al
MCU が STOP モードか , またはシステムクロックに異常があります。
MCU is in STOP mode, or invalid system clock.
【内容】ユーザシステムから供給されるシステムクロックに異常があります。
【対処】ユーザシステムのシステムクロックを見直してください。
E4414S
MCU がリセットできません。
MCU reset error.
【内容】MCU のリセットが正常に行えません。
【対処】リセット時に読み出したモードデータ , リセットベクタが正しい値ではないこ
とが考えられます。正しい値を設定してやり直してください。なお , ユーザメモ
リからモードデータを読み出す場合に , このエラーが発生するとユーザメモリ
が読めなくなるため , エミュレーションメモリにマップしてから , [ デバッグ ] [MCU のリセット ] メニューを実行してください。
E4415S
MCU が応答しません。
Invalid MCU.
【内容】MCU が動作できない状態にあるため , コマンドが実行できません。
【対処】1. リセットベクタ , モードデータを設定して [ デバッグ ] - [MCU のリセット ]
メニューを実行してください。
2. ユーザシステム側でスリープ , ストップ , ホールド状態を解除するか , リ
セットベクタ , モードデータを設定して [ デバッグ ] - [MCU のリセット ] メ
ニューを実行してください。ただし , ホールド状態は [ デバッグ ] - [MCU の
リセット ] メニューでは , 解除できません。
3. コマンドの実行結果を確認してください。
E4416S
分岐先レベル番号に誤りがあります。
Invalid jump level number.
【内容】シーケンサの分岐先レベル番号に誤りがあります。
【対処】分岐先レベル番号を見直してください。なお , 指定するレベルと同じレベルに
は , 分岐できません。
E4417S
内部 ROM リアルタイムモードでは使えないコマンドです。
Command error (on internal ROM real-time mode).
【内容】MCU の実行モードがネイティブモードのため , コマンドが実行できません。
【対処】MCU の実行モードをデバッグモードに変更してください。
426
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4418S
S u p p o r t
M a n u a l
ユーザリセットが入っているためコマンドが実行できません。
Command error (user reset).
【内容】ユーザリセットが入っているため , コマンドが実行できません。また , 既にユー
ザリセットが解除されていてもこのエラーが出ます。
【対処】ユーザリセットを解除後 , [ デバッグ ] - [MCU のリセット ] メニューを実行し
てから , 再度コマンドを実行してください。
E4419S
ABORT コマンドが実行できません。
Abort command error.
【内容】SLEEP , STOP 状態のため , ABORT コマンドが実行できません。
【対処】SLEEP , STOP 状態を解除してください。
E4420S
ハードウェアスタンバイ状態のためコマンドが実行できません。
Command error (hardware standby).
【内容】ハードウェアスタンバイ状態のため , コマンドが実行できません。また , 既に
ハードウェアスタンバイが解除されていてもこのエラーが出ます。
【対処】ハードウェアスタンバイを解除後 , [ デバッグ ] - [MCU のリセット ] メニュー
を実行してから , 再度コマンドを実行してください。
E4421S
現在のタイマモードでは , このコマンドは実行できません。
Command error (timer-mode violation).
【内容】タイマモードがタイマの場合には SHOW CYCLE, CLEAR CYCLE コマンドが ,
タイマモードがサイクルの場合には SHOW TIMER, CLEAR TIMER コマンドが
実行できません。
【対処】タイマモードを確認の上 , 再入力してください。
July 31, 2015, CM41-00314-9
427
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4422S
S up po r t
M a nu al
ブレークポイントが見つかりません。
Invalid break point (not found).
【内容】ソフトウェアブレークポイントが設定されているアドレスの内容が , プログラ
ム実行によって書き換えられたため , ソフトウェアブレークポイントが無効に
なりました。または , ソフトウェアブレークポイントの埋め戻し時にエラーが
発生し , ソフトウェアブレークポイントがメモリに残ってしまいました。この
場合 , ロードされているプログラムの内容 , および , ソフトウェアブレークポイ
ントの設定内容は保証されません。
【対処】ソフトウェアブレークをすべて削除し , プログラムの内容を見直してください。
ソフトウェアブレークがメモリ内に残っている場合は , プログラムをロードし
直してください。
E4423S
モニタ内部でスタックチェック例外が発生しました。
Monitor hit stack-check function.
【内容】ユーザプログラムへの復帰時にモニタ内部でスタックチェック例外が発生し
ました。
【対処】スタックチェック機能を無効にするか , 使用できるスタック領域を増やしてく
ださい。
E4424S
ユーザリソースアクセス中に例外が発生しました。[" 詳細情報 "]
Exception occurred while accessing user resource. ["detailed information"]
【内容】指定のユーザリソースをアクセス中に , 詳細情報に示される例外を検出したた
め , 処理を中断しました。
【対処】詳細情報を基に , ご使用の品種のハードウェアマニュアルに記述されている例
外発生要因から該当する事項を確認してください。FR-V では , 詳細情報として ,
例外が発生したアドレスとトラップタイプ (TT) を "address TT:nn" の形式で表
示します。MMU に関連する要因の場合は , デバッガでの操作が必要な場合も
ありますので , 『SOFTUNE Workbench 操作マニュアル』の「4.7.2.3 デバッグ
環境設定」を参照してください。
E4425S
区間番号に誤りがあります。
Invalid area number.
【内容】指定された区間番号は存在しません。
【対処】設定できる区間番号を指定してください。
428
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4426S
S u p p o r t
M a n u a l
このイベントモードでは使えないコマンドです。(Performance)
Command error(event mode violation<Performance>).
【内容】イベントモードがパフォーマンスモードのため , コマンドが実行できません。
【対処】イベントモードをコマンドが使用できるモードに変更してください。
E4427S
このイベントモードでは使えないコマンドです。(Single trace)
Command error(event mode violation<Single trace>).
【内容】イベントモードがシングルトレースモードのため,コマンドが実行できません。
【対処】イベントモードをコマンドが使用できるモードに変更してください。
E4428S
このイベントモードでは使えないコマンドです。(Multi trace)
Command error(event mode violation<Multi trace>).
【内容】イベントモードがマルチトレースモードのため , コマンドが実行できません。
【対処】イベントモードをコマンドが使用できるモードに変更してください。
E4429S
トレース取得中です。
Command error(The trace function is operating).
【内容】トレース取得中に実行できないコマンドを実行しようとしました。
【対処】コマンドを確認してください。
E4430S
アクセスサイズがワード指定の場合 , 奇数アドレスでの設定はできません。サイズ
無視を推奨します。
If Accsess size is word, this event cannot be set. Recommend 'Don't care'.
【内容】アクセスサイズをワードに指定しているにもかかわらず , 奇数アドレスを設定
しようとしました。
【対処】アクセスサイズを " サイズ無視 " に指定してください。
July 31, 2015, CM41-00314-9
429
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4431S
S up po r t
M a nu al
RAM の内容が破壊されました。
Data on the RAM is broken.
【内容】デバッガが一時的に使用した内部 RAM の復元に失敗しました。
デバッガは以下の条件で内部 RAM の一部を使用します。
• 実行開始時
• ブレーク時
• リセット時
• デバッグ終了時
【対処】必要に応じて RAM の内容を再設定してください。
E4432S
現在のパスカウントモードでは使えないコマンドです。
Command error(pass count mode violation).
【内容】現在のパスカウントモードでは使用できないコマンドを入力しました。
【対処】パスカウントモードを切り換えてください。
E4433S
現在の実行時間モードでは使えないコマンドです。
Command error(time measurement mode violation).
【内容】現在の実行時間モードでは使用できないコマンドを入力しました。
【対処】実行時間モードを切り換えてください。
E4434S
デバッグリソースへの不正アクセス ( プログラムまたは DMA) を検出しました。
Detected debug resource access violation (by user operation [program, DMA]).
【内容】ユーザプログラムブレーク中にデバッグリソースへのアクセスを検出しまし
た。ユーザプログラムブレーク中はデバッグリソースへのアクセスが正しくお
こなわれません。
【対処】ユーザプログラムブレーク中にデバッグリソースに対し DMA 転送がおこなわ
れる可能性がある場合は , デバッグ環境設定ダイアログの[エミュレーション]
タブの[ブレーク時の DMA 動作]を禁止にし , DMA 転送が発生しないように
してください。
E4435S
ソフトウェアブレークの設定は禁止されています。
Command error(The software break is not allowed).
【内容】ソフトウェアブレークの設定が禁止されているにもかかわらず , ソフトウェア
ブレークを設定しようとしました。
【対処】ソフトウェアブレークを使用許可に設定してください。
430
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4436S
S u p p o r t
M a n u a l
パフォーマンス測定中です。
Command error(The performance function is operating).
【内容】パフォーマンス測定中に実行できないコマンドを実行しようとしました。
【対処】コマンドを確認してください。
E4437S
スリープ状態の周辺リソースへのアクセスが発生しました。
The peripheral resources of Sleep mode was accessed.
【内容】スリープ状態の周辺リソースへはアクセスできません。
【対処】スリープ時は周辺リソースへのアクセスを行わないでください。
E4438S
DEBUG I/F の高速通信への切り替えに失敗しました。
Failed to switch to the high-speed communication of DEBUG I/F.
【内容】現在の高速通信用基準クロックは , 設定可能なクロックの範囲外です。
【対処】設定した高速通信用の基準クロックに誤りがないか確認してください。
E4439S
エミュレータの接続が失われたため , 再接続を行いました。ユーザシステムを初期
化してください。
Since connection with the emulator is lost, the emulator is reconnected. Please initialize the
user system.
【内容】デバッガが USB で接続しているエミュレータと通信できなくなったため , 接続
しなおしました。
【対処】ノイズによりエミュレータとの通信が切断した場合や , エミュレータの電源切
断中にユーザシステムにリセットが入った場合 , ユーザシステムにも影響があ
ります。その場合 , 正常なデバッグが行えない可能性があります。ユーザシス
テムをリセットするか , デバッグを終了して改めてデバッグを開始してくださ
い。
E4501S
メモリベリファイエラーが発生しました。
Verify error.
【内容】コマンドによるメモリへの書込み時にベリファイエラーが発生しました。
【対処】値の変化する I/O 領域に書込みをしていないか , メモリが実装されているか, ま
た , メモリに異常がないかを調べてください。
July 31, 2015, CM41-00314-9
431
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4502S
S up po r t
M a nu al
スタック領域が確保されていません。
Illegal stack area.
【内容】モニタデバッガで使用するスタック領域がアクセスできません。
【対処】スタック領域を正しく確保してください。
E4503S
システムコールを実行できる状態ではありません。
System call error (cannot execute).
【内容】システムコールを正常に実行できる状態ではありません。
【対処】システムコールの発行できる状態で実行してください。割込み禁止状態である
場合などが考えられます。
E4504S
このコマンドは , 組み込まれていません。
This command is not built-in.
【内容】ターゲット側に対応する機能が組み込まれていません。
【対処】ターゲット側プログラムに機能を組み込んでください。
E4505S
LOAD コマンド以外でフラッシュ領域の変更はできません。
FLASH area can be changed only by LOAD command.
【内容】フラッシュ領域へのアクセスは LOAD コマンド以外では行えません。
【対処】フラッシュ領域の内容を変更したい場合は , 変更用ファイルを作成し , LOAD
コマンドにてロードしてください。
E4506S
ROM/RAM 領域が混在したファイルのロードはできません。
The file of mixed ROM/RAM areas can not be loaded.
【内容】同一ファイルにて ROM 領域と RAM 領域へのロードは行えません。
【対処】フラッシュ領域へロードするファイルはフラッシュ領域だけ, RAM領域へロー
ドするファイルは RAM 領域だけで , ファイルを作成してください。
E4601S
通信エラーが発生しました。
Invalid communication status (or cable connection).
【内容】通信回線の状態が異常であるか , ケーブルが正しく接続されていません。
【対処】回線の接続状態を確認してください。
CPU がサブクロックモードで実行中の場合はターゲットボード上のリセット
ボタンを押してください。
432
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4602S
S u p p o r t
M a n u a l
パラレルアダプタが接続されていません。
Communication : Parallel adapter not connected.
【内容】パラレル通信アダプタが接続されていません。
【対処】MB2141 へパラレル通信アダプタを正しく接続し , 再度実行してください。
E4603S
パラレルアダプタのバージョンが適合しません。
Communication : Mismatch parallel adapter version.
【内容】パラレル通信アダプタの版数が古いため , 通信できません。
【対処】最新のパラレル通信アダプタをご用意ください。
E4604S
通信エラー:指定されたホスト名が見つかりません。
Communication : Cannot find host name.
【内容】指定されたホスト名が hosts ファイルに登録されていません。
【対処】ホスト名を hosts ファイルに登録してください。詳細は ,『SOFTUNE Workbench
操作マニュアル』の「付録 C LAN インタフェースの設定」を参照してください。
E4605S
通信エラー:エミュレータのポート番号が取得できません。
Communication : Cannot find port number.
【内容】services ファイル内にエミュレータのポート番号が定義されていません。
【対処】ポート番号をservices ファイルに登録してください。
詳細は,『SOFTUNE Workbench
操作マニュアル』の「付録 C LAN インタフェースの設定」を参照してください。
E4606S
通信エラー:デバイスに接続できません。
Communication : Cannot open device.
【内容】指定されたデバイスに異常があるか , 正しく接続されていません。
【対処】指定されたデバイスが正しく接続されているか確認してください。
E4607S
通信エラー:タイムアウト
Communication : Time out.
【内容】送信情報に対する受信情報が一定時間内に受信されませんでした。
【対処】指定されたデバイスが正しく接続されているか確認してください。
July 31, 2015, CM41-00314-9
433
付録
付録 B デバッガ関係のエラーメッセージ
So ft war e
E4608S
S up po r t
M a nu al
通信エラー:DEBUG I/F でエラーが発生しました。
Communication : DEBUG I/F error.
【内容】DEBUG I/F における通信で問題が発生しました。
【対処】DEBUG I/F ケーブルの接続状態を確認してください。
E4609S
通信エラー:USB でエラーが発生しました。
Communication : USB error.
【内容】USB 通信で問題が発生しました。
【対処】USB ケーブルの接続状態を確認してください。
E4610S
通信エラー:DEBUG I/F でタイムアウトが発生しました。
Communication : Time out(DEBUG I/F).
【内容】ターゲットと通信ができません。ターゲットに問題がある可能性が高いです。
【対処】ターゲットを再起動してください。
E4611S
通信エラー:デバッグプログラムのタイムアウトが発生しました。
Communication : Time out(debug program).
【内容】ターゲットと通信ができません。ターゲットに問題がある可能性が高いです。
【対処】ターゲットを再起動してください。
E4901S
タイマリソースが足りません。
Not enough timer resource.
【内容】Windows のタイマリソースが使用できません。
【対処】ほかのアプリケーションを終了し , 再度実行してください。
E4902S
そのキーコードは定義できません。
The key code cannot be defined.
【内容】そのキーコードは定義できません。
【対処】ほかのキーコードに定義してください。
434
CM41-00314-9, July 31, 2015
付録
付録 B デバッガ関係のエラーメッセージ
S o ft w a r e
E4903S
S u p p o r t
M a n u a l
フラッシュメモリの書き込みに失敗しました。
Write error(at flash memory).
【内容】フラッシュメモリへの書き込み中にタイムリミット超過が発生しました。
【対処】このエラーが表示された場合は , 直ちに営業担当までご連絡願います。
E4904S
フラッシュメモリの消去に失敗しました。
Erase error(at flash memory).
【内容】フラッシュメモリの消去中にタイムリミット超過が発生しました。
【対処】このエラーが表示された場合は , 直ちに営業担当までご連絡願います。
E4905S
MCU のセキュリティ機能が有効なため,使用できません。
Don't use because enabled MCU security.
【内容】MCU のセキュリティ機能が有効な場合に使用できない機能を操作しました。
【対処】MCU のセキュリティ機能を解除してください。
July 31, 2015, CM41-00314-9
435
付録
付録 C デバッガ中断メッセージ
So ft war e
付録 C
S up po r t
M a nu al
デバッガ中断メッセージ
デバッガ中断メッセージを以下に示します。
■ デバッガ中断メッセージ
Break at アドレス by breakpoint
【内容】ソフトウェアブレークポイントによってブレークした場合に表示されます。ア
ドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by hardware breakpoint
【内容】ハードウェアブレークポイント (GO コマンドで指定したブレークポイントも
含む ) によってブレークした場合に表示されます。アドレスは , 実行を停止し
た ( 次に実行する命令の ) アドレスです。
Break at アドレス by code event break (No. コードイベント番号 )
【内容】コードイベントによってブレークした場合に表示されます。アドレスは , 実行
を停止した ( 次に実行する命令の ) アドレスです。コードイベント番号は , ブ
レーク要因となったコードイベントの番号です。
Break at アドレス by code event break (sequential)
【内容】コードイベント 1 , 2 によるシーケンシャルブレークによってブレークした場
合に表示されます。アドレスは , 実行を停止した ( 次に実行する命令の ) アド
レスです。
Break at アドレス by data event break (No. データイベント番号 )
【内容】データイベントによってブレークした場合に表示されます。アドレスは , 実行
を停止した ( 次に実行する命令の ) アドレスです。データイベント番号は , ブ
レーク要因となったデータイベントの番号です。
436
CM41-00314-9, July 31, 2015
付録
付録 C デバッガ中断メッセージ
S o ft w a r e
S u p p o r t
M a n u a l
Break at アドレス by data event break (sequential)
【内容】データイベント 1 , 2 によるシーケンシャルブレークによってブレークした場
合に表示されます。アドレスは , 実行を停止した ( 次に実行する命令の ) アド
レスです。
Break at アドレス by trace buffer full
【内容】トレースバッファフルによってブレークした場合に表示されます。アドレスは ,
実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by alignment error break (code)
【内容】コードフェッチによるアライメントエラーによってブレークした場合に表示
されます。アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by alignment error break (data)
【内容】データアクセスによるアライメントエラーによってブレークした場合に表示
されます。アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by external trigger break
【内容】エミュレータが持っている TRIG 端子への外部信号の入力によってブレークし
た場合に表示されます。アドレスは , 実行を停止した ( 次に実行する命令の ) ア
ドレスです。
Break at アドレス by trace lost break
【内容】トレースデータの欠落によりブレークした場合に表示されます。アドレスは ,
実行を停止した ( 次に実行する命令の ) アドレスです。
July 31, 2015, CM41-00314-9
437
付録
付録 C デバッガ中断メッセージ
So ft war e
S up po r t
M a nu al
Break at アドレス by data break at アクセスアドレス
【内容】データブレークポイントによってブレークした場合に表示されます。アドレス
は , 実行を停止した ( 次に実行する命令の ) アドレスです。アクセスアドレス
は , ブレーク要因となったアクセスを行ったアドレスです。
Break at アドレス by guarded access アクセス種別 at アクセス
【内容】コードフェッチができない領域のコードフェッチアクセス , またはデータリー
ドができない領域のリードアクセス , またはデータライトができない領域のラ
イトアクセスのいずれかによってブレークした場合に表示されます。
メモリの属性 , またはプログラムに誤りがあると考えられます。
アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
アクセス種別は , ブレーク要因となったアクセスの種類です。
アクセスアドレスは , ブレーク要因となったアクセスを行ったアドレスです。
Break at アドレス by dispatch task from task ID=< ディスパッチ元タスク ID > to task
ID=< ディスパッチ先タスク ID>
【内容】タスクディスパッチブレークによってブレークした場合に表示されます。アド
レスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by system call < システムコール名 > on task ID=< タスク ID >
【内容】システムコールブレークによってブレークした場合に表示されます。
アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
ブレーク要因となったシステムコール名と , そのシステムコールが発行された
タスク ID を表示します。
Break at アドレス by command abort request
【内容】[ デバッグ ] - [ 停止 ] メニューの入力によってブレークした場合に表示されま
す。アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
438
CM41-00314-9, July 31, 2015
付録
付録 C デバッガ中断メッセージ
S o ft w a r e
S u p p o r t
M a n u a l
Break at アドレス by output file overflow
【内容】出力ポートのデータ出力ファイルへの書込みができなかったためにブレーク
した場合に表示されます。出力ポートのデータ出力ファイルを確認してくださ
い。アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by stop abnormal action
【内容】プリフィックス命令の後に実行不可能な命令が付加されていたためにブレー
クした場合に表示されます。プログラムに誤りがあると考えられますので , プ
ログラムの確認をしてください。アドレスは , 実行を停止した ( 次に実行する
命令の ) アドレスです。
Break at アドレス by invalid call termination
【内容】CALL コマンドは , 現在の PC が指すアドレスにブレークポイントを設定し , そ
のアドレスにリターンするよう , RP レジスタを設定して実行します。このため ,
CALL コマンド実行中に元の PC のアドレスを実行するとブレークしてしまい
ます。
このように , CALL コマンドの完了前にブレークした場合に表示されます。
そのまま GO コマンドで実行再開するか , CLEAR CALL コマンドで CALL コマ
ンドの実行を中断してください。
アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by EIT ( 付加情報 )
【内容】EIT の発生によりブレークした場合に表示されます。アドレスは , 実行を停止
した ( 次に実行する命令の ) アドレスです。付加情報はご使用の品種のハード
ウェアマニュアルを参照してください。
Break at アドレス by step command
【内容】ステップ (INTO) 実行でブレークした場合に , SHOW STATUS コマンドで表示
されます。アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
July 31, 2015, CM41-00314-9
439
付録
付録 C デバッガ中断メッセージ
So ft war e
S up po r t
M a nu al
Break at アドレス by call command
【内容】CALL コマンドの完了後にブレ-クした場合に表示されます。アドレスは , 実
行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by violation to combine instructions
【内容】シミュレータデバッガにおいて , 1 並列命令内の許可されていない組合せの命
令を実行してブレークした場合に表示されます。アドレスは , 実行を停止した
( 次に実行する命令の ) アドレスです。
Break at アドレス by slot issue violation
【内容】シミュレータデバッガにおいて , 1 並列命令内でスロット内に発行できない命
令があるにもかかわらず命令を実行してブレークした場合に表示されます。ア
ドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by resource write-write confliction
【内容】シミュレータデバッガにおいて , 1 並列命令内に同じメモリまたはレジスタを
ライトアクセスする複数個の命令を実行する場合に表示されます。アドレスは ,
ブレーク要因となった命令に対して , 次に実行する並列命令のアドレスです。
Break at アドレス by data watch break
【内容】エミュレータデバッガにおいて , データ監視ブレークによってブレークした場
合に表示されます。アドレスは , ブレーク要因となる命令のあったアドレスを
示します。
Break at アドレス by unknown break factor
【内容】ブレーク要因が不定の場合に表示されます。アドレスは , 実行を停止した
( 次に実行する命令の ) アドレスです。
440
CM41-00314-9, July 31, 2015
付録
付録 C デバッガ中断メッセージ
S o ft w a r e
S u p p o r t
M a n u a l
Break at アドレス by trace end break
【内容】トレース終了時のブレークによってブレークした場合に表示されます。
アドレスは , 実行を停止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by breakpoint (data watch)
【内容】データ監視ブレークによってブレークした場合に表示されます。アドレスは ,
ブレーク要因となる命令のあったアドレスを示します。
Break at アドレス by sequential break
【内容】シーケンサによってブレークした場合に表示されます。アドレスは , 実行を停
止した ( 次に実行する命令の ) アドレスです。
Break at アドレス by data event break
【内容】データイベントによってブレークした場合に表示されます。アドレスは,実行
を停止した(次に実行する命令の)アドレスです。
Break at アドレス by sequential or pass count break
【内容】シーケンスまたはハードウェア/カウントブレークによってブレークした場
合に表示されます。アドレスは,実行を停止した(次に実行する命令の)アド
レスです。
Break at アドレス by guarded access
【内容】コードフェッチができない領域のコードフェッチアクセス , またはデータリー
ドができない領域のリードアクセス , またはデータライトができない領域のラ
イトアクセスのいずれかによってブレークした場合に表示されます。
メモリの属性 , またはプログラムに誤りがあると考えられます。
アドレスは,実行を停止した(次に実行する命令の)アドレスです。
July 31, 2015, CM41-00314-9
441
付録
付録 C デバッガ中断メッセージ
So ft war e
S up po r t
M a nu al
<注意事項>
ユーザプログラム実行中に CPU ポーズ状態が解除された場合 , その情報が追加で表示さ
れます。
例:強制ブレークで停止した場合
Break at アドレス by command abort request(exit CPU pause)
なお CPU ポーズ状態には次の 3 つがあります。
CPU sleep/ Bus sleep Timer mode/ Stop mode
442
CM41-00314-9, July 31, 2015
付録
付録 D 主な変更内容
S o ft w a r e
付録 D
S u p p o r t
M a n u a l
主な変更内容
ページ
場所
変更箇所
Revision 7.1
-
-
社名変更および記述フォーマットの変換
Revision 8.0
71
■対応デバッガ
対応デバッガを変更
MB2147-01 ×⇒○
MB2198 ×⇒○
72
■対応デバッガ
対応デバッガを変更
MB2147-01 ×⇒○
MB2198 ×⇒○
73
■対応デバッガ
対応デバッガを変更
MB2147-01 ×⇒◎
MB2198 ×⇒◎
75
<注意事項>
フラッシュメモリの同期に関する注意事項を追加
105
■ 形式
以下の記述を変更
正: SET BREAK ブレークアドレス [&= アドレスマ
スク ] [, パスカウント数 ] [,{ コマンド;コマン
ド…}]
誤: SET BREAK ブレークアドレス [&= アドレスマ
スク ] [, パスカウント数 ] [,{ コマンド:コマン
ド…}]
123
■ 形式
以下の記述を変更
正: SET DATABREAK データアクセスアドレス [,
パスカウント ] [, { コマンド;コマンド…} ]
誤: SET DATABREAK データアクセスアドレス [,
パスカウント ] [, { コマンド:コマンド…} ]
225
■ 機能
以下の記述を変更
正: /SOFTUNE を指定しなかった場合は , テキスト
形式で保存します。
誤: /SOFTUNE を指定しなかった場合は , バイナリ
形式で保存します。
227
■ 形式
● コマンド修飾子
以下の記述を変更
正:【MB2147-01,MB2100-01】
/FORCE
誤:【MB2100-01】
/FORCE
229
■ 形式
● コマンド修飾子
以下の記述を変更
正:【MB2147-01,MB2100-01】
/FORCE
誤:【MB2100-01】
/FORCE
278
■対応デバッガ
対応デバッガを変更
MB2198 ○⇒×
279
■対応デバッガ
対応デバッガを変更
MB2198 ○⇒×
July 31, 2015, CM41-00314-9
443
付録
付録 D 主な変更内容
So ft war e
ページ
385 ~ 387
場所
S up po r t
M a nu al
変更箇所
付録 A マネージャ関係の
エラーメッセージ
以下の、エラーメッセージの説明を追加
E4633W
E4641W
E4642W
31
1.22 SHOW SYSTEM
■ 機能
無効な機能説明書へのリンクを削除
395
付録 A マネージャ関係の
エラーメッセージ
エラーメッセージ (E4804W) を追加
431
付録 B デバッガ関係のエ
ラーメッセージ
エラーメッセージ (E4439S) を追加
Revision 9.0
444
CM41-00314-9, July 31, 2015
S o ft w a r e
S u p p o r t
M a n u a l
奥付
CM41-00314-9
Spansion • CONTROLLER MANUAL
F2MC®-16 ファミリ
SOFTUNE® Workbench
コマンドリファレンスマニュアル
2015 年 7 月 Rev. 9.0
発行
Spansion Inc.
編集
コーポレートコミュニケーション部
July 31, 2015, CM41-00314-9
445
So ft war e
S up po r t
M a nu al
免責事項
本資料に記載された製品は、通常の産業用 , 一般事務用 , パーソナル用 , 家庭用などの一般的用途 ( ただし、用途の限定はあ
りません ) に使用されることを意図して設計・製造されています。(1) 極めて高度な安全性が要求され、仮に当該安全性が
確保されない場合、社会的に重大な影響を与えかつ直接生命・身体に対する重大な危険性を伴う用途 ( 原子力施設における
核反応制御 , 航空機自動飛行制御 , 航空交通管制 , 大量輸送システムにおける運行制御 , 生命維持のための医療機器 , 兵器シ
ステムにおけるミサイル発射制御等をいう ) 、ならびに (2) 極めて高い信頼性が要求される用途 ( 海底中継器 , 宇宙衛星等を
いう ) に使用されるよう設計・製造されたものではありません。上記の製品の使用法によって惹起されたいかなる請求また
は損害についても、Spansion は、お客様または第三者、あるいはその両方に対して責任を一切負いません。半導体デバイス
はある確率で故障が発生します。当社半導体デバイスが故障しても、結果的に人身事故 , 火災事故 , 社会的な損害を生じさ
せないよう、お客様において、装置の冗長設計 , 延焼対策設計 , 過電流防止対策設計 , 誤動作防止設計などの安全設計をお
願いします。本資料に記載された製品が、外国為替及び外国貿易法、米国輸出管理関連法規などの規制に基づき規制され
ている製品または技術に該当する場合には、本製品の輸出に際して、同法に基づく許可が必要となります。
商標および注記
このドキュメントは、断りなく変更される場合があります。本資料には Spansion が開発中の Spansion 製品に関する情報が
記載されている場合があります。Spansion は、それらの製品に対し、予告なしに仕様を変更したり、開発を中止したりする
権利を有します。このドキュメントに含まれる情報は、現状のまま、保証なしに提供されるものであり、その正確性 , 完全
性 , 実施可能性および特定の目的に対する適合性やその市場性および他者の権利を侵害しない事を保証するものでなく、ま
た、明示 , 黙示または法定されているあらゆる保証をするものでもありません。Spansion は、このドキュメントに含まれる
情報を使用することにより発生したいかなる損害に対しても責任を一切負いません。
Copyright © 2004-2015 Cypress All rights reserved.
商標:Spansion®, Spansion ロゴ ( 図形マーク ), MirrorBit®, MirrorBit® EclipseTM, ORNANDTM 及びこれらの組合せは、米国・
日本ほか諸外国における Spansion LLC の商標です。第三者の社名・製品名等の記載はここでは情報提供を目的として表記
したものであり、各権利者の商標もしくは登録商標となっている場合があります。
446
CM41-00314-9, July 31, 2015