LVL1 LXT300Z

'$7$ 6+((7
$35,/ ìääç
5HYLVLRQ íïí
/;7êíí=î/;7êíì=
$GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
*HQHUDO 'HVFULSWLRQ
)HDWXUHV
7KH /;7êíí= DQG /;7êíì= DUH IXOO\ LQWHJUDWHG WUDQVð
FHLYHUV IRU ERWK 1RUWK $PHULFDQ ìïèéé 0ESV õ7ìô DQG
,QWHUQDWLRQDO ëïíéå 0ESV õ(ìô DSSOLFDWLRQVï 7KH\ DUH SLQ
DQG IXQFWLRQDOO\ FRPSDWLEOH ZLWK VWDQGDUG /;7êííîêíì
GHYLFHVñ ZLWK VRPH FLUFXLW HQKDQFHPHQWVï
7KH /;7êíí= SURYLGHV UHFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW
ê +]ñ DQG LV PLFURSURFHVVRU FRQWUROODEOH WKURXJK D VHULDO
LQWHUIDFHï 7KH /;7êíì= LV SLQ FRPSDWLEOHñ EXW GRHV QRW
SURYLGH MLWWHU DWWHQXDWLRQ RU D VHULDO LQWHUIDFHï $Q DGYDQFHG
WUDQVPLW GULYHU DUFKLWHFWXUH SURYLGHV FRQVWDQW ORZ RXWSXW
LPSHGDQFH IRU ERWK PDUNV DQG VSDFHVñ IRU LPSURYHG %LW
(UURU 5DWH SHUIRUPDQFH RYHU YDULRXV FDEOH QHWZRUN FRQILJð
XUDWLRQVï %RWK WUDQVFHLYHUV RIIHU D YDULHW\ RI GLDJQRVWLF
IHDWXUHV LQFOXGLQJ WUDQVPLW DQG UHFHLYH PRQLWRULQJï &ORFN
LQSXWV PD\ EH GHULYHG IURP DQ RQðFKLS FU\VWDO RVFLOODWRU RU
IURP GLJLWDO LQSXWVï 7KH\ XVH DQ DGYDQFHG GRXEOHðSRO\ñ
GRXEOHðPHWDO &026 SURFHVV DQG UHTXLUH RQO\ D VLQJOH èð
YROW SRZHU VXSSO\ï
$SSOLFDWLRQV
‡
‡
‡
‡
‡
‡
‡
‡
ì
3&0î9RLFH &KDQQHO %DQNV
'DWD &KDQQHO %DQNî&RQFHQWUDWRU
7ìî(ì PXOWLSOH[HU
'LJLWDO $FFHVV DQG &URVVðFRQQHFW 6\VWHPV õ'$&6ô
&RPSXWHU WR 3%; LQWHUIDFH õ&3, ÷ '0,ô
+LJKðVSHHG GDWD WUDQVPLVVLRQ OLQHV
,QWHUIDFLQJ &XVWRPHU 3UHPLVHV (TXLSPHQW WR D &68
'LJLWDO /RRS &DUULHU õ'/&ô WHUPLQDOV
‡ 'DWD UHFRYHU\ DQG FORFN UHFRYHU\ IXQFWLRQV
‡ 5HFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW ê +] H[FHHGV
$7÷7 3XE çëéììñ 3XE éêåíìñ 3XE éêåíëñ ,78 *ïæíêñ
DQG ,78 *ïåëê õ/;7êíí= RQO\ô
ê
‡ /LQH GULYHU ZLWK FRQVWDQW ORZ PDUN DQG VSDFH LPSHGð
DQFH õê Ω W\SLFDOô
é
‡ 0LQLPXP UHFHLYH VLJQDO RI èíí P9
‡ $GDSWLYH DQG VHOHFWDEOH õ(ìî'6;ðìô VOLFHU OHYHOV IRU
LPSURYHG 615
‡ 3URJUDPPDEOH WUDQVPLW HTXDOL]HU VKDSHV SXOVHV WR PHHW
'6;ðì SXOVH WHPSODWH IURP í WR çèè IW
Host
Hardware
‡ 'LJLWDO 7UDQVPLW 'ULYHU 0RQLWRU
‡ 'LJLWDO 5HFHLYH 0RQLWRU ZLWK /RVV RI 6LJQDO õ/26ô RXWð
SXW DQG ILUVW PDUN UHVHW
‡ 5HFHLYHU MLWWHU WROHUDQFH íïé 8, IURP éí N+] WR ìíí N+]
‡ 0LFURSURFHVVRU FRQWUROODEOH õ/;7êíí= RQO\ô
INT
SDI
SDO
CS
SCLK
CLKE
EC1
EC2
EC3
RLOOP
LLOOP
TAOS
Synchronizer
MCLK
Internal Clock
Generator
XTALIN
XTALOUT
RCLK
RPOS
RNEG
‡ $YDLODEOH LQ ëåðSLQ ',3 RU 3/&&
LOS
Constant Impedance
Line Driver
ìë
TTIP
TRING
ìê
Data Slicers
Timing
Recovery
Peak
Detector
RTIP
ìé
RRING
Jitter
Attenuator
ìè
Data Latch
Receive
Monitor
Transmit
Driver
Control
MTIP
MRING
DPM
L1
ä
ìì
Equalizer
Elastic Store
å
ìí
‡ &RPSDWLEOH ZLWK PRVW SRSXODU 3&0 IUDPHUV
Control
TPOS
TNEG
TCLK
ç
æ
‡ /RFDO DQG UHPRWH ORRSEDFN IXQFWLRQV
/;7êíí= %ORFN 'LDJUDP
MODE
è
ëðì
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
3,1 $66,*10(176 ÷ 6,*1$/ '(6&5,37,216
75,1*
79ò
è
ç
æ
å
ä
ìí
ìì
/;7êíí=3(
ëè
ëé
ëê
ëë
ëì
ëí
ìä
6'2î(&ê
6',î(&ë
INTî(&ì
5*1'
59ò
55,1*
57,3
0&/.
7&/.
7326
71(*
*1'
51(*
5326
5&/.
57
1î&
'30
/26
77,3
7*1'
ì
ë
ê
é
è
ç
æ
å
ä
ìí
ìì
ìë
ìê
ìé
/;7êíì=1(
71(*
7326
7&/.
0&/.
&/.(î7$26
6&/.î//223
CSî5/223
&/.(î7$26
6&/.î//223
CSî5/223
6'2î(&ê
6',î(&ë
02'(
INTî(&ì
51(*
5*1'
5326
59ò
5&/.
55,1*
;7$/,1
57,3
;7$/287
05,1*
'30
07,3
é
ê
ë
ì
ëå
ëæ
ëç
ëå
ëæ
ëç
ëè
ëé
ëê
ëë
ëì
ëí
ìä
ìå
ìæ
ìç
ìè
ìë
ìê
ìé
ìè
ìç
ìæ
ìå
ì
ë
ê
é
è
ç
æ
å
ä
ìí
ìì
ìë
ìê
ìé
/26
77,3
7*1'
79ò
75,1*
07,3
05,1*
0&/.
7&/.
7326
71(*
02'(
51(*
5326
5&/.
;7$/,1
;7$/287
'30
/26
77,3
7*1'
/;7êíí=1(
)LJXUH ìã 3LQ $VVLJQPHQWV
ëå
ëæ
ëç
ëè
ëé
ëê
ëë
ëì
ëí
ìä
ìå
ìæ
ìç
ìè
7$26
//223
5/223
(&ê
(&ë
(&ì
5*1'
59ò
55,1*
57,3
05,1*
07,3
75,1*
79ò
7DEOH ìã 3LQ 'HVFULSWLRQV
3LQ ú
6\P
,î2ì
ì
0&/.
',
0DVWHU &ORFNï $ ìïèéé RU ëïíéå 0+] FORFN LQSXW XVHG WR JHQHUDWH LQWHUQDO FORFNVï
8SRQ /RVV RI 6LJQDO õ/26ôñ 5&/. LV GHULYHG IURP 0&/.ï
/;7êíí= 2QO\ã ,I 0&/. LV QRW DSSOLHGñ WKLV SLQ VKRXOG EH JURXQGHGï
ë
7&/.
',
7UDQVPLW &ORFNï Transmit clock input. TPOS and TNEG are sampled on the falling
edge of TCLK. If TCLK is grounded, the output drivers enter a high-Z state, except
during Remote Loopback.
ê
7326
',
7UDQVPLW 3RVLWLYH 'DWDï Input for positive pulse to be transmitted on the twisted-pair
line.
é
71(*
',
7UDQVPLW 1HJDWLYH 'DWDï Input for negative pulse to be transmitted on the twisted-pair
line.
è
02'(
',
0RGH 6HOHFW õ/;7êíí]ôï Setting MODE High puts the LXT300Z in the Host Mode.
In the Host Mode, the serial interface is used to control the LXT300Z and determine its
status. Setting MODE Low puts the LXT300Z in the Hardware (H/W) mode. In the
Hardware Mode, the serial interface is disabled and hard-wired pins are used to control
configuration and report status.
*1'
6
*URXQG õ/;7êíì=ôï 7LH WR *URXQGï
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',
ëðë
'HVFULSWLRQ
'LJLWDO ,QSXWâ '2
'LJLWDO 2XWSXWâ $,
$QDORJ ,QSXWâ $2
$QDORJ 2XWSXWâ 6
6XSSO\ï
L1
3LQ $VVLJQPHQWV ÷ 6LJQDO 'HVFULSWLRQV
7DEOH ìã 3LQ 'HVFULSWLRQV ¤ FRQWLQXHG
3LQ ú
6\P
,î2ì
'HVFULSWLRQ
ç
51(*
'2
æ
5326
'2
5HFHLYH 1HJDWLYH 'DWDâ 5HFHLYH 3RVLWLYH 'DWDï Received data outputs. A signal on
RNEG corresponds to receipt of a negative pulse on RTIP and RRING. A signal on
RPOS corresponds to receipt of a positive pulse on RTIP and RRING. RNEG and
RPOS outputs are Non-Return-to-Zero (NRZ). Both outputs are stable and valid on the
rising edge of RCLK.
LXT300Z only: In the Host Mode, CLKE determines the clock edge at which these outputs are stable and valid. In the Hardware Mode both outputs are stable and valid on
the rising edge of RCLK.
å
5&/.
'2
5HFRYHUHG &ORFNï This is the clock recovered from the signal received at RTIP and
RRING.
ä
;7$/,1
$,
ìí
;7$/287
$2
&U\VWDO ,QSXWâ &U\VWDO 2XWSXW õ/;7êíí=ôï An external crystal operating at four
times the bit rate (6.176 MHz for DSX-1, 8.192 MHz for E1 applications with an 18.7
pF load) is required to enable the jitter attenuation function of the LXT300Z. These
pins may also be used to disable the jitter attenuator by connecting the XTALIN pin to
the positive supply through a resistor, and floating the XTALOUT pin.
ä
57
$,
5HFHLYH 7HUPLQDWLRQ õ/;7êíì=ôï &RQQHFW WR 59ò WKURXJK D ì N Ω UHVLVWRUï
ìí
1î&
¤
1R &RQQHFWLRQ õ/;7êíì=ôï
ìì
'30
'2
'ULYHU 3HUIRUPDQFH 0RQLWRUï DPM goes High when the transmit monitor loop
(MTIP and MRING) does not detect a signal for 63 ±2 clock periods. DPM remains
High until a signal is detected.
ìë
/26
'2
/RVV RI 6LJQDOï LOS goes High when 175 consecutive spaces have been detected.
LOS returns Low when a mark is detected.
ìê
77,3
$2
ìç
75,1*
$2
7UDQVPLW 7LSâ 7UDQVPLW 5LQJï Differential Driver Outputs. These outputs are
designed to drive a 25 Ω load. The transmitter will drive 100 Ω shielded twisted-pair
cable through a 1:2 step-up transformer without additional components. To drive
75 Ω coaxial cable, two 2.2 Ω resistors are required in series with the transformer.
ìé
7*1'
6
7UDQVPLW *URXQGï *URXQG UHWXUQ IRU WKH WUDQVPLW GULYHUV SRZHU VXSSO\ 79òï
ìè
79ò
6
7UDQVPLW 3RZHU 6XSSO\ï òè 9'& SRZHU VXSSO\ LQSXW IRU WKH WUDQVPLW GULYHUVï 79ò
PXVW QRW YDU\ IURP 59ò E\ PRUH WKDQ ‘íïê 9ï
ìæ
07,3
$,
ìå
05,1*
$,
0RQLWRU 7LSâ 0RQLWRU 5LQJï 7KHVH SLQV DUH XVHG WR PRQLWRU WKH WLS DQG ULQJ WUDQVPLW
RXWSXWVï 7KH WUDQVFHLYHU FDQ EH FRQQHFWHG WR PRQLWRU LWV RZQ RXWSXW RU WKH RXWSXW RI
DQRWKHU /;7êíí= RU /;7êíì= RQ WKH ERDUGï
ìä
57,3
$,
ëí
55,1*
$,
ëì
59ò
6
5HFHLYH 3RZHU 6XSSO\ï òè 9'& SRZHU VXSSO\ IRU DOO FLUFXLWV H[FHSW WKH WUDQVPLW GULYð
HUVï õ7UDQVPLW GULYHUV DUH VXSSOLHG E\ 79òïô
ëë
5*1'
6
5HFHLYH *URXQGï *URXQG UHWXUQ IRU SRZHU VXSSO\ 59òï
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',
L1
5HFHLYH 7LSâ 5HFHLYH 5LQJï The AMI signal received from the line is applied at these
pins. A center-tapped, center-grounded, 2:1 step-up transformer is required on these
pins. Data and clock from the signal applied at these pins are recovered and output on
the RPOS/RNEG and RCLK pins.
'LJLWDO ,QSXWâ '2
'LJLWDO 2XWSXWâ $,
$QDORJ ,QSXWâ $2
$QDORJ 2XWSXWâ 6
6XSSO\ï
ëðê
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
7DEOH ìã 3LQ 'HVFULSWLRQV ¤ FRQWLQXHG
3LQ ú
6\P
,î2ì
'HVFULSWLRQ
ëê
INT
'2
,QWHUUXSW õ+RVW 0RGHôï This LXT300Z Host Mode output goes Low to flag the host
processor when LOS or DPM go active. INT is an open-drain output and should be tied
to power supply RV+ through a resistor. INT is reset by clearing the respective register
bit (LOS and/or DPM).
(&ì
',
(TXDOL]HU &RQWURO ì õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC2 and EC3 inputs to determine
shape and amplitude of AMI output transmit pulses.
6',
',
6HULDO 'DWD ,Q õ+RVW 0RGHôï The serial data input stream is applied to this pin when the
LXT300Z operates in the Host Mode. SDI is sampled on the rising edge of SCLK.
(&ë
',
(TXDOL]HU &RQWURO ë õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC1 and EC3 inputs to determine
shape and amplitude of AMI output transmit pulses.
6'2
'2
6HULDO 'DWD 2XW õ+RVW 0RGHôï The serial data from the on-chip register is output on
this pin in the LXT300Z Host Mode. If CLKE is High, SDO is valid on the rising edge
of SCLK. If CLKE is Low SDO is valid on the falling edge of SCLK. This pin goes to
a high-impedance state when the serial port is being written to and when CS is High.
(&ê
',
(TXDOL]HU &RQWURO ê õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC1 and EC2 inputs to determine
shape and amplitude of AMI output transmit pulses.
CS
',
&KLS 6HOHFW õ+RVW 0RGHôï This input is used to access the serial interface in the
LXT300Z Host Mode. For each read or write operation, CS must transition from High
to Low, and remain Low.
5/223
',
5HPRWH /RRSEDFN õ+î: 0RGHôï This input controls loopback functions in the
LXT300Z Hardware Mode and LXT301Z. Setting RLOOP High enables the Remote
Loopback mode. Setting both RLOOP and LLOOP High causes a Reset.
6&/.
',
6HULDO &ORFN õ+RVW 0RGHôï This clock is used in the LXT300Z Host Mode to write data
to or read data from the serial interface registers.
//223
',
/RFDO /RRSEDFN õ+î: 0RGHôï This input controls loopback functions in the LXT300Z
Hardware Mode and LXT301Z. Setting LLOOP High enables the Local Loopback
Mode.
&/.(
',
&ORFN (GJH õ+RVW 0RGHôï Setting CLKE High causes RPOS and RNEG to be valid on
the falling edge of RCLK, and SDO to be valid on the rising edge of SCLK. When
CLKE is Low, RPOS and RNEG are valid on the rising edge of RCLK, and SDO is
valid on the falling edge of SCLK.
7$26
',
7UDQVPLW $OO 2QHV õ+î: 0RGHôï When High, TAOS causes the LXT300Z (Hardware
Mode) and LXT301Z to transmit a continuous stream of marks at the TCLK frequency.
Activating TAOS causes TPOS and TNEG inputs to be ignored. TAOS is inhibited during Remote Loopback.
ëé
ëè
ëç
ëæ
ëå
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',
ëðé
'LJLWDO ,QSXWâ '2
'LJLWDO 2XWSXWâ $,
$QDORJ ,QSXWâ $2
$QDORJ 2XWSXWâ 6
6XSSO\ï
L1
)XQFWLRQDO 'HVFULSWLRQ
decoupling circuitry. Isolation between the transmit and
receive circuits is provided internally.
)81&7,21$/ '(6&5,37,21
The LXT300Z and LXT301Z are fully integrated PCM
transceivers for both 1.544 Mbps (DSX-1) and 2.048
Mbps (E1) applications. Both transceivers allow fullduplex transmission of digital data over existing twistedpair installations. The first page of this data sheet shows a
simplified block diagram of the LXT300Z; Figure 2
shows the LXT301Z. The LXT301Z is similar to the
LXT300Z, but does not incorporate the Jitter Attenuator
and associated Elastic Store, or the serial interface port.
The LXT300Z and LXT301Z transceivers each interface
with two twisted-pair lines (one twisted-pair for transmit,
one twisted-pair for receive) through standard pulse transformers and appropriate resistors.
3RZHU 5HTXLUHPHQWV
The LXT300Z and LXT301Z are low-power CMOS
devices. Each operates from a single +5 V power supply
which can be connected externally to both the transmitter
and receiver. However, the two inputs must be within ± .3V
of each other, and decoupled to their respective grounds
separately. Refer to Application Information for typical
5HVHW 2SHUDWLRQ
õ/;7êíí= DQG /;7êíì=ô
Upon power up, the transceiver is held static until the
power supply reaches approximately 3 V. Upon crossing
this threshold, the device begins a 32 ms reset cycle to calibrate the transmit and receive delay lines and lock the
Phase Lock Loop to the receive line. A reference clock is
required to calibrate the delay lines. The transmitter reference is provided by TCLK. MCLK provides the receiver
reference for the LXT301Z. The crystal oscillator provides the receiver reference in the LXT300Z. If the
LXT300Z crystal oscillator is grounded, MCLK is used as
the receiver reference clock.
The transceiver can also be reset from the Host or Hardware Mode. In Host Mode, reset is commanded by simultaneously writing RLOOP and LLOOP to the register. In
Hardware Mode, reset is commanded by holding RLOOP
and LLOOP High simultaneously for 200 ns. Reset is initiated on the falling edge of the reset request. In either
mode, reset clears and sets all registers to 0 and then begins
calibration.
)LJXUH ëã /;7êíì= %ORFN 'LDJUDP
(&ìñ (&ëñ (&ê
7326
71(*
7&/.
6\QFKURQL]HU
0&/.
,QWHUQDO &ORFN
*HQHUDWRU
5326
51(*
/26
'30
L1
&RQVWDQW ,PSHGDQFH
/LQH 'ULYHU
&RQWURO
77,3
(TXDOL]HU
75,1*
'DWD 6OLFHUV
7LPLQJ
5HFRYHU\
'DWD
/DWFK
5HFHLYH
0RQLWRU
3HDN
'HWHFWRU
7UDQVPLW
'ULYHU
0RQLWRU
57,3
55,1*
07,3
05,1*
ëðè
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
5HFHLYHU
7KH /;7êíí= DQG /;7êíì= UHFHLYHUV DUH LGHQWLFDO H[FHSW
IRU WKH -LWWHU $WWHQXDWRU DQG (ODVWLF 6WRUHï 7KH IROORZLQJ
GLVFXVVLRQ DSSOLHV WR ERWK WUDQVFHLYHUV H[FHSW ZKHUH QRWHGï
7KH VLJQDO LV UHFHLYHG IURP RQH WZLVWHGðSDLU OLQH RQ HDFK
VLGH RI D FHQWHUðJURXQGHG WUDQVIRUPHUï 3RVLWLYH SXOVHV DUH
UHFHLYHG DW 57,3 DQG QHJDWLYH SXOVHV DUH UHFHLYHG DW
55,1*ï 5HFRYHUHG GDWD LV RXWSXW DW 5326 DQG 51(*ñ
DQG WKH UHFRYHUHG FORFN LV RXWSXW DW 5&/.ï 5HIHU WR WKH
7HVW 6SHFLILFDWLRQV VHFWLRQ IRU UHFHLYHU WLPLQJï
7KH VLJQDO UHFHLYHG DW 5326 DQG 51(* LV SURFHVVHG
WKURXJK WKH SHDN GHWHFWRU DQG GDWD VOLFHUVï 7KH SHDN GHWHFð
WRU VDPSOHV WKH LQSXWV DQG GHWHUPLQHV WKH PD[LPXP YDOXH
RI WKH UHFHLYHG VLJQDOï $ SHUFHQWDJH RI WKH SHDN YDOXH LV
SURYLGHG WR WKH GDWD VOLFHUV DV D WKUHVKROG OHYHO WR HQVXUH
RSWLPXP VLJQDOðWRðQRLVH UDWLRï )RU '6;ðì DSSOLFDWLRQV
õGHWHUPLQHG E\ (TXDOL]HU &RQWURO LQSXWV (&ìa(&ê ≠ íííô
WKH WKUHVKROG LV VHW WR æíø RI WKH SHDN YDOXHï 7KLV WKUHVKð
ROG LV PDLQWDLQHG DERYH çèø IRU XS WR ìè VXFFHVVLYH ]HURV
RYHU WKH UDQJH RI VSHFLILHG RSHUDWLQJ FRQGLWLRQVï )RU (ì
DSSOLFDWLRQV õ(& LQSXWV íííô WKH WKUHVKROG LV VHW WR èíøï
7KH UHFHLYHU LV FDSDEOH RI DFFXUDWHO\ UHFRYHULQJ VLJQDOV
ZLWK XS WR ðìêïç G% RI DWWHQXDWLRQ õIURP ëïé 9ôñ FRUUHð
VSRQGLQJ WR D UHFHLYHG VLJQDO OHYHO RI DSSUR[LPDWHO\ èíí
P9ï 0D[LPXP OLQH OHQJWK LV ìèíí IHHW RI $%$0 FDEOH
õDSSUR[LPDWHO\ ç G%ôï 5HJDUGOHVV RI UHFHLYHG VLJQDO OHYHOñ
WKH SHDN GHWHFWRUV DUH KHOG DERYH D PLQLPXP OHYHO RI êíí
P9 WR SURYLGH LPPXQLW\ IURP LPSXOVLYH QRLVHï õ'XULQJ
/26ñ 5326 DQG 51(* DUH VTXHOFKHG LI WKH UHFHLYHG LQSXW
VLJQDO GURSV WR êíí P9ïô
$IWHU SURFHVVLQJ WKURXJK WKH GDWD VOLFHUVñ WKH UHFHLYHG VLJð
QDO LV URXWHG WR WKH GDWD DQG FORFN UHFRYHU\ VHFWLRQVñ DQG WR
WKH UHFHLYH PRQLWRUï ,Q WKH /;7êíí= RQO\ñ UHFRYHUHG FORFN
VLJQDOV DUH VXSSOLHG WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD
ODWFKï 7KH UHFRYHUHG GDWD LV SDVVHG WR WKH HODVWLF VWRUH
ZKHUH LW LV EXIIHUHG DQG V\QFKURQL]HG ZLWK WKH GHMLWWHUHG
UHFRYHUHG FORFN õ5&/.ôï 7KH GDWD DQG FORFN UHFRYHU\ FLUð
FXLWV KDYH DQ LQSXW MLWWHU WROHUDQFH VLJQLILFDQWO\ EHWWHU WKDQ
UHTXLUHG E\ 3XE çëéììï
5HFHLYH õ/RVV RI 6LJQDOô 0RQLWRU
7KH UHFHLYH PRQLWRU JHQHUDWHV D /RVV RI 6LJQDO õ/26ô RXWð
SXW XSRQ UHFHLSW RI ìæè FRQVHFXWLYH ]HURV õVSDFHVôï 7KH
UHFHLYHU PRQLWRU ORDGV D GLJLWDO FRXQWHU DW WKH 5&/. IUHð
TXHQF\ï 7KH FRXQW LV LQFUHPHQWHG HDFK WLPH D ]HUR LV
UHFHLYHGñ DQG UHVHW WR ]HUR HDFK WLPH D RQH õPDUNô LV
UHFHLYHGï 8SRQ UHFHLSW RI ìæè FRQVHFXWLYH ]HURV WKH /26
ëðç
SLQ JRHV +LJKñ DQG WKH 5&/. RXWSXW LV UHSODFHG ZLWK WKH
0&/.ï /26 LV UHVHW ZKHQ WKH ILUVW PDUN LV UHFHLYHGï
(In the LXT300Z only, if MCLK is not supplied the RCLK
output will be replaced with the centered crystal clock.)
-LWWHU $WWHQXDWLRQ õ/;7êíí= 2QO\ô
,Q WKH /;7êíí= RQO\ñ UHFRYHUHG FORFN VLJQDOV DUH VXSSOLHG
WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD ODWFKï 7KH UHFRYHUHG
GDWD LV SDVVHG WR WKH HODVWLF VWRUH ZKHUH LW LV EXIIHUHG DQG
V\QFKURQL]HG ZLWK WKH GHMLWWHUHG UHFRYHUHG FORFN õ5&/.ôï
-LWWHU DWWHQXDWLRQ RI WKH /;7êíí= FORFN DQG GDWD RXWSXWV
õVHH )LJXUH éô LV SURYLGHG E\ D -LWWHU $WWHQXDWLRQ /RRS
õ-$/ô DQG DQ (ODVWLF 6WRUH õ(6ôï $Q H[WHUQDO FU\VWDO RVFLOð
ODWLQJ DW é WLPHV WKH ELW UDWH SURYLGHV FORFN VWDELOL]DWLRQï
5HIHU WR $SSOLFDWLRQ ,QIRUPDWLRQ IRU FU\VWDO VSHFLILFDWLRQVï
7KH (6 LV D êë [ ëðELW UHJLVWHUï 5HFRYHUHG GDWD LV FORFNHG
LQWR WKH (6 ZLWK WKH UHFRYHUHG FORFN VLJQDOñ DQG FORFNHG RXW
RI WKH (6 ZLWK WKH GHMLWWHUHG FORFN IURP WKH -$/ï :KHQ WKH
ELW FRXQW LQ WKH (6 LV ZLWKLQ WZR ELWV RI RYHUIORZLQJ RU
XQGHUIORZLQJñ WKH (6 DGMXVWV WKH RXWSXW FORFN E\ ìîå RI D
ELW SHULRGï 7KH (6 SURGXFHV DQ DYHUDJH GHOD\ RI ìç ELWV LQ
WKH UHFHLYH SDWKï
7UDQVPLWWHU
7KH WUDQVPLWWHU FLUFXLWV LQ WKH /;7êíí= DQG /;7êíì= DUH
LGHQWLFDOï 7KH IROORZLQJ GLVFXVVLRQ DSSOLHV WR ERWK PRGHOVï
'DWD UHFHLYHG IRU WUDQVPLVVLRQ RQWR WKH OLQH LV FORFNHG VHULð
DOO\ LQWR WKH GHYLFH DW 7326 DQG 71(*ï ,QSXW V\QFKURQLð
]DWLRQ LV VXSSOLHG E\ WKH WUDQVPLW FORFN õ7&/.ôï 7KH
WUDQVPLWWHG SXOVH VKDSH LV GHWHUPLQHG E\ (TXDOL]HU &RQWURO
VLJQDOV (&ì WKURXJK (&ê DV VKRZQ LQ 7DEOH ëï 5HIHU WR WKH
7HVW 6SHFLILFDWLRQV VHFWLRQ IRU PDVWHU DQG WUDQVPLW FORFN
WLPLQJ FKDUDFWHULVWLFVï 6KDSHG SXOVHV DUH DSSOLHG WR WKH
$0, OLQH GULYHU IRU WUDQVPLVVLRQ RQWR WKH OLQH DW 77,3 DQG
75,1*ï (TXDOL]HU &RQWURO VLJQDOV DUH KDUGðZLUHG WR WKH
/;7êíì=ï
/;7êíí= 2QO\ã (TXDOL]HU &RQWURO VLJQDOV PD\ EH KDUGð
ZLUHG LQ WKH +DUGZDUH 0RGHñ RU LQSXW DV SDUW RI WKH VHULDO
GDWD VWUHDP õ6',ô LQ WKH +RVW 0RGHï
3XOVHV FDQ EH VKDSHG IRU HLWKHU ìïèéé RU ëïíéå 0ESV DSSOLð
FDWLRQVï '6;ðì DSSOLFDWLRQV ZLWK ìïèéé 0ESV SXOVHV FDQ
EH SURJUDPPHG WR PDWFK OLQH OHQJWKV IURP í WR çèè IHHW RI
$%$0 FDEOHï 7KH /;7êíí= DQG /;7êíì= DOVR PDWFK
)&& VSHFLILFDWLRQV IRU &68 DSSOLFDWLRQVï 3XOVHV DW ëïíéå
0ESV FDQ GULYH FRD[LDO RU VKLHOGHG WZLVWHGðSDLU OLQHV XVLQJ
DSSURSULDWH UHVLVWRUV LQ OLQH ZLWK WKH RXWSXW WUDQVIRUPHUï
L1
)XQFWLRQDO 'HVFULSWLRQ
'ULYHU 3HUIRUPDQFH 0RQLWRU
YDOLGñ UHODWLYH WR WKH 6HULDO &ORFN õ6&/.ô RU 5&/. DV
OLVWHG LQ 7DEOH êï
The transceiver incorporates an advanced Driver Performance Monitor (DPM) in parallel with the TTIP and
TRING at the output transformer. The DPM circuitry uses
four comparators and a 150 ns pulse discriminator to filter
glitches. The DPM output level goes high upon detection of
63 consecutive zeros, and is cleared when a one is detected
on the transmit line, or when a reset command is received.
The DPM output also goes High to indicate a ground on
TTIP or TRING. A ground fault induced DPM flag is automatically cleared when the ground condition is corrected
(chip reset is not required).
7KH /;7êíí= VHULDO SRUW LV DGGUHVVHG E\ VHWWLQJ ELW $é LQ
WKH $GGUHVVî&RPPDQG E\WHñ FRUUHVSRQGLQJ WR DGGUHVV ìçï
7KH /;7êíí= FRQWDLQV RQO\ D VLQJOH RXWSXW GDWD UHJLVWHU VR
QR FRPSOH[ FKLS DGGUHVVLQJ VFKHPH LV UHTXLUHGï 7KH UHJð
LVWHU LV DFFHVVHG E\ FDXVLQJ WKH &KLS 6HOHFW (CS) LQSXW WR
WUDQVLWLRQ IURP +LJK WR /RZï %LW ì RI WKH VHULDO $GGUHVVî
&RPPDQG E\WH SURYLGHV 5HDGî:ULWH FRQWURO ZKHQ WKH FKLS
LV DFFHVVHGï $ ORJLF ì LQGLFDWHV D UHDG RSHUDWLRQñ DQG D
ORJLF í LQGLFDWHV D ZULWH RSHUDWLRQï 7DEOH é OLVWV VHULDO GDWD
RXWSXW ELW FRPELQDWLRQV IRU HDFK VWDWXVï 6HULDO GDWD ,î2 WLPð
LQJ FKDUDFWHULVWLFV DUH VKRZQ LQ WKH 7HVW 6SHFLILFDWLRQV VHFð
WLRQï
/LQH &RGH
7KH /;7êíí= DQG /;7êíì= WUDQVPLW GDWD DV D èíø $0,
OLQH FRGH DV VKRZQ LQ )LJXUH êï 3RZHU FRQVXPSWLRQ LV
UHGXFHG E\ DFWLYDWLQJ WKH $0, OLQH GULYHU RQO\ WR WUDQVPLW
D PDUNï 7KH RXWSXW GULYHU LV GLVDEOHG GXULQJ WUDQVPLVVLRQ
RI D VSDFHï
2SHUDWLQJ 0RGHV
The LXT300Z and LXT301Z transceivers can be controlled through hard-wired pins (Hardware Mode). Both
transceivers can also be commanded to operate in one of
several diagnostic modes.
LXT300Z Only: The LXT300Z can be controlled by a
microprocessor through a serial interface (Host Mode).
The mode of operation is set by the MODE pin logic level.
+RVW 0RGH 2SHUDWLRQ
õ/;7êíí= 2QO\ô
7R DOORZ D KRVW PLFURSURFHVVRU WR DFFHVV DQG FRQWURO WKH
/;7êíí= WKURXJK WKH VHULDO LQWHUIDFHñ 02'( LV VHW WR ìï
7KH VHULDO LQWHUIDFH õ6',î6'2ô XVHV D ìçðELW ZRUG FRQVLVWð
LQJ RI DQ åðELW &RPPDQGî$GGUHVV E\WH DQG DQ åðELW 'DWD
E\WHï )LJXUH é VKRZV WKH VHULDO LQWHUIDFH GDWD VWUXFWXUH DQG
UHODWLYH WLPLQJï
7KH +RVW 0RGH SURYLGHV D ODWFKHG ,QWHUUXSW RXWSXW õINTô
ZKLFK LV WULJJHUHG E\ D FKDQJH LQ WKH /RVV RI 6LJQDO õ/26ô
DQGîRU 'ULYHU 3HUIRUPDQFH 0RQLWRU õ'30ô ELWVï 7KH ,QWHUð
UXSW LV FOHDUHG ZKHQ WKH LQWHUUXSW FRQGLWLRQ QR ORQJHU
H[LVWVñ DQG WKH KRVW SURFHVVRU HQDEOHV WKH UHVSHFWLYH ELW LQ
WKH VHULDO LQSXW GDWD E\WHï +RVW 0RGH DOVR DOORZV FRQWURO RI
WKH VHULDO GDWD DQG UHFHLYH GDWD RXWSXW WLPLQJï 7KH &ORFN
(GJH õ&/.(ô VLJQDO GHWHUPLQHV ZKHQ WKHVH RXWSXWV DUH
L1
+DUGZDUH 0RGH 2SHUDWLRQ
õ/;7êíí= DQG /;7êíì=ô
In Hardware Mode the transceiver is accessed and controlled through individual pins. With the exception of the
INT and CLKE functions, Hardware Mode provides all the
functions provided in the Host Mode. In the Hardware
Mode RPOS and RNEG outputs are valid on the rising
edge of RCLK. The LXT301Z operates in Hardware
Mode at all times.
LXT300Z Only: To operate in Hardware Mode, MODE
must be set Low. Equalizer Control signals (EC1 through
EC3) are input on the Interrupt, Serial Data In and Serial
Data Out pins. Diagnostic control for Remote Loopback
(RLOOP), Local Loopback (LLOOP), and Transmit All
Ones (TAOS) modes is provided through the individual pins
used to control serial interface timing in the Host Mode.
)LJXUH êã èíø $0, &RGLQJ
%LW &HOO
77,3
ì
í
ì
75,1*
ëðæ
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
ï
7DEOH ëã /;7êíí= 6HULDO 'DWD 2XWSXW %LWV
õ6HH )LJXUH éô
%LW
'è
%LW
'ç
%LW
'æ
í
í
í
5HVHW KDV RFFXUUHGñ RU QR SURJUDP
LQSXWï
í
í
ì
7$26 LV DFWLYHï
í
ì
í
/RFDO /RRSEDFN LV DFWLYHï
í
ì
ì
7$26 DQG /RFDO /RRSEDFN DUH
DFWLYHï
ì
í
í
5HPRWH /RRSEDFN LV DFWLYHï
ì
í
ì
'30 KDV FKDQJHG VWDWH VLQFH ODVW
&OHDU '30 RFFXUUHGï
ì
ì
í
/26 KDV FKDQJHG VWDWH VLQFH ODVW
&OHDU /26 RFFXUUHGï
ì
ì
ì
/26 DQG '30 KDYH ERWK FKDQJHG
VWDWH VLQFH ODVW &OHDU '30 DQG &OHDU
/26 RFFXUUHGï
6WDWXV
7DEOH êã 9DOLG &/.( 6HWWLQJV
&/.(
2XWSXW
&ORFN
9DOLG (GJH
/RZ
5326
51(*
6'2
5&/.
5&/.
6&/.
5LVLQJ
5LVLQJ
)DOOLQJ
+LJK
5326
51(*
6'2
5&/.
5&/.
6&/.
)DOOLQJ
)DOOLQJ
5LVLQJ
7DEOH éã (TXDOL]HU &RQWURO ,QSXWV
(&ê
(&ë
(&ì
í
ì
ì
ì
ì
í
í
ì
í
í
ì
ì
í
ì
ì
í
ì
í
ì
í
í
/LQH /HQJWKì
&DEOH /RVVë
í a ìêê IW $%$0
íïç G%
ìêê a ëçç IW $%$0
ìïë G%
ëçç a êää IW $%$0
ìïå G%
êää a èêê IW $%$0
ëïé G%
èêê a çèè IW $%$0
êïí G%
,78 5HFRPPHQGDWLRQ *ïæíê
)&& 3DUW çåñ 2SWLRQ $
$SSOLFDWLRQ
%LW 5DWH
'6;ðì
ìïèéé 0ESV
(ì
&68
ëïíéå 0ESV
ìïèéé 0ESV
ìï /LQH OHQJWK IURP WUDQVFHLYHU WR '6;ðì FURVVðFRQQHFW SRLQWï
ëï 0D[LPXP FDEOH ORVV DW ææë N+]ï
ëðå
L1
)XQFWLRQDO 'HVFULSWLRQ
'LDJQRVWLF 0RGH 2SHUDWLRQ
WR RXWSXW WKH 5326ñ 51(* DQG 5&/. VLJQDOV
UHFHLYHG IURP WKH WZLVWHGðSDLU OLQHï
7UDQVPLW $OO 2QHV
/RFDO /RRSEDFN
,Q 7UDQVPLW $OO 2QHV õ7$26ô PRGH WKH 7326 DQG
71(* LQSXWV WR WKH WUDQVFHLYHU DUH LJQRUHGï 7KH WUDQVð
FHLYHU WUDQVPLWV D FRQWLQXRXV VWUHDP RI RQHV ZKHQ WKH
7$26 PRGH LV DFWLYDWHGï 7$26 FDQ EH FRPPDQGHG
VLPXOWDQHRXVO\ ZLWK /RFDO /RRSEDFNñ EXW LV LQKLELWHG
GXULQJ 5HPRWH /RRSEDFNï
,Q /RFDO /RRSEDFN õ//223ô PRGHñ WKH UHFHLYHU FLUð
FXLWV DUH LQKLELWHGï 7KH WUDQVPLW GDWD DQG FORFN LQSXWV
õ7326ñ 71(* DQG 7&/.ô DUH ORRSHG EDFN RQWR WKH
UHFHLYH GDWD DQG FORFN RXWSXWV õ5326ñ 51(* DQG
5&/.ô WKURXJK WKH 5[ MLWWHU DWWHQXDWRUï 7KH WUDQVPLWð
WHU FLUFXLWV DUH XQDIIHFWHG E\ WKH //223 FRPPDQGï
7KH 7326 DQG 71(* LQSXWV õRU D VWUHDP RI RQHV LI WKH
7$26 FRPPDQG LV DFWLYHô ZLOO EH WUDQVPLWWHG QRUð
PDOO\ï
5HPRWH /RRSEDFN
,Q 5HPRWH /RRSEDFN õ5/223ô PRGHñ WKH WUDQVPLW
GDWD DQG FORFN LQSXWV õ7326ñ 71(* DQG 7&/.ô DUH
LJQRUHGï 7KH 5326 DQG 51(* RXWSXWV DUH ORRSHG
EDFN WKURXJK WKH WUDQVPLW FLUFXLWV DQG RXWSXW RQ 77,3
DQG 75,1* DW WKH 5&/. IUHTXHQF\ï 5HFHLYHU FLUFXLWV
DUH XQDIIHFWHG E\ WKH 5/223 FRPPDQG DQG FRQWLQXH
/;7êíí= 2QO\ã :KHQ XVHG LQ WKLV PRGH ZLWK D FU\Vð
WDOñ WKH WUDQVFHLYHU FDQ EH XVHG DV D VWDQGðDORQH MLWWHU
DWWHQXDWRUï
)LJXUH éã /;7êíí= 6HULDO ,QWHUIDFH 'DWD 6WUXFWXUH
CS
SCLK
ADDRESS / COMMAND BYTE
SDI/ SDO
ADDRESS /
COMMAND
BYTE
R/W
A0
R/W
A1
0
A2
0
A3
0
A4
0
A0
DATA INPUT / OUTPUT BYTE
A5
1
L1
LOS
D0 (LSB)
D0
0
DFM
D1
X
A6
A4
X=DON’T CARE
CLEAR INTERRUPTS
INPUT
DATA
BYTE
A6
EC1
EC2
EC3
D2
D3
R/W- = 1: Read
R/W- = 0: Write
D4
D5
D6
D7
127(
2XWSXW GDWD E\WH LV WKH
VDPH DV WKH LQSXW GDWD
E\WH H[FHSW IRU ELWV
'áèãæ! VKRZQ LQ 7DEOH ëï
SET LOOPBACKS OR RESET
REMOTE
LOCAL
TAOS
D7(MSB)
ëðä
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
LXT300Z is shown in the Host Mode with a typical T1/
ESF framer providing the digital interface with the host
controller. Both devices are controlled through the serial
interface. An LXP600A Clock Adapter (CLAD) provides
the 2.048 MHz system backplane clock, locked to the
recovered 1.544 MHz clock signal. The power supply
inputs are tied to a common bus with appropriate decoupling capacitors installed (68 µF on the transmit side, 1.0
µF and 0.1 µF on the receive side).
$33/,&$7,21 ,1)250$7,21
/;7êíí= +RVW 0RGH ìïèéé
0ESV 7ì ,QWHUIDFH $SSOLFDWLRQ
Figure 5 is a typical 1.544 Mbps T1 application. The
)LJXUH èã 7\SLFDO /;7êíí= ìïèéé 0ESV 7ì $SSOLFDWLRQ õ+RVW 0RGHô
72 +267 &21752//(5
7ì (6)
)5$0(5
706<1&
ìïèéé 0+]
&/2&.
/;7êíí=
75$16&(,9(5
7)6<1&
0&/.
&/.(
&6
7&/.
7&/.
6&/.
6'2
7326
7326
&6
6',
71(*
71(*
6'2
,17
636
02'(
6',
51(*
51(*
,17
5326
5326
5*1'
ò9
9ò
6&/.
5&/.
ìïèéé 0+]
ëë . Ω
59ò
5&/.
127( ì
ì µ)
55,1*
;7$/,1
çïìæç 0+]
/;3çíí$îçíë
&/.,
&/$'
ëïíéå 0+]
127( ì
127( ë
ëðìí
í9
íïì µ)
127( ë
ëëí Ω
ì ã ì ãì
57,3
;7$/287
7ì /,1(
5(&(,9(
ëëí Ω
&/.2
)6,
7+( /;7êíí= ,6 &203$7,%/( :,7+ $ :,'(
9$5,(7< 2) ',*,7$/ )5$0,1* $1'
6,*1$/,1* '(9,&(6ñ ,1&/8',1* 7+(
'6ëìåì$ñ '6ëìåí$ñ 07åäæçñ $1' 5åíæíï
:+(1 /;7êíí= ,6 &211(&7(' 72 7+(
&5266ð&211(&7 )5$0( 7+528*+ $ /2:
/(9(/ 021,725 -$&.ñ 5(&(,9(
75$16)250(5 6+28/' %( ì ã ë ã ë 72
%2267 7+( ,1387 6,*1$/ï
'30
05,1*
/26
07,3
77,3
75,1*
79ò
7*1'
çå µ)
121ð
32/$5,=('
íïéæ µ)
ìãë
ìïèéé 0+]
7ì /,1(
75$160,7
ò9
L1
$SSOLFDWLRQ ,QIRUPDWLRQ
/;7êíí= +DUGZDUH 0RGH (ì
,QWHUIDFH $SSOLFDWLRQ
OLQH UHVLVWRUV DUH QRW UHTXLUHG IRU WUDQVPLVVLRQ RQ ìëí Ω
VKLHOGHG WZLVWHGðSDLU OLQHVï $V LQ WKH 7ì DSSOLFDWLRQ )LJXUH
èñ WKLV FRQILJXUDWLRQ LV LOOXVWUDWHG ZLWK D FU\VWDO LQ SODFH WR
HQDEOH WKH /;7êíí= -LWWHU $WWHQXDWLRQ /RRSñ DQG D VLQJOH
)LJXUH ç LV D W\SLFDO ëïíéå 0ESV (ì DSSOLFDWLRQï 7KH
SRZHU VXSSO\ EXVï 7KH KDUGðZLUHG FRQWURO OLQHV IRU 7$26ñ
/;7êíí= LV VKRZQ LQ +DUGZDUH 0RGH ZLWK D W\SLFDO (ìî
//223 DQG 5/223 DUH LQGLYLGXDOO\ FRQWUROODEOHñ DQG WKH
&5&é IUDPHUï 5HVLVWRUV DUH LQVWDOOHG LQ OLQH ZLWK WKH WUDQVð
//223 DQG 5/223 OLQHV DUH DOVR WLHG WR D VLQJOH FRQWURO
PLW WUDQVIRUPHU IRU ORDGLQJ D æè Ω FRD[LDO FDEOHï 7KH LQð
IRU WKH 5HVHW IXQFWLRQ
)LJXUH çã 7\SLFDO /;7êíí= æè Ω (ì $SSOLFDWLRQ õ+DUGZDUH 0RGHô
(ìî&5&é
)5$0(5
/;7êíí=
ëïíéå 0+]
&ORFN
ìíí NΩ
75$16&(,9(5
9ò
127( ë
0&/.
7$26
7&/.
7&/.
//223
7326
7326
5/223
71(*
71(*
(&ê
02'(
(&ë
51(*
51(*
(&ì
5326
5326
5*1'
5&/.
5&/.
59ò
;7$/,1
ìí NΩ
ìí NΩ
í9
íïì µF
ò ì µ)
55,1*
åïìäë 0+]
;7$/287
ëëí NΩ
57,3
ìèí Ω
ìãìãì
ëïíéå 0ESV
5(&(,9(
ìèí Ω
127( ì
127( ë
ëïë Ω 5(6,67256 5(48,5('
21/< )25 æè Ω &2$;,$/ &$%/(ï
127 5(48,5(' )25
75$160,66,21 2172 ìëí Ω
&$%/(ï
7+( /;7êíí= ,6 &203$7,%/(
:,7+ $ :,'( 9$5,(7< 2)
)5$0,1* $1' 6,*1$/,1*
'(9,&(6ñ ,1&/8',1* 7+(
'6ëìåì$ñ 07åäæäñ $1' 5åíæíï
'30
05,1*
/26
07,3
77,3
75,1*
7*1'
79ò
ò çå µ)
121ð32/$5,=('
ìãë
íïéæ µ)
ëïë Ω
ëïíéå 0ESV
75$160,7
ëïë Ω
127( ì
9ò
7DEOH èã /;7êíí= &U\VWDO 6SHFLILFDWLRQV õ([WHUQDOô
3DUDPHWHU
)UHTXHQF\
)UHTXHQF\ VWDELOLW\
7ì
çïìæç 0+]
‘ ëí SSP # ëè ƒ&
‘ ëè SSP IURP ðéí ƒ& WR åè ƒ&
õ5HI ëè ƒ& UHDGLQJô
3XOODELOLW\
&/ ìì S) WR ìåïæ S)ñ ò∆) ìæè WR ìäè SSP
&/ ìåïæ S) WR êé S)ñ ð∆) ìæè WR ìäè SSP
(IIHFWLYH VHULHV UHVLVWDQFH éí Ω 0D[LPXP
&U\VWDO FXW
$7
5HVRQDQFH
3DUDOOHO
0D[LPXP GULYH OHYHO
ëïí P:
0RGH RI RSHUDWLRQ
)XQGDPHQWDO
&U\VWDO KROGHU
+&éä õ5ê:ôñ &2 æ S) PD[LPXP
&0 ìæ I) W\SLFDO
L1
(ì
åïìäë 0+]
‘ ëí SSP # ëè ƒ&
‘ ëè SSP IURP ðéí ƒ& WR òåè ƒ&
õ5HI ëè ƒ& UHDGLQJô
&/ ìì S) WR ìåïæ S)ñ ò∆) äè WR ììè SSP
&/ ìåïæ S) WR êé S)ñ ð∆) äè WR ììè SSP
êí Ω 0D[LPXP
$7
3DUDOOHO
ëïí P:
)XQGDPHQWDO
+&éä õ5ê:ôñ&2 æ S) PD[LPXP
&0 ìæ I) W\SLFDO
ëðìì
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
/;7êíì= ìïèéé 0ESV 7ì ,QWHUIDFH
$SSOLFDWLRQ
2.048 MHz system backplane clock, locked to the recovered 1.544 MHz clock signal. The power supply inputs are
tied to a common bus with appropriate decoupling capacitors installed (68 µF on the transmit side, 1.0 µF and 0.1 µF
on the receive side).
Figure 7 is a typical 1.544 Mbps T1 application of the
LXT301Z. The LXT301Z is shown with a typical T1/ESF
framer. An LXP600A Clock Adapter (CLAD) provides the
)LJXUH æã 7\SLFDO /;7êíì= ìïèéé 0ESV 7ì $SSOLFDWLRQ
7ìî(6)
)5$0(5
/;7êíì=
ìïèéé 0+]
&ORFN
127( ì
ìíí N Ω
75$16&(,9(5
9ò
0&/.
7$26
7&/.
7&/.
//223
7326
7326
5/223
71(*
71(*
(&ê
*1'
(&ë
51(*
51(*
(&ì
5326
5326
5*1'
5&/.
59ò
ìïèéé 0+]
5&/.
9ò
(ìïèL
ì NΩ
57
ëëí N Ω
ìí NΩ
ìí NΩ
í9
íïì µ)
ì µ)
55,1*
1î&
57,3
ëëí Ω
ëëí Ω
/;çíí$ î çíë
&/.,
&/$'
ëïíéå 0+]
&/.2
)6,
'30
05,1*
/26
07,3
77,3
127( ì
ëðìë
7+( /;7êíí= ,6 &203$7,%/(
:,7+ $ :,'( 9$5,(7< 2)
)5$0,1* $1' 6,*1$/,1*
'(9,&(6ñ ,1&/8',1* 7+(
'6ëìåí$ñ 07åäæçñ $1' 5åíæíï
75,1*
7*1'
ò çå µ)
ìãìãì
7ì /,1(
5(&(,9(
121ð32/$5,=('
ìãë
íïéæ µ)
ëïë Ω
ìïèéé 0ESV
75$160,7
79ò
9ò
L1
$SSOLFDWLRQ ,QIRUPDWLRQ
/;7êíì= ëïíéå 0ESV (ì ,QWHUIDFH
$SSOLFDWLRQ
shielded twisted-pair lines. As in the T1 application Figure
7, this configuration is illustrated with a single power supply bus. The hard-wired control lines for TAOS, LLOOP
and RLOOP are individually controllable, and the LLOOP
and RLOOP lines are also tied to a single control for the
Reset function.
Figure 8 is a typical 2.048 Mbps E1 application of the
LXT301Z. The LXT301Z is shown with a typical E1/
CRC4 framer. Resistors are installed in line with the transmit transformer for loading a 75 Ω coaxial cable. The inline resistors are not required for transmission on 120 Ω
)LJXUH åã 7\SLFDO /;7êíì= æè Ω (ì $SSOLFDWLRQ
(ìî&5&é
)5$0(5
/;7êíì=
ëïíéå 0+]
&ORFN
127( ì
ìíí NΩ
75$16&(,9(5
9ò
0&/.
7$26
7&/.
7&/.
//223
7326
7326
5/223
71(*
71(*
(&ê
*1'
(&ë
51(*
51(*
(&ì
5326
5326
5*1'
5&/.
5&/.
59ò
Yò
57
ëëí NΩ
ìí NΩ
ìí NΩ
í9
íïì µF
ì µ)
55,1*
1î&
57,3
ìèí Ω
ìèí Ω
127( ì
7+( /;7êíì= ,6 &203$7,%/(
:,7+ $ :,'( 9$5,(7< 2)
)5$0,1* $1' 6,*1$/,1*
'(9,&(6ñ ,1&/8',1* 7+(
'6ëìåì$ñ 07åäæäñ $1' 5åíæíï
'30
05,1*
/26
07,3
77,3
75,1*
7*1'
ò çå µ)
L1
79ò
ìãìãì
ëïíéå 0ESV
5(&(,9(
121ð32/$5,=('
ìãë
íïéæ µ)
ëïë Ω
ëïíéå 0ESV
75$160,7
ëïë Ω
9ò
ëðìê
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
7(67 63(&,),&$7,216
127(
7KH PLQLPXP DQG PD[LPXP YDOXHV LQ 7DEOHV ç WKURXJK ìê DQG )LJXUHV ìì WKURXJK ìè UHSUHVHQW WKH SHUIRUPDQFH VSHFLILð
FDWLRQV RI WKH /;7êíí=î/;7êíì= DQG DUH JXDUDQWHHG E\ WHVWñ H[FHSW ZKHUH QRWHG E\ GHVLJQï
7DEOH çã $EVROXWH 0D[LPXP 5DWLQJV
3DUDPHWHU
6\P
0LQ
0D[
8QLWV
59òñ 79ò
ðíïê
çïí
9
,QSXW YROWDJHñ DQ\ SLQì
9,1
5*1' ð íïê
59ò ò íïê
9
,QSXW FXUUHQWñ DQ\ SLQë
,,1
ðìí
ìí
P$
767*
ðçè
ìèí
ƒ&
'& VXSSO\ õUHIHUHQFHG WR *1'ô
6WRUDJH WHPSHUDWXUH
&$87,21
2SHUDWLRQV DW RU EH\RQG WKHVH OLPLWV PD\ UHVXOW LQ SHUPDQHQW GDPDJH WR WKH GHYLFHï
1RUPDO RSHUDWLRQ LV QRW JXDUDQWHHG DW WKHVH H[WUHPHVï
ìï ([FOXGLQJ 57,3 DQG 55,1* ZKLFK PXVW VWD\ EHWZHHQ ðç9 DQG õ59ò ò íïêô 9ï
ëï 7UDQVLHQW FXUUHQWV RI XS WR ìíí P$ ZLOO QRW FDXVH 6&5 ODWFK XSï 77,3ñ 75,1*ñ 79ò DQG 7*1' FDQ ZLWKVWDQG D FRQWLQXRXV FXUUHQW RI ìíí P$ï
7DEOH æã 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQV
3DUDPHWHU
'& VXSSO\ì
6\P
0LQ
7\S
0D[
8QLWV
59òñ 79ò
éïæè
èïí
èïëè
9
7$
ðéí
ëè
åè
ƒ&
$PELHQW RSHUDWLQJ WHPSHUDWXUH
ìï 79ò PXVW QRW H[FHHG 59ò E\ PRUH WKDQ íïê 9ï
7DEOH åã (OHFWULFDO &KDUDFWHULVWLFV õ8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVô
3DUDPHWHU
6\P
0LQ
7\S
0D[
8QLWV
9,+
ëïí
¤
¤
9
9,/
¤
¤
íïå
9
õSLQV çðåñ ììñ ìëñ ëêñ ëèô
92+
ëïé
¤
¤
9
,287
ðéíí µ$
õSLQV çðåñ ììñ ìëñ ëêñ ëèô
92/
¤
¤
íïé
9
,287
ìïç P$
,QSXW OHDNDJH FXUUHQW õSLQV ìðèñ DQG ëêðëåô
,//
ðìí
¤
òìí
µ$
,QSXW OHDNDJH FXUUHQW õSLQV äñ ìæñ DQG ìåô
,//
ðèí
¤
òèí
µ$
7KUHHðVWDWH OHDNDJH FXUUHQW õSLQ ëèô
,ê/
ðìí
¤
òìí
µ$
7RWDO SRZHU GLVVLSDWLRQê
3'
¤
¤
æíí
P:
+LJK OHYHO LQSXW YROWDJHìñë õSLQV ìðèñ ìíñ ëêðëåô
/RZ OHYHO LQSXW YROWDJH
ìñë
+LJK OHYHO RXWSXW YROWDJH
/RZ OHYHO RXWSXW YROWDJH
õSLQV ìðèñ ìíñ ëêðëåô
ìñë
ìñë
ì
7HVW &RQGLWLRQV
ìííø RQHV GHQVLW\
÷ PD[LPXP OLQH
OHQJWK # èïëè 9
ìï )XQFWLRQDOLW\ RI SLQV ëê DQG ëè GHSHQGV RQ PRGHï 6HH +RVWî+DUGZDUH 0RGH GHVFULSWLRQVï
ëï 2XWSXW GULYHUV ZLOO RXWSXW &026 ORJLF OHYHOV LQWR &026 ORDGVï
êï 3RZHU GLVVLSDWLRQ ZKLOH GULYLQJ D ëè Ω ORDG RYHU RSHUDWLQJ WHPSHUDWXUH UDQJHï ,QFOXGHV GHYLFH DQG ORDGï 'LJLWDO LQSXW OHYHOV DUH ZLWKLQ ìíø RI
WKH VXSSO\ UDLOV DQG GLJLWDO RXWSXWV DUH GULYLQJ D èí S) FDSDFLWLYH ORDGï
ëðìé
L1
7HVW 6SHFLILFDWLRQV
7DEOH äã $QDORJ &KDUDFWHULVWLFV õ8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVô
0LQ
7\Sì
0D[
8QLWV
7HVW &RQGLWLRQV
'6;ðì
ëïé
êïí
êïç
9
PHDVXUHG DW WKH '6;
(ì õìëí Ω)
ëïæ
êïí
êïê
9
PHDVXUHG DW OLQH VLGH
(ì õæè Ω)
ëïìé
ëïêæ
ëïç
9
ì
ëïè
%
3DUDPHWHU
$0, RXWSXW SXOVH DPSOLWXGHV
7UDQVPLW DPSOLWXGH YDULDWLRQ ZLWK VXSSO\
# ææë N+]
5HFRPPHQGHG RXWSXW ORDG DW 77,3 DQG 75,1*
¤
ëè
¤
Ω
57,3 WR 55,1*
'ULYHU RXWSXW LPSHGDQFHë
¤
ê
ìí
Ω
# ìí N+]
ìí +] ð å N+]ë
¤
¤
íïíì
8,
å N+] ð éí N+]
¤
¤
íïíëè
8,
ìí +] ð éí N+]
¤
¤
íïíëè
8,
%URDG %DQG
¤
¤
íïíè
8,
# ææë N+]
ìëïç
¤
ìæïä
G%P
# ìèéé N+]è
ðëäïí
¤
¤
G%
¤
¤
íïè
G%
ìêïç
¤
¤
G%
èíí
¤
¤
P9
5HFHLYHU LQSXW LPSHGDQFH
¤
éí
¤
kΩ
/RVV RI 6LJQDO WKUHVKROG
¤
íïê
¤
9
'6;ðì
çê
æí
ææ
ø SHDN
(ì
éê
èí
èæ
ø SHDN
ìçí
ìæè
ìäí
¤
ìí +]
¤
ìëíí
¤
8,
ææè +]
ìé
¤
¤
8,
ìí N+] ð ìíí N+]
íïé
¤
¤
8,
¤
ê
¤
+]
¤
èí
¤
GE
ëå
¤
¤
8,
-LWWHU DGGHG E\ WKH WUDQVPLWWHUê
2XWSXW SRZHU OHYHOVë
'6ì ë N+] %:
3RVLWLYH WR QHJDWLYH SXOVH LPEDODQFH
6HQVLWLYLW\ EHORZ '6;
ç
'DWD GHFLVLRQ WKUHVKROG
õí G%
ëïé 9ô
$OORZDEOH FRQVHFXWLYH ]HURV EHIRUH /26
,QSXW MLWWHU WROHUDQFH
é
-LWWHU DWWHQXDWLRQ FXUYH FRUQHU IUHTXHQF\
-LWWHU DWWHQXDWLRQ
-LWWHU DWWHQXDWLRQ WROHUDQFH EHIRUH ),)2 2YHUIORZ
ë
ìï 7\SLFDO YDOXHV DUH PHDVXUHG DW ëè °& DQG DUH IRU GHVLJQ DLG RQO\â QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
ëï 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVï
êï ,QSXW VLJQDO WR 7&/. LV MLWWHUðIUHHï
éï &LUFXLW DWWHQXDWHV MLWWHU DW ëí G%îGHFDGH DERYH WKH FRUQHU IUHTXHQF\ï
èï 5HIHUHQFHG WR SRZHU LQ ë N+] EDQGï
çï :LWK D PD[LPXP RI ç G% RI FDEOH DWWHQXDWLRQï
L1
ëðìè
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
)LJXUH äã /;7êíí= 5[ -LWWHU 7ROHUDQFH õ7\SLFDOô
ìíííí 8,
ìëíí 8,
ìííí 8,
-LWWHU
ìêå 8,
ìíí 8,
/;7êíí= 3HUIRUPDQFH
3XE çëéìì
'HF ìääí
ëå 8,
ìí 8,
ìïë 8,
ìïè 8,
ì 8,
ìí +]
ì +]
êí N+]
êíí
éíí
ëí
íïé 8,
íïë 8,
íïì 8,
ìíí +]
ì N+]
ìí N+]
ìíí N+]
)UHTXHQF\
)LJXUH ìíã /;7êíí= 5[ -LWWHU 7UDQVIHU 3HUIRUPDQFH õ7\SLFDOô
ëí G%
íïè G% î ê +]
$7÷7 çëéìì 7HPSODWH 6ORSH
HTXLYDOHQW WR ëí G% SHU GHFDGH
íïè G% î éí +]
&&,77 *ïæêè 7HPSODWH 6ORSH
HTXLYDOHQW WR ëí G% SHU GHFDGH
í G%
*DLQ
ðìí G%
ìäïè G% î
éíí +]
ðëí G%
ìäïè G% î
ìíí +]
$7÷7 çëéìì 7HPSODWH 6ORSH
HTXLYDOHQW WR éí G% SHU GHFDGH
ðêí G%
7\SLFDO /;7êíí= 3HUIRUPDQFH
ðéí G%
ëí +]
ðçí G%
ìí +]
ìí +]
ìéèí +]
ìí +]
ìí +]
ìí +]
ìí +]
)UHTXHQF\
ëðìç
L1
7HVW 6SHFLILFDWLRQV
7DEOH ìíã /;7êíí= 5HFHLYHU 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ììô
6\P
0LQ
7\Sì
0D[
8QLWV
5&/.G
éí
ð
çí
ø
'6;ðì
W3:
¤
êëé
¤
QV
(ì
W3:
¤
ëéé
¤
QV
5326î51(* WR 5&/. ULVLQJ
VHWXS WLPH
'6;ðì
W685
¤
ëæé
¤
QV
(ì
W685
¤
ìäé
¤
QV
5&/. ULVLQJ WR 5326î51(*
KROG WLPH
'6;ðì
W+5
¤
ëæé
¤
QV
(ì
W+5
¤
ìäé
¤
QV
3DUDPHWHU
5HFHLYH FORFN GXW\ F\FOH
5HFHLYH FORFN SXOVH ZLGWKë
7HVW
&RQGLWLRQV
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
)LJXUH ììã /;7êíí= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP
W3:
W3:+
W3:/
W685
W+5
5&/.
5326
51(*
5326
51(*
L1
+RVW 0RGH
&/.(
W685
ì
W+5
+RVW 0RGH
&/.(
íñ ÷
+î: 0RGH
ëðìæ
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
7DEOH ììã /;7êíì= 5HFHLYH 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ìëô
6\P
0LQ
7\Sì
0D[
8QLWV
'6;ðì
5&/.G
éí
èí
çí
ø
(ì
5&/.G
éí
èí
çí
ø
'6;ðì
W3:
èäé
çéå
æíë
QV
(ì
W3:
ééæ
éåå
èëä
QV
5HFHLYH FORFN SXOVH ZLGWK
KLJK
'6;ðì
W3:+
¤
êëé
¤
QV
(ì
W3:+
¤
ëéé
¤
QV
5HFHLYH FORFN SXOVH ZLGWK
ORZ
'6;ðì
W3:/
ëæí
êëé
êæå
QV
(ì
W3:/
ëíê
ëéé
ëåè
QV
5326î51(* WR 5&/. ULVLQJ
VHWXS WLPH
'6;ðì
W685
èí
ëæí
¤
QV
(ì
W685
èí
ëíê
¤
QV
5&/. ULVLQJ WR 5326î51(*
KROG WLPH
'6;ðì
W+5
èí
ëæí
¤
QV
(ì
W+5
èí
ëíê
¤
QV
3DUDPHWHU
5HFHLYH FORFN GXW\ F\FOHë
5HFHLYH FORFN SXOVH ZLGWKë
7HVW
&RQGLWLRQV
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
ëï 5&/. GXW\ F\FOH ZLGWKV ZLOO YDU\ GHSHQGLQJ RQ H[WHQW RI UHFHLYHG SXOVH MLWWHU GLVSODFHPHQWï 0D[ DQG 0LQ 5&/. GXW\ F\FOHV DUH IRU ZRUVW FDVH
MLWWHU FRQGLWLRQV õíïé 8, FORFN GLVSODFHPHQW IRU ìïèéé 0+]ñ íïë 8, FORFN GLVSODFHPHQW IRU ëïíéå 0+]ôï
)LJXUH ìëã /;7êíì= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP
W3:
W3:/
W3:+
W685
W+5
5&/.
5326
51(*
ëðìå
L1
7HVW 6SHFLILFDWLRQV
7DEOH ìëã /;7êíí=îêíì= 0DVWHU &ORFN DQG 7UDQVPLW 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ìêô
6\P
0LQ
7\Sì
0D[
8QLWV
'6;ðì
0&/.
¤
ìïèéé
¤
0+]
(ì
0&/.
¤
ëïíéå
¤
0+]
0DVWHU FORFN WROHUDQFH
0&/.W
¤
‘ìíí
¤
SSP
0DVWHU FORFN GXW\ F\FOH
0&/.G
éí
¤
çí
ø
'6;ðì
IF
¤
çïìæç
¤
0+]
(ì
IF
¤
åïìäë
¤
0+]
'6;ðì
7&/.
¤
ìïèéé
¤
0+]
(ì
7&/.
¤
ëïíéå
¤
0+]
7UDQVPLW FORFN WROHUDQFH
7&/.W
¤
‘èí
¤
SSP
7UDQVPLW FORFN GXW\ F\FOH
7&/.G
ìí
¤
äí
ø
7326î71(* WR 7&/. VHWXS WLPH
W687
ëè
¤
¤
QV
7&/. WR 7326î71(* KROG WLPH
W+7
ëè
¤
¤
QV
3DUDPHWHU
0DVWHU FORFN IUHTXHQF\
&U\VWDO IUHTXHQF\
õ/;7êíí= RQO\ô
7UDQVPLW FORFN IUHTXHQF\
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
ëï 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVï
)LJXUH ìêã /;7êíí=îêíì= 7UDQVPLW &ORFN 7LPLQJ 'LDJUDP
7&/.
W687
W+7
7326
71(*
L1
ëðìä
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV
7DEOH ìêã /;7êíí= 6HULDO ,î2 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUHV ìé DQG ìèô
3DUDPHWHU
6\P
0LQ
7\Sì
0D[
8QLWV
7HVW &RQGLWLRQV
5LVHî)DOO WLPH ð DQ\ GLJLWDO RXWSXW
W5)
¤
¤
ìíí
QV
/RDG ìïç P$ñ èí S)
6', WR 6&/. VHWXS WLPH
W'&
èí
¤
¤
QV
6&/. WR 6', KROG WLPH
W&'+
èí
¤
¤
QV
6&/. ORZ WLPH
W&/
ëéí
¤
¤
QV
6&/. KLJK WLPH
W&+
ëéí
¤
¤
QV
6&/. ULVH DQG IDOO WLPH
W5ñ W)
¤
¤
èí
QV
CS WR 6&/. VHWXS WLPH
W&&
èí
¤
¤
QV
6&/. WR CS KROG WLPH
W&&+
èí
¤
¤
QV
CS LQDFWLYH WLPH
W&:+
ëèí
¤
¤
QV
6&/. WR 6'2 YDOLG
W&'9
¤
¤
ëíí
QV
6&/. IDOOLQJ HGJH RU CS ULVLQJ
HGJH WR 6'2 KLJK =
W&'=
¤
ìíí
¤
QV
ìï 7\SLFDO YDOXHV DUH DW ëèƒ & DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï
)LJXUH ìéã /;7êíí= 6HULDO 'DWD ,QSXW 7LPLQJ 'LDJUDP
&6
6&/.
W&&
W&+
W'&
6',
W&&+
W&:+
W&/
W&'+
/6%
W&'+
06%
/6%
&21752/ %<7(
'$7$ %<7(
)LJXUH ìèã /;7êíí= 6HULDO 'DWD 2XWSXW 7LPLQJ 'LDJUDP
&6
W&'=
6&/.
W&'9
W&'=
6'2
+,*+ =
&/.( ì
W&'9
6'2
+,*+ =
&/.( í
ëðëí
L1