Product Specification

NHD-4.3-480272EF-ASXV#-CTP
TFT (Thin-Film-Transistor) Color Liquid Crystal Display Module
NHD4.3480272EFASXV#CTP-
Newhaven Display
4.3” Diagonal
480xRGBx272 Pixels
Model
Built-in Driver / No Controller
High Brightness White LED Backlight
TFT
MVA Type, Wide Temperature
RoHS Compliant
Capacitive Touch Panel with Controller
Newhaven Display International, Inc.
2661 Galvin Ct.
Elgin IL, 60124
Ph: 847-844-8795
Fax: 847-844-8796
www.newhavendisplay.com
[email protected]
[email protected]
Document Revision History
Revision
0
1
2
3
4
5
6
Date
10/31/2014
1/8/2015
3/5/2015
3/16/2015
8/11/15
10/27/15
12/21/15
Description
Initial Release
Mechanical drawing updated
Part number revised
CTP mechanical dimensions updated
Part number changed from ATXV#-3CTP to ASXV#-CTP
Backlight lifetime rating added
Datasheet Reformat, Brightness rating updated
Functions and Features
•
•
•
•
•
480xRGBx272 resolution, up to 16.7M colors
16-LED backlight
24 bit RGB interface
Wide viewing angle from all sides
Capacitive touch panel with controller
o 5 point multi-touch input
o Gesture input
 Zoom In/Out
 Swipe Up/Down/Left/Right
[2]
Changed by
ML
AK
AK
ML
AK
AK
SB
L
A
I
Mechanical Drawing
1
2
3
4
4.525
Rev
5
6
2.95 (TFT)
1.575 (TP)
CTP
A
F
N
Stiffener: 0.2mm
C
Stiffener: 0.2mm
1
T
N
E
D
I
B
O
C
6
NOTES:
1. Display Size: 4.3” TFT
2. Viewing Direction Full View
3. Display Mode: Transmissive / Normally White / Anti-Glare
4. Driver IC: HX8257A
D 5. Power Supply Voltage: 3.3 V
6. Backlight: White LED / 25.6 V (Typ) / 40 mA
7. Luminance: 700 cd/m² (Typ)
8. 3M Brightness Enhancement Film
9. Touch Panel: PCAP
1
2
Date
TFT
A
VDD
GND
SCL
SDA
/INT
/RESET
B
C
PI Stiffener
D
Date
12/21/15
Unit
Gen. Tolerance
±0.3mm
3
4
[3]
mm
5
Model:
NHD-4.3-480272EF-ASXV#-CTP
6
Pin Description
TFT:
Pin No.
1
2
3
4
5-12
13-20
21-28
29
30
31
32
33
34
35
36
37
38
39
40
Symbol
LEDLED+
GND
VDD
[R0-R7]
[G0-G7]
[B0-B7]
GND
CLK
DISP
HSYNC
VSYNC
DE
NC
GND
NC
NC
NC
NC
External
Connection
Power Supply
Power Supply
Power Supply
Power Supply
MPU
MPU
MPU
Power Supply
MPU
MPU
MPU
MPU
MPU
Power Supply
-
Function Description
Backlight Cathode (Ground)
Backlight Anode (40mA @ 25.6V)
Ground
Supply Voltage for LCD and logic (3.3V)
Red Data signals
Green Data signals
Blue Data signals
Ground
Data sample Clock signal
Display ON/OFF signal
Line synchronization signal
Frame synchronization signal
Data Enable signal
No Connect
Ground
No Connect
No Connect
No Connect
No Connect
Recommended LCD connector: 0.5mm pitch 40-pin FFC.
Backlight connector: on LCD connector
Capacitive Touch Panel:
Pin No.
1
2
3
4
5
6
Symbol
VDD
GND
SCL
SDA
/INT
/RESET
External
Connection
Power Supply
Power Supply
MPU
MPU
MPU
MPU
Molex p/n: 54104-4031
Function Description
Supply Voltage for logic (3.0V)
Ground
Serial I2C Clock (Requires pull-up resistor)
Serial I2C Data (Requires pull-up resistor)
Interrupt signal from touch panel module to host
Active LOW Reset signal
Recommended connector: 1.0mm pitch 6-Conductor FFC. Molex p/n: 52271-0679
Driver/Controller Information
TFT:
Built-in Himax HX8257-A driver.
Please download specification at http://www.newhavendisplay.com/app_notes/HX8257.pdf
Capacitive Touch Panel:
Built-in FocalTech FT5306 controller.
Please download specification at http://www.newhavendisplay.com/app_notes/FT5x06.pdf
[4]
Electrical Characteristics
TFT:
Item
Operating Temperature Range
Storage Temperature Range
Supply Voltage
Supply Current
“H” level input
“L” level input
“H” level output
“L” level output
Backlight Supply Voltage
Backlight Supply Current
Backlight Lifetime
Symbol
Top
Tst
VDD
IDD
Vih
Vil
Voh
Vol
Condition
Absolute Max
Absolute Max
VDD = 3.3V
-
Min.
-20
-30
3.0
10
0.8*VDD
GND
0.9*VDD
GND
Typ.
3.3
28
-
Max.
+70
+80
3.6
50
VDD
0.2*VDD
VDD
0.1*VDD
Unit
⁰C
⁰C
V
mA
V
V
V
V
VLED
ILED
-
VLED = 25.6V
ILED=40mA,
Top=25⁰C
23.2
20,000
25.6
40
50,000
28
-
V
mA
hrs
*Backlight lifetime is rated as Hours until half-brightness, under normal operating conditions.
Capacitive Touch Panel:
Item
Operating Temperature Range
Storage Temperature Range
Supply Voltage
Supply Current (Operating)
Supply Current (Hibernate)
“H” level input
“L” level input
“H” level output
“L” level output
Optical Characteristics
Optimal
Viewing
Angles
Item
Top
Bottom
Left
Right
Contrast Ratio
Luminance
Response Time
Rise
Fall
Symbol
Top
Tst
VDD
IDD
IDD
Vih
Vil
Voh
Vol
Condition
Absolute Max
Absolute Max
Ta=25°C, VDD=2.8V
-
Symbol
ϕY+
ϕYθXθX+
Cr
Lv
Tr
Tf
Condition
Cr ≥ 10
ILED = 40 mA
-
Min.
-20
-30
2.8
0.7*VDD
GND
0.7*VDD
GND
Min.
60
60
60
60
400
-
Capacitive Touch Panel Material Characteristics
Property
IC
ITO Glass Thickness
Surface Hardness
Transparency
Operating Humidity
Storage Humidity
Requirement
FT5306DE4
0.55
≥6
83% ± 5%
20~90
20~90
Unit
mm
H
RH
RH
[5]
Typ.
6.0
1.0
-
Typ.
75
75
75
75
500
700
25
25
Max.
+70
+80
3.3
VDD
0.3*VDD
VDD
0.3*VDD
Max.
30
30
Unit
⁰C
⁰C
V
mA
µA
V
V
V
V
Unit
⁰
⁰
⁰
⁰
2
cd/m
ms
ms
Capacitive Touch Panel Registers
Address
Name
B7
B6
B5
B4
00h
DEVICE_MODE
01h
GEST_ID
02h
TD_STATUS
03h
TOUCH1_XH
Event Flag
04h
TOUCH1_XL
1st Touch X Position LSB [7..0]
05h
TOUCH1_YH
Touch ID [3..0]
06h
TOUCH1_YL
1st Touch Y Position LSB [7..0]
B3
B2
B1
B0
Device Mode [2..0]
Access
R/W
Gesture ID [7..0]
R
Touch Points [3..0]
1st Touch X Position MSB [11..8]
R
R
R
1st Touch Y Position MSB [11..8]
R
R
07h
R
08h
R
09h
TOUCH2_XH
Event Flag
2nd Touch X Position MSB [11..8]
0Ah
TOUCH2_XL
2nd Touch X Position LSB [7..0]
0Bh
TOUCH2_YH
Touch ID [3..0]
0Ch
TOUCH2_YL
2nd Touch Y Position LSB [7..0]
R
R
2nd Touch Y Position MSB [11..8]
R
R
0Dh
R
0Eh
R
0Fh
TOUCH3_XH
Event Flag
3rd Touch X Position MSB [11..8]
10h
TOUCH3_XL
3rd Touch X Position LSB [7..0]
11h
TOUCH3_YH
Touch ID [3..0]
12h
TOUCH3_YL
3rd Touch Y Position LSB [7..0]
R
R
3rd Touch Y Position MSB [11..8]
R
R
13h
R
14h
R
15h
TOUCH4_XH
Event Flag
4th Touch X Position MSB [11..8]
16h
TOUCH4_XL
4th Touch X Position LSB [7..0]
17h
TOUCH4_YH
Touch ID [3..0]
18h
TOUCH4_YL
4th Touch Y Position LSB [7..0]
R
R
4th Touch Y Position MSB [11..8]
R
R
19h
R
1Ah
R
1Bh
TOUCH5_XH
Event Flag
5th Touch X Position MSB [11..8]
1Ch
TOUCH5_XL
5th Touch X Position LSB [7..0]
1Dh
TOUCH5_YH
Touch ID [3..0]
1Eh
TOUCH5_YL
5th Touch Y Position LSB [7..0]
R
5th Touch Y Position MSB [11..8]
1Fh
R
R
R
R
[6]
Address
Name
B7
B6
B5
B4
B3
B2
B1
B0
80h
ID_G_THGROUP
valid touching detect threshold
R/W
81h
ID_G_THPEAK
valid touching peak detect threshold
R/W
82h
ID_G_THCAL
the threshold when calculating the focus of touching
R/W
83h
ID_G_THWATER
the threshold when there is surface water
R/W
84h
ID_G_TEMP
the threshold of temperature compensation
R/W
85h
ID_G_THDIFF
the threshold whether the coordinate is different from original
R/W
86h
ID_G_CTRL
Power Control Mode [1..0]
R/W
87h
ID_G_TIME_ENTER_MONITOR
88h
ID_G_PERIODACTIVE
89h
ID_G_PERIODMONITOR
the timer of entering idle when in monitor status
R/W
A0h
ID_G_AUTO_CLB_MODE
auto calibration mode
R/W
A1h
ID_G_LIB_VERSION_H
Firmware Library Version H byte
R
A2h
ID_G_LIB_VERSION_L
Firmware Library Version L byte
R
A3h
ID_G_CIPHER
Chip vendor ID
R
A4h
ID_G_MODE
the interrupt status to host
R
A5h
ID_G_PMODE
Power Consume Mode
A6h
ID_G_FIRMID
Firmware ID
A7h
ID_G_STATE
Running State
A8h
ID_G_FT5201ID
CTPM Vendor ID
R
A9h
ID_G_ERR
Error Code
R
AAh
ID_G_CLB
Configure TP module during calibration in Test Mode
R/W
FEh
LOG_MSG_CNT
The log MSG count
R
FFh
LOG_CUR_CHA
Current character of log message
R
the timer for entering monitor status
Period Active [3..0]
Access
R/W
R/W
R
NOTE: Registers 80h – AFh have been configured for optimum settings and do not need to be modified.
[7]
Register No
00h
01h
02h
03h
Register Name
Device Mode
Gesture ID
Touch Points
Touch 1 Event Flag
Bits
[2:0]
[7:0]
[3:0]
[7:6]
Value
000b
Description
Normal Operating Mode
100b
Test Mode - read raw data (reserved)
001b
48h
System Information Mode (reserved)
Zoom In
49h
Zoom Out
00h
No Gesture
000b
0 touch points detected
001b
1 touch point detected
010b
2 touch points detected
011b
3 touch points detected
100b
4 touch points detected
101b
5 touch points detected
00b
Put Down
01b
Put Up
10b
Contact
11b
Reserved
03h
TOUCH1_XH
[3:0]
0h - 1h
Upper 4 bits of X touch coordinate
04h
TOUCH1_XL
[7:0]
00h - FFh
Lower 8 bits of X touch coordinate
05h
TOUCH1_YH
[3:0]
0h - 1h
Upper 4 bits of Y touch coordinate
06h
TOUCH1_YL
[7:0]
00h - FFh
Lower 8 bits of Y touch coordinate
09h
Touch 2 Event Flag
[7:6]
00b
Put Down
01b
Put Up
10b
Contact
11b
Reserved
09h
TOUCH2_XH
[3:0]
0h - 1h
Upper 4 bits of X touch coordinate
0Ah
TOUCH2_XL
[7:0]
00h - FFh
Lower 8 bits of X touch coordinate
0Bh
TOUCH2_YH
[3:0]
0h - 1h
Upper 4 bits of Y touch coordinate
0Ch
TOUCH2_YL
[7:0]
00h - FFh
Lower 8 bits of Y touch coordinate
0Fh
Touch 3 Event Flag
[7:6]
00b
Put Down
01b
Put Up
10b
Contact
11b
Reserved
0Fh
TOUCH3_XH
[3:0]
0h - 1h
Upper 4 bits of X touch coordinate
10h
TOUCH3_XL
[7:0]
00h - FFh
Lower 8 bits of X touch coordinate
11h
TOUCH3_YH
[3:0]
0h - 1h
Upper 4 bits of Y touch coordinate
12h
15h
TOUCH3_YL
Touch 4 Event Flag
[7:0]
[7:6]
00h - FFh
00b
Lower 8 bits of Y touch coordinate
Put Down
01b
Put Up
10b
Contact
11b
Reserved
15h
TOUCH4_XH
[3:0]
0h - 1h
Upper 4 bits of X touch coordinate
16h
TOUCH4_XL
[7:0]
00h - FFh
Lower 8 bits of X touch coordinate
17h
TOUCH4_YH
[3:0]
0h - 1h
Upper 4 bits of Y touch coordinate
18h
TOUCH4_YL
[7:0]
00h - FFh
Lower 8 bits of Y touch coordinate
[8]
Register No
Register Name
Bits
Value
Description
1Bh
Touch 5 Event Flag
[7:6]
00b
Put Down
01b
Put Up
10b
Contact
11b
Reserved
1Bh
TOUCH5_XH
[3:0]
0h - 1h
Upper 4 bits of X touch coordinate
1Ch
TOUCH5_XL
[7:0]
00h - FFh
Lower 8 bits of X touch coordinate
1Dh
TOUCH5_YH
[3:0]
0h - 1h
Upper 4 bits of Y touch coordinate
1Eh
TOUCH5_YL
[7:0]
00h - FFh
Lower 8 bits of Y touch coordinate
80h
ID_G_THGROUP
[7:0]
00h - FFh
Valid touching detect threshold
Recommended: 46h
Actual value will be 4 times register’s value
81h
ID_G_THPEAK
[7:0]
00h - FFh
valid touching peak detect threshold
Recommended: 3Ch
82h
ID_G_THCAL
[7:0]
00h - FFh
Touch focus threshold
Recommended: 1Dh
83h
84h
ID_G_THWATER
[7:0]
00h - FFh
threshold when there is surface water
Recommended: D3h
ID_G_THTEMP
[7:0]
00h- FFh
threshold of temperature compensation
Recommended: EBh
85h
ID_G_THDIFF
[7:0]
00h- FFh
Touch difference threshold
Recommended: A0h
Actual value is 32 times the register’s value
86h
ID_G_CTRL
[1:0]
00h
Power Control Mode: Not Auto Jump
01h
Power Control Mode: Auto Jump
87h
ID_G_TIME_ENTER_MONITOR
[7:0]
00h-FFh
Delay to enter 'Monitor' status (s)
Recommended: C8h
88h
ID_G_PERIODACTIVE
[3:0]
3h-Eh
Period of 'Active' status (ms)
Recommended: 6h
89h
ID_G_PERIODMONITOR
[7:0]
1Eh-FFh
Timer to enter ‘idle’ when in 'Monitor' (ms)
Recommended: 28h
A0h
ID_G_AUTO_CLB_MODE
[7:0]
00h
Auto calibration mode: Enable auto calibration
FFh
Auto calibration mode: Disable auto calibration
A1h
ID_G_LIB_VERSION_H
[7:0]
30h
Firmware Library Version H byte
A2h
ID_G_LIB_VERSION_L
[7:0]
01h
Firmware Library Version L byte
A3h
ID_G_CIPHER
[7:0]
06h
Chip vendor ID
A4h
ID_G_MODE
[0:0]
00h
Interrupt status: Enable interrupt to host
01h
Interrupt status: Disable interrupt to host
00h
'Active' Mode
01h
'Monitor' Mode
03h
'Hibernate' Mode
A5h
ID_G_PMODE
[1:0]
A6h
ID_G_FIRMID
[7:0]
30h
Firmware ID
A7h
ID_G_STATE
[7:0]
00h
Running State: Configure
01h
Running State: Work
02h
Running State: Calibration
03h
Running State: Factory
04h
Running State: Auto-calibration
A8h
ID_G_FT5201ID
[7:0]
98h
CTPM Vendor's Chip ID
A9h
ID_G_ERR
[7:0]
00h
Error Code: OK
03h
Error Code: Chip register writing inconsistent with reading
05h
Error Code: Chip start fail
1Ah
Error Code: Calibration match fail
[9]
Timing Characteristics – TFT Display
Parallel RGB input timing requirement
[10]
[11]
Input setup timing requirement
[12]
[13]
Timing Characteristics – Capacitive Touch Panel
Data Transfer Format
[14]
Power ON Sequence
Reset Sequence
[15]
Sample code to read touch data:
i2c_start();
i2c_tx(0x70);
i2c_tx(0x00);
i2c_stop();
//Slave Address (Write)
//Start reading address
i2c_start();
i2c_tx(0x71);
//Slave Address (Read)
for(i=0x00;i<0x1F;i++)
{touchdata_buffer[i] = i2c_rx(1);}
i2c_stop();
Sample code to overwrite default register values:
i2c_start();
i2c_tx(0x70);
i2c_tx(0xA4);
i2c_tx(0x01);
i2c_stop();
//Slave Address (Write)
//ID_G_Mode
//Disable interrupt status to host
[16]
Quality Information
Test Item
Content of Test
High Temperature storage
Endurance test applying the high
storage temperature for a long time.
Endurance test applying the low storage
temperature for a long time.
Endurance test applying the electric stress
(voltage & current) and the high thermal
stress for a long time.
Endurance test applying the electric stress
(voltage & current) and the low thermal
stress for a long time.
Endurance test applying the electric stress
(voltage & current) and the high thermal
with high humidity stress for a long time.
Endurance test applying the electric stress
(voltage & current) during a cycle of low
and high thermal stress.
Endurance test applying vibration to
simulate transportation and use.
Low Temperature storage
High Temperature
Operation
Low Temperature
Operation
High Temperature /
Humidity Operation
Thermal Shock resistance
Vibration test
Static electricity test
Test Condition
Endurance test applying electric static
discharge.
Note 1: No condensation to be observed.
Note 2: Conducted after 4 hours of storage at 25⁰C, 0%RH.
Note 3: Test performed on product itself, not inside a container.
2
-30⁰C , 96hrs
1,2
+70⁰C , 96hrs
2
-20⁰C , 96hrs
1,2
+60⁰C , 90% RH , 96hrs
1,2
-20⁰C,30min -> 25⁰C,5min >70⁰C,30min = 1 cycle
10 cycles
10-55Hz , 15mm amplitude.
60 sec in each of 3 directions
X,Y,Z
For 15 minutes
VS=800V, RS=1.5kΩ, CS=100pF
One time
Precautions for using LCDs/LCMs
See Precautions at www.newhavendisplay.com/specs/precautions.pdf
Warranty Information
See Terms & Conditions at http://www.newhavendisplay.com/index.php?main_page=terms
[17]
Note
+80⁰C , 96hrs
3