ADSP-21160 EZ-KIT Lite Evaluation System Manual (Rev. 4.0)

ADSP-21160 EZ-KIT Lite®
Evaluation System Manual
Revision 4.0, January 2005
Part Number
82-000513-01
Analog Devices, Inc.
One Technology Way
Norwood, Mass. 02062-9106
a
Copyright Information
© 2005 Analog Devices, Inc., ALL RIGHTS RESERVED. This document may not be reproduced in any form without prior, express written
consent from Analog Devices, Inc.
Printed in the USA.
Limited Warranty
The EZ-KIT Lite evaluation system is warranted against defects in materials and workmanship for a period of one year from the date of purchase
from Analog Devices or from an authorized dealer.
Disclaimer
Analog Devices, Inc. reserves the right to change this product without
prior notice. Information furnished by Analog Devices is believed to be
accurate and reliable. However, no responsibility is assumed by Analog
Devices for its use; nor for any infringement of patents or other rights of
third parties which may result from its use. No license is granted by implication or otherwise under the patent rights of Analog Devices, Inc.
Trademark and Service Mark Notice
The Analog Devices logo, VisualDSP++, the VisualDSP++ logo, SHARC,
SHARC logo, CROSSCORE, the CROSSCORE logo, and EZ-KIT Lite
are registered trademarks of Analog Devices, Inc.
All other brand and product names are trademarks or service marks of
their respective owners.
Regulatory Compliance
The ADSP-21160 EZ-KIT Lite evaluation system has been certified to
comply with the essential requirements of the European EMC directive
89/336/EEC (inclusive 93/68/EEC) and, therefore, carries the “CE”
mark.
The ADSP-21160 EZ-KIT Lite evaluation system had been appended to
Analog Devices Development Tools Technical Construction File referenced “DSPTOOLS1” dated December 21, 1997 and was awarded CE
Certification by an appointed European Competent Body and is on file.
The EZ-KIT Lite evaluation system contains ESD
(electrostatic discharge) sensitive devices. Electrostatic charges readily accumulate on the human
body and equipment and can discharge without
detection. Permanent damage may occur on devices
subjected to high-energy discharges. Proper ESD
precautions are recommended to avoid performance
degradation or loss of functionality. Store unused
EZ-KIT Lite boards in the protective shipping
package.
CONTENTS
PREFACE
Purpose of This Manual ................................................................. xii
Intended Audience ........................................................................ xiii
Manual Contents ........................................................................... xiv
What’s New in This Manual ........................................................... xiv
Technical or Customer Support ....................................................... xv
Supported Processors ....................................................................... xv
Product Information ...................................................................... xvi
MyAnalog.com ......................................................................... xvi
Processor Product Information .................................................. xvi
Related Documents ................................................................. xvii
Online Technical Documentation ........................................... xviii
Accessing Documentation From VisualDSP++ ...................... xix
Accessing Documentation From Windows ............................ xix
Accessing Documentation From Web .................................... xx
Printed Manuals ........................................................................ xx
VisualDSP++ Documentation Set .......................................... xx
Hardware Tools Manuals ....................................................... xx
Processor Manuals ................................................................ xxi
ADSP-21160 EZ-KIT Lite Evaluation System Manual
v
CONTENTS
Data Sheets ......................................................................... xxi
Notation Conventions .................................................................. xxii
USING EZ-KIT LITE
Package Contents ......................................................................... 1-2
Default Configuration .................................................................. 1-3
Installation and Session Startup ..................................................... 1-5
Evaluation License Restrictions ..................................................... 1-6
Memory Map ............................................................................... 1-6
FLAG Pins ................................................................................... 1-8
Interrupt Pins ............................................................................... 1-8
Example Programs ........................................................................ 1-9
Flash Programmer Utility .............................................................. 1-9
VisualDSP++ Interface ................................................................ 1-10
Boot Load ............................................................................. 1-10
Target Options ...................................................................... 1-10
While Target is Halted and On Emulator Exit Options ...... 1-10
Other Options .................................................................. 1-12
Core Hang Conditions .......................................................... 1-12
Restricted Software Breakpoints ............................................. 1-13
EZ-KIT LITE HARDWARE REFERENCE
System Architecture ...................................................................... 2-2
External Port ........................................................................... 2-3
SPORT0 Audio Interface ........................................................ 2-3
vi
ADSP-21160 EZ-KIT Lite Evaluation System Manual
CONTENTS
Expansion Interface ................................................................. 2-4
JTAG Emulation Port .............................................................. 2-4
Jumper and DIP Switch ................................................................ 2-5
Audio Input Selection Jumper (JP1) ......................................... 2-6
Boot Mode Select Switch (SW1) .............................................. 2-6
LEDs and Push Buttons ................................................................ 2-7
Reset LEDs (LED1 and LED7) ................................................ 2-8
FLAG LEDs (LED2–4) ........................................................... 2-8
USB Monitor LED (LED5) ..................................................... 2-8
Power LED (LED6) ................................................................. 2-9
Board Reset Push Button (SW2) .............................................. 2-9
Interrupt Push Buttons (SW3–5) ............................................. 2-9
Connectors ................................................................................. 2-10
Expansion Connectors (P1–3) ................................................ 2-10
Power Connector (P4) ........................................................... 2-11
Link Port Connectors (P5–6) ................................................. 2-11
USB Connector (P7) ............................................................. 2-12
JTAG Connector (P8) ........................................................... 2-12
Audio Connectors (P9–10) .................................................... 2-13
SPORT0 Connector (P11) ..................................................... 2-13
Specifications .............................................................................. 2-14
Power Supply ........................................................................ 2-14
Board Current Measurements ................................................ 2-15
ADSP-21160 EZ-KIT Lite Evaluation System Manual
vii
CONTENTS
BILL OF MATERIALS
INDEX
viii
ADSP-21160 EZ-KIT Lite Evaluation System Manual
PREFACE
Thank you for purchasing the ADSP-21160 EZ-KIT Lite®, Analog
Devices, Inc. evaluation system for SHARC® digital signal processors
(DSPs).
The SHARC processors are based on a 32-bit super Harvard architecture
that includes a unique memory architecture comprised of two large
on-chip, dual-ported SRAM blocks coupled with a sophisticated IO processor, which gives a SHARC processor the bandwidth for sustained
high-speed computations. SHARC processors represent today’s de facto
standard for floating-point processor targeted for premium audio
applications.
The evaluation system is designed to be used in conjunction with the
VisualDSP++® development environment to test the capabilities of the
ADSP-21160 SHARC processors. The VisualDSP++ development environment gives you the ability to perform advanced application code
development and debug, such as:
• Create, compile, assemble, and link application programs written
in C++, C, and ADSP-21160 assembly
• Load, run, step, halt, and set breakpoints in application program
• Read and write data and program memory
• Read and write core and peripheral registers
• Plot memory
ADSP-21160 EZ-KIT Lite Evaluation System Manual
ix
Access to the ADSP-21160 processor from a personal computer (PC) is
achieved through a USB port or an optional JTAG emulator. The USB
interface provides unrestricted access to the ADSP-21160 processor and
the evaluation board peripherals. Analog Devices JTAG emulators offer
faster communication between the host PC and target hardware. Analog
Devices carries a wide range of in-circuit emulation products. To learn
more about Analog Devices emulators and processor development tools,
go to http://www.analog.com/dsp/tools/.
ADSP-21160 EZ-KIT Lite provides example programs to demonstrate the
capabilities of the evaluation board.
ADSP-21160 EZ-KIT Lite installation is part of the VisuL The
alDSP++ installation. The EZ-KIT Lite is a licensed product that
offers an unrestricted evaluation license for the first 90 days. Once
the initial unrestricted 90-day evaluation license expires:
• VisualDSP++ allows a connection to the ADSP-21160
EZ-KIT Lite via the USB Debug Agent interface only. Connections to simulators and emulation products are no longer
allowed.
• The linker restricts a users program to 20 KB of internal
memory for code space with no restrictions for data space.
The EZ-KIT Lite hardware must be connected and powered up to
use VisualDSP++ with a valid temporary or permanent license.
Refer to the VisualDSP++ Installation Quick Reference Card for
details.
x
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
The board features:
• Analog Devices ADSP-21160 processor
• ADSP-21160M processor:
2.5V core voltage
D 80 MHz core clock speed
• ADSP-21160N processor:
D
1.9V core voltage
D 95 MHz core clock speed
• Switch-Configurable Boot Mode
D
• Analog Devices AD1881A 48 kHz AC’97 SoundMAX® Codec
D jumper selectable Line-In or Mic-In 3.5 mm stereo jack
D Line-Out 3.5 mm stereo jack
• USB Debugging Interface
• SBSRAM
D
512 Kb (64K x 32-bits x 2-chips)
• Flash Memory
D
512 Kb (512K x 8-bits)
• Interface Connectors
D
D
D
D
14-Pin emulator connector for JTAG interface
SPORT0 connectors
2 link port connectors
expansion interface connectors (not populated)
• General-Purpose IO
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xi
Purpose of This Manual
D
D
3 push buttons connected to processor IRQs
3 LEDs connected to processor FLAGs
The EZ-KIT Lite board has two types of external memory: flash memory
and SBSRAM. The flash memory can store user-specified boot code. By
configuring the boot mode switch (SW1) and programming the flash memory, the board can run as a stand-alone unit. For information about the
external memory, see section “Memory Map” on page 1-6.
is interfaced to an audio codec, facilitating development of audio
signal processing applications. SPORT0 is connected also to an off-board
connector for communication with other serial devices. For information
about SPORT0, see section “SPORT0 Audio Interface” on page 2-3.
SPORT0
Additionally, the EZ-KIT Lite board provides access to most of the processor’s peripheral ports on populated expansion interface connectors. For
information about the expansion interface, see “External Port” on
page 2-3.
Purpose of This Manual
The ADSP-21160 EZ-KIT Lite Evaluation System Manual provides
instructions for installing the product hardware (board) and describes the
operation and configuration of the board components. The product software installation is detailed in the VisualDSP++ Installation Quick
Reference Card. The manual provides guidelines for running your own
code on the ADSP-21160 EZ-KIT Lite. Finally, a schematic and a bill of
materials are provided as a reference for future designs.
xii
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
Intended Audience
The primary audience for this manual is a programmer who is familiar
with Analog Devices processors. This manual assumes that the audience
has a working knowledge of the appropriate processor architecture and
instruction set. Programmers who are unfamiliar with Analog Devices
processors can use this manual but should supplement it with other texts
(such as the ADSP-21160 SHARC Processor Hardware Reference and
ADSP-21160 SHARC Processor Instruction Set Reference) that describe your
target architecture.
Programmers who are unfamiliar with VisualDSP++ should refer to the
VisualDSP++ online Help and user’s or getting started guides. For the
locations of these documents, see “Related Documents” on page -xvii.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xiii
Manual Contents
Manual Contents
The manual consists of:
• Chapter 1, “Using EZ-KIT Lite” on page 1-1
Provides information on the EZ-KIT Lite from a programmer’s
perspective and provides a simplified memory map.
• Chapter 2, “EZ-KIT Lite Hardware Reference” on page 2-1
Provides information on the hardware aspects of the evaluation
system.
• Appendix A, “Bill Of Materials” on page A-1
Provides a list of components used to manufacture the two modifications of the EZ-KIT Lite board: ADSP-21160M and
ADSP-21160N.
• Appendix B, “Schematics” on page B-1
Provides the resources to allow EZ-KIT Lite board-level debugging
or to use as a reference design.
appendix is not part of the online Help. The online Help
L This
viewers should go to the PDF version of the ADSP-21160 EZ-KIT
Lite Evaluation System Manual located in the Docs\EZ-KIT Lite
Manuals folder on the installation CD to see the schematics. Alternatively, the schematics can be found at the Analog Devices Web
site, www.analog.com/processors.
What’s New in This Manual
This edition of the ADSP-21160 EZ-KIT Lite Evaluation System Manual
includes the updated installation and license registration procedures.
xiv
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
Technical or Customer Support
You can reach processor Tools Support in the following ways.
• Visit the Embedded Processing and processor products Web site at
http://www.analog.com/processors/technicalSupport
• E-mail tools questions to
[email protected]
• E-mail processor questions to
[email protected]
• Phone questions to 1-800-ANALOGD
• Contact your Analog Devices, Inc. local sales office or authorized
distributor
• Send questions by mail to:
Analog Devices, Inc.
One Technology Way
P.O. Box 9106
Norwood, MA 02062-9106
USA
Supported Processors
This EZ-KIT Lite evaluation system supports the Analog Devices
ADSP-21160 SHARC processors.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xv
Product Information
Product Information
You can obtain product information from the Analog Devices Web site,
from the product CD-ROM, or from the printed publications (manuals).
Analog Devices is online at www.analog.com. Our Web site provides information about a broad range of products—analog integrated circuits,
amplifiers, converters, and digital signal processors.
MyAnalog.com
MyAnalog.com is a free feature of the Analog Devices website that allows
customization of a webpage to display only the latest information on
products you are interested in. You can also choose to receive weekly email
notification containing updates to the webpages that meet your interests.
MyAnalog.com provides access to books, application notes, data sheets,
code examples, and more.
Registration:
Visit www.myanalog.com to sign up. Click Register to use MyAnalog.com.
Registration takes about five minutes and serves as means for you to select
the information you want to receive.
If you are already a registered user, just log on. Your user name is your
email address.
Processor Product Information
For information on embedded processors and processors, visit our Web
site at www.analog.com/processors, which provides access to technical
publications, data sheets, application notes, product overviews, and product announcements.
xvi
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
You may also obtain additional information about Analog Devices and its
products in any of the following ways.
• E-mail questions or requests for information to
[email protected]
• Fax questions or requests for information to
1-781-461-3010 (North America)
+49 (89) 76 903-557 (Europe)
• Access the FTP Web site at
ftp ftp.analog.com or ftp
137.71.23.21
ftp://ftp.analog.com
Related Documents
For information on product related development software, see the following publications.
Table 1. Related Processor Publications
Title
Description
ADSP-21160M SHARC DSP Data Sheet
ADSP-21160N DSP Microcomputer Data Sheet
General functional description, pinout, and
timing
ADSP-21160 SHARC Processor Hardware Refer- Description of internal processor architecture,
ence
registers, and all peripheral functions
ADSP-21160 SHARC Processor Instruction Set
Reference
Description of all allowed processor assembly
instructions
Table 2. Related VisualDSP++ Publications
Title
Description
VisualDSP++ User’s Guide
Description of VisualDSP++ features and usage
VisualDSP++ Assembler and Preprocessor Manual
Description of the assembler function and
commands
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xvii
Product Information
Table 2. Related VisualDSP++ Publications (Cont’d)
Title
Description
VisualDSP++ C/C++ Complier and Library
Manual for SHARC Processors
Description of the complier function and commands for SHARC processors
VisualDSP++ Linker and Utilities Manual
Description of the linker function and commands
VisualDSP++ Loader Manual
Description of the loader function and commands
you plan to use the EZ-KIT Lite board in conjunction with a
L IfJTAG
emulator, also refer to the documentation that accompanies
the emulator.
All documentation is available online. Most documentation is available in
printed form.
Visit the Technical Library Web site to access all processor and tools manuals and data sheets:
http://www.analog.com/processors/resources/technicalLibrary
Online Technical Documentation
Online documentation comprises the VisualDSP++ Help system, software
tools manuals, hardware tools manuals, processor manuals, the Dinkum
Abridged C++ library, and Flexible License Manager (FlexLM) network
license manager software documentation. You can easily search across the
entire VisualDSP++ documentation set for any topic of interest. For easy
printing, supplementary .PDF files of most manuals are provided in the
Docs folder on the VisualDSP++ installation CD.
Each documentation file type is described as follows.
xviii
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
File
Description
.CHM
Help system files and manuals in Help format
.HTM or
.HTML
Dinkum Abridged C++ library and FlexLM network license manager software documentation. Viewing and printing the .HTML files requires a browser, such as
Internet Explorer 4.0 (or higher).
.PDF
VisualDSP++ and processor manuals in Portable Documentation Format (PDF).
Viewing and printing the .PDF files requires a PDF reader, such as Adobe Acrobat
Reader (4.0 or higher).
If documentation is not installed on your system as part of the software
installation, you can add it from the VisualDSP++ CD at any time by running the Tools installation. Access the online documentation from the
VisualDSP++ environment, Windows® Explorer, or the Analog Devices
Web site.
Accessing Documentation From VisualDSP++
To view VisualDSP++ Help, click on the Help menu item or go to the
Windows task bar and navigate to the VisualDSP++ documentation via
the Start menu.
To view ADSP-21160 EZ-KIT Lite Help, which is part of the VisualDSP++ Help system, use the Contents or Search tab of the Help
window.
Accessing Documentation From Windows
In addition to any shortcuts you may have constructed, there are many
ways to open VisualDSP++ online Help or the supplementary documentation from Windows.
Help system files (.CHM) are located in the Help folder, and .PDF files are
located in the Docs folder of your VisualDSP++ installation CD-ROM.
The Docs folder also contains the Dinkum Abridged C++ library and the
FlexLM network license manager software documentation.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xix
Product Information
Your software installation kit includes online Help as part of the Windows® interface. These help files provide information about
VisualDSP++ and the ADSP-21160 EZ-KIT Lite evaluation system.
Accessing Documentation From Web
Download manuals at the following Web site:
http://www.analog.com/processors/resources/technicalLibrary/manuals.
Select a processor family and book title. Download archive (.ZIP) files, one
for each manual. Use any archive management software, such as WinZip,
to decompress downloaded files.
Printed Manuals
For general questions regarding literature ordering, call the Literature
Center at 1-800-ANALOGD (1-800-262-5643) and follow the prompts.
VisualDSP++ Documentation Set
To purchase VisualDSP++ manuals, call 1-603-883-2430. The manuals
may be purchased only as a kit.
If you do not have an account with Analog Devices, you are referred to
Analog Devices distributors. For information on our distributors, log onto
http://www.analog.com/salesdir/continent.asp.
Hardware Tools Manuals
To purchase EZ-KIT Lite and In-Circuit Emulator (ICE) manuals, call
1-603-883-2430. The manuals may be ordered by title or by product
number located on the back cover of each manual.
xx
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
Processor Manuals
Hardware reference and instruction set reference manuals may be ordered
through the Literature Center at 1-800-ANALOGD (1-800-262-5643),
or downloaded from the Analog Devices Web site. Manuals may be
ordered by title or by product number located on the back cover of each
manual.
Data Sheets
All data sheets (preliminary and production) may be downloaded from the
Analog Devices Web site. Only production (final) data sheets (Rev. 0, A,
B, C, and so on) can be obtained from the Literature Center at
1-800-ANALOGD (1-800-262-5643); they also can be downloaded from
the Web site.
To have a data sheet faxed to you, call the Analog Devices Faxback System
at 1-800-446-6212. Follow the prompts and a list of data sheet code
numbers will be faxed to you. If the data sheet you want is not listed,
check for it on the Web site.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xxi
Notation Conventions
Notation Conventions
Text conventions used in this manual are identified and described as
follows.
xxii
Example
Description
Close command
(File menu)
Titles in reference sections indicate the location of an item within the
VisualDSP++ environment’s menu system (for example, the Close
command appears on the File menu).
{this | that}
Alternative required items in syntax descriptions appear within curly
brackets and separated by vertical bars; read the example as this or
that. One or the other is required.
[this | that]
Optional items in syntax descriptions appear within brackets and separated by vertical bars; read the example as an optional this or that.
[this,…]
Optional item lists in syntax descriptions appear within brackets
delimited by commas and terminated with an ellipse; read the example
as an optional comma-separated list of this.
.SECTION
Commands, directives, keywords, and feature names are in text with
letter gothic font.
filename
Non-keyword placeholders appear in text with italic style format.
L
Note: For correct operation, ...
A Note provides supplementary information on a related topic. In the
online version of this book, the word Note appears instead of this
symbol.
a
Caution: Incorrect device operation may result if ...
Caution: Device damage may result if ...
A Caution identifies conditions or inappropriate usage of the product
that could lead to undesirable results or product damage. In the online
version of this book, the word Caution appears instead of this symbol.
[
Warning: Injury to device users may result if ...
A Warning identifies conditions or inappropriate usage of the product
that could lead to conditions that are potentially hazardous for the
devices users. In the online version of this book, the word Warning
appears instead of this symbol.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Preface
conventions, which apply only to specific chapters, may
L Additional
appear throughout this document.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
xxiii
Notation Conventions
xxiv
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1 USING EZ-KIT LITE
This chapter provides specific information to assist you with development
of programs for the ADSP-21160 EZ-KIT Lite evaluation system.
The information appears in the following sections.
• “Package Contents” on page 1-2
Lists the items contained in the EZ-KIT Lite package.
• “Default Configuration” on page 1-3
Shows the default configuration of the ADSP-21160 EZ-KIT Lite.
• “Installation and Session Startup” on page 1-5
Instructs how to start a new or open an existing EZ-KIT Lite session using VisualDSP++.
• “Evaluation License Restrictions” on page 1-6
Describes the restrictions of the VisualDSP++ license shipped with
the EZ-KIT Lite.
• “Memory Map” on page 1-6
Defines the EZ-KIT Lite’s memory map.
• “FLAG Pins” on page 1-8
Describes the board’s FLAG pins.
• “Interrupt Pins” on page 1-8
Describes the board’s interrupt pins.
• “Example Programs” on page 1-9
Provides information about example programs included in the
ADSP-21160 EZ-KIT Lite.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-1
Package Contents
• “Flash Programmer Utility” on page 1-9
Provides information on the Flash Programmer utility included
with the EZ-KIT Lite software.
• “VisualDSP++ Interface” on page 1-10
Describes the boot loading, target options, and other facilities of
the EZ-KIT Lite system.
For detailed information on how to program the ADSP-21160 SHARC
processor, refer to the documents referenced in “Related Documents” on
page -xvii.
Package Contents
Your ADSP-21160 EZ-KIT Lite evaluation system package contains the
following items.
• ADSP-21160M or ADSP-21160N EZ-KIT Lite board
• VisualDSP++ Installation Quick Reference Card
• CD containing:
D
VisualDSP++ software
D
ADSP-21160 EZ-KIT Lite debug software
D
USB driver files
D
Example programs
D
ADSP-21160 EZ-KIT Lite Evaluation System Manual (this
document)
• Universal 7.5V DC power supply
1-2
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
• USB 2.0 cable
• Registration card (please fill out and return)
If any item is missing, contact the vendor where you purchased your
EZ-KIT Lite or contact Analog Devices, Inc.
Default Configuration
The EZ-KIT Lite evaluation system contains ESD
(electrostatic discharge) sensitive devices. Electrostatic charges readily accumulate on the human
body and equipment and can discharge without
detection. Permanent damage may occur on devices
subjected to high-energy discharges. Proper ESD
precautions are recommended to avoid performance
degradation or loss of functionality. Store unused
EZ-KIT Lite boards in the protective shipping
package.
The ADSP-21160 EZ-KIT Lite board is designed to run outside your personal computer as a stand-alone unit. You do not have to open your
computer case.
When removing the EZ-KIT Lite board from the package, handle the
board carefully to avoid the discharge of static electricity, which may damage some components. Figure 1-1 shows the default jumper settings, DIP
switch, connector locations, and LEDs used in installation. Confirm that
your board is set up in the default configuration before using the board.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-3
Default Configuration
Figure 1-1. EZ-KIT Lite Hardware Setup
1-4
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
Installation and Session Startup
correct operation, install the software and hardware in the
L For
order presented in the VisualDSP++ Installation Quick Reference
Card.
1. Verify that the yellow USB monitor LED (LED5, located near the
USB connector) is lit. This signifies that the board is communicating properly with the host PC and is ready to run VisualDSP++.
2. From the Start menu, navigate to the VisualDSP++ environment
via the Programs menu.
If you are running VisualDSP++ for the first time, the New Session
dialog box appears on the screen (skip the rest of the procedure and
go to step 3).
If you have run VisualDSP++ previously, the last opened session
appears on the screen.
To switch to another session, via the Session List dialog box, hold
down the Ctrl key while starting VisualDSP++ (go to step 5).
3. In Debug target, select EZ-KIT Lite (ADSP-21xxx).
In Platform, select ADSP-21xxx EZ-KIT Lite.
In Processor, choose the appropriate processor, ADSP-21160.
In Session name, type a new name or accept the default.
4. Click OK to return to the Session List.
5. Highlight the session and click Activate.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-5
Evaluation License Restrictions
Evaluation License Restrictions
The ADSP-21160 EZ-KIT Lite installation is part of the VisualDSP++
installation. The EZ-KIT Lite is a licensed product that offers an unrestricted evaluation license for the first 90 days. Once the initial
unrestricted 90-day evaluation license expires:
• VisualDSP++ allows a connection to the ADSP-21160 EZ-KIT
Lite via the USB Debug Agent interface only. Connections to simulators and emulation products are no longer allowed.
• The linker restricts a users program to 21K words of internal memory for code space with no restrictions for data space.
EZ-KIT Lite hardware must be connected and powered up to
L The
use VisualDSP++ with a valid temporary or permanent license.
Refer to the VisualDSP++ Installation Quick Reference Card for details.
Memory Map
The ADSP-21160 processors includes internal SRAM for instruction storage or data storage. The configuration of internal SRAM is detailed in the
ADSP-21160 SHARC Processor Hardware Reference.
The External Port (EP) of the ADSP-21160 processor connects to the
flash memory and SBSRAM. ADSP-21160 EZ-KIT Lite board contains
512 Kb x 8-bits of external flash memory. The flash memory connects to
the processors’s ~MS0 and ~BMS memory select pins.
SBSRAM is 512 Kb (64K x 32-bit x 2-chips). The SBSRAM memory connects to the ~MS1 memory select pin. This memory is flow-through
SBSRAM, capable of burst reads and writes. For information on how to
set up burst moves, refer to the ADSP-21160 SHARC Processor Hardware
Reference.
1-6
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
The memory map in Figure 1-1 is dependant on the value of the MSIZE
bits in the SYSCON register. The memory maps shows MSIZE set to 1100b.
Table 1-1. EZ-KIT Lite Evaluation Board Memory Map
Start Address
End Address
Content
0x0000 0000
0x0000 FFFF
IOP Registers
0x0002 0000
0x0003 FFFF
Long Word Addressing
0x0004 0000
0x0007 FFFF
Normal Word Addressing
0x0008 0000
0x000F FFFF
Short Word Addressing
0x0010 0000
0x001F FFFF
ID = 001 Internal Memory
0x0020 0000
0x002F FFFF
ID = 010 Internal Memory
Multipro- 0x0030 0000
cessor Space
0x003F FFFF
ID = 011 Internal Memory
0x0040 0000
0x004F FFFF
ID = 100 Internal Memory
0x0050 0000
0x005F FFFF
ID = 101 Internal Memory
0x0060 0000
0x006F FFFF
ID = 110 Internal Memory
0x0070 0000
0x007F FFFF
ID = 111 Internal Memory
0x0080 0000
0x0087 FFFF
MSO and BMS (flash memory1)
0x0280 0000
0x0281 FFFF
MS1 (SBRAM)
Internal
Memory
External
Memory
All other locations
1
Not Used
When viewing external memory with VisualDSP++, ensure that MSIZE is set to 0xC.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-7
FLAG Pins
FLAG Pins
The ADSP-21160 processor holds four general-purpose FLAG IO pins.
The FLAG pins can be used as inputs or output depending on how they
are configured in the MODE2 system register. The state of a FLAG can be
written to and read from the FLAGS system register. When the FLAG pins
are input, their current state can be found by reading the FLAGS system
register. FLAG pins set as outputs are driven to the value written to the
FLAGS system register.
The location of the signals can be found in Appendix B, “Schematics”.
The FLAG pins are summarized in Table 1-2. For more information on
FLAGs, refer to the ADSP-21160 SHARC Processor Hardware Reference
Table 1-2. FLAG Pin Summary
FLAG1 Pin
Connects To
Description
FLAG0
LED3
FLAG2–0
FLAG1
LED2
FLAG2
LED1
FLAG3
AD1881A Reset
1
FLAG0–3
connect to the LEDs. These can be
used, for example, to light a LED when a routine completes.
connects directly to the reset pin of the
AD1881A audio codec. To reset the AD1881A,
drive this signal low.
FLAG3
are available on connector P2.
Interrupt Pins
The ADSP-21160 holds three interrupt request (~IRQ) pins that let you
interact with the running program. The ~IRQ pins can be used only as
inputs. To use these pins, you must enable the specific IRQ interrupt, as
well as enable global interrupts. You also need to write a special interrupt
service routine to handle the interrupts when they occur.
1-8
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
The location of the signals can be found in Appendix B, “Schematics”.
Interrupt pins are summarized in Table 1-3. For more information on
configuring the ~IRQ pins, see the ADSP-21160 SHARC Processor Hardware Reference.
Table 1-3. Interrupt Pin Summary
Interrupt1
Connects To
Description
IRQ0
SW3
IRQ1
SW4
IRQ2
SW5
IRQ0–2 connect to the push buttons and supply
feedback for program execution. For instance, you
can write your code to trigger a FLAG when a
routine is complete.
1
IRQ0–2
are available on connector P2.
Example Programs
Example programs are provided with the ADSP-21160 EZ-KIT Lite to
demonstrate various capabilities of the evaluation board. These programs
are installed with the EZ-KIT Lite software and can be found in the
\…\211xx\EZ-KITs\ADSP-21160\Examples subdirectory of the VisualDSP++ installation directory. Please refer to the readme file provided
with each example for more information.
Flash Programmer Utility
The ADSP-21160 EZ-KIT Lite evaluation system includes a Flash Programmer utility. The utility allows you to program the flash memory on
the EZ-KIT Lite. The Flash Programmer is installed with VisualDSP++.
Once the utility is installed, it is accessible from the Tools pull-down
menu.
For more information on the Flash Programmer utility, go to online Help.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-9
VisualDSP++ Interface
VisualDSP++ Interface
This section provides information about the following parts of the VisualDSP++ graphical user interface:
• “Boot Load” on page 1-10
• “Target Options” on page 1-10
• “Core Hang Conditions” on page 1-12
• “Restricted Software Breakpoints” on page 1-13
Boot Load
Choosing Boot Load from the Settings menu runs the processor and performs a hard reset on the board. This command saves you from having to
shut down VisualDSP++, reset the EZ-KIT Lite board, and bring up VisualDSP++ again when you want to perform a hard reset.
Use this feature when loading debug boot code from an external part or
when you want to put the device into a known state.
Target Options
Choosing Target Options from the Settings menu opens the Target
Options dialog box (Figure 1-2). Use target options to control certain
aspects of the processor on the ADSP-21160 EZ-KIT Lite evaluation
system.
While Target is Halted and On Emulator Exit Options
This target option controls the processor’s behavior when VisualDSP++
relinquishes processor control (for example, when exiting VisualDSP++).
The options are detailed in Table 1-4 and Table 1-5.
1-10
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
Figure 1-2. Target Options Dialog Box
Table 1-4. While Target is Halted Options
Option
Description
Stop I/O DMA Stops IO DMAs in emulator space. This option disables DMA requests when
the emulator has control of the processor. Data in the EP, LINK, or SPORT
DMA buffers are held there unless the internal DMA request was already
granted. This option holds off incoming data and ceases outgoing data. Because
SPORT-receive data cannot be held off, it is lost, and the overrun bit is set. The
direct write buffer (internal memory write) and the EP pad buffer are allowed
to flush any remaining data to internal memory.
Table 1-5. On Emulator Exit Options
Option
Description
On Emulator
Exit
Determines the state the processor is left in when the emulator relinquishes control of the processor:
Reset DSP and Run causes the processor to reset and begin execution from its
reset vector location.
Run from current PC causes the processor to begin running from its current
location.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-11
VisualDSP++ Interface
Other Options
Table 1-6 describes other available target options.
Table 1-6. Other Target Options
Option
Description
Verify all writes to target
memory
Validates all memory writes to the processor. After each write, a read
is performed and the values are checked for a matching condition.
Enable this option during initial program development to locate
and fix initial build problems (such as attempting to load data into
non-existent memory).
Clear this option to increase performance while loading executable
files since VisualDSP++ does not perform the extra reads that are
required to verify each write.
Reset cycle counters on
run
Resets the cycle count registers to zero before a Run command is
issued. Select this option to count the number of cycles executed
between breakpoints in a program.
Auto configure external
memory
Enables the automatic configuration of the SDRAM registers (done
through the debugger).
Core Hang Conditions
Certain peripheral devices, such as host ports, DMA, and link ports, can
hold off the execution of processor instructions. This is known as a hung
condition and commonly occurs when reading from an empty port or
writing to a full port. If an attempt to halt the processor is made during
one of these conditions, the EZ-KIT Lite may encounter a core hang.
Normally, a core hang can be cleared by the board using a special
clear/abort bit. However, there are cases in which it is desirable or possible
not to clear the core hang. Sometimes it is desirable to wait for the core
hang to clear itself, such as when waiting for a host processor to read or
write data. In other cases, it is not possible to clear the core hang, and a
processor reset must occur to continue the debugging session.
Table 1-7 describes the EZ-KIT Lite’s core hang operations.
1-12
ADSP-21160 EZ-KIT Lite Evaluation System Manual
Using EZ-KIT Lite
Table 1-7. Core Hang Operations
Option
Description
Abort
Abort the hung operation. This causes the offending instruction to be
aborted in the pipeline.
Retry
Allows you to remedy the hung operation. For example, if a host processor is holding off the processor, you can cause the host to clear the hung
condition.
Ignore
Performs a software reset on the target board.
Clear
Aborts the hung operation. This causes the offending instruction to be
aborted in the pipeline.
Acknowledge
Allows you to remedy the hung operation. For example, if a host processor is holding off the processor, you can cause the host to clear the hung
condition.
Reset
Performs a software reset on the target board.
Restricted Software Breakpoints
The EZ-KIT Lite development system restricts breakpoint placement
when certain conditions are met. That is, under some conditions, breakpoints cannot be placed effectively. Such conditions depend on bus
architecture, pipeline depth, and ordering of the EZ-KIT Lite and its target processor.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1-13
VisualDSP++ Interface
1-14
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2 EZ-KIT LITE HARDWARE
REFERENCE
This chapter describes the hardware design of the ADSP-21160 EZ-KIT
Lite board. The following topics are covered.
• “System Architecture” on page 2-2
Describes the configuration of the ADSP-21160 EZ-KIT Lite
board and explains how the board components interface with the
processor.
• “Jumper and DIP Switch” on page 2-5
Shows the location and describes the function of the on-board
jumper and DIP switch.
• “LEDs and Push Buttons” on page 2-7
Shows the location and describes the function of the LEDs and
push buttons.
• “Connectors” on page 2-10
Shows the location and gives the part number for the on-board
connectors. Also, the manufacturer and part number information is
given for the mating parts.
• “Specifications” on page 2-14
Provides the board’s measurements and power supply
specifications.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-1
System Architecture
System Architecture
This section describes the processor’s configuration on the EZ-KIT Lite
board.
Figure 2-1. System Architecture Block Diagram
The ADSP-21160N processor’s core voltage is 1.9V, and ADSP-21160M
processor’s core voltage is 2.5V. The voltage of the processors’ peripheral
interface is 3.3V.
The core frequency of the processor is configured by multiplying the
external oscillator by 2x. If there is a ADSP-21160M processor on the
board, the external oscillator is 40 MHz. If there is a ADSP-21160N
processor on the board, the external oscillator is 47.5 MHz.
2-2
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
The EZ-KIT Lite board can be configured to boot in all of the possible
ADSP-21160 processor boot modes. The default boot mode is from the
external 8-bit Flash memory. For information about configuring the boot
mode, see “Boot Mode Select Switch (SW1)” on page 2-6.
External Port
The External Port (EP) of the processor connects to a 512 Kb (64K x
32-bits x 2-chips) SBSRAM. The SBSRAM connects to the memory select
pin (~MS1), providing a 64-bit memory interface.
The EP also connects to a 512 Kb (512K x 8-bits) flash memory. The
flash memory connects to both the ~BMS and ~MS0 memory select pins. The
connection allows the processor to boot from the flash memory using ~BMS
and program it using ~MS0.
All of the address, data, and control signals are available externally via the
expansion connectors (P1–3). The pinout of these connectors can be found
in Appendix B, “Schematics”.
SPORT0 Audio Interface
connects to the AD1881A SoundMAX codec (U13). Two 3.5 mm
stereo jacks (P9, P10) allow audio to be input and output. You can supply
an audio input to the codec microphone input channel (MIC1) or to the
stereo input channel (LINE_IN). The jumper settings of JP1 determine the
codec channel driven by the input jack (P9). For information about configuring JP1, see “Audio Input Selection Jumper (JP1)” on page 2-6.
SPORT0
SPORT0 is also routed to an off-board connector (P11). When using the
off-board connector, the codec must be held in reset, so it does not drive
any of the SPORT0 signals. The codec can be held in reset by driving FLAG3
“low” (0). The processor must drive FLAG3 “high” (1) to start the codec.
L The
TCLK0
and RCLK0 pins are shorted together using R19 and R20.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-3
System Architecture
Expansion Interface
The expansion interface consists of three unpopulated connectors.
Table 2-1 shows the interfaces each connector provides. For the exact
pinout of these connectors, refer to Appendix B, “Schematics”. Analog
Devices does not populate these connectors or provide any additional support for this interface. The mechanical dimensions of the connectors can
be found in “Board Current Measurements” on page 2-15.
Table 2-1. Expansion Interface Connectors
Connector
Interfaces
P1
5V, GND, Address[31–0], Data[47–0]
P2
3.3V, GND, FLAG[3–0], SPORT1, ~IRQ[2–0], TIMEXP
P3
GND, Reset, LINKPORT2, memory control signals, D[63-–8]
Limits to the current and to the interface speed must be taken into consideration when using the expansion interface. The maximum current limit is
dependent on the capabilities of the regulator. Additional circuitry can
also add extra loading to signals, decreasing their maximum effective
speed.
Devices does not support and is not responsible for the
[ Analog
effects of additional circuitry.
JTAG Emulation Port
The JTAG emulation port allows an emulator to access the processor’s
internal and external memory, as well as the special function registers,
through a 14-pin interface. When an emulator connects to the board at
P8, the USB debugging interface is disabled.
2-4
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
For a detailed description of the interface’s connectors, see EE-68 published on the Analog Devices website. For more information, see “JTAG
Connector (P8)” on page 2-12. For more information about available
emulators, contact Analog Devices (see “Product Information”).
Jumper and DIP Switch
This section describes the function of the jumper and DIP switch.
Figure 2-2 shows the jumper and switch locations.
Figure 2-2. Jumper and Switch Locations
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-5
Jumper and DIP Switch
Audio Input Selection Jumper (JP1)
The audio input jack (P9) can connect to the MIC1 or LINE_IN input channel of the AD1881A codec (U13). When the JP1 jumper connects pins 1
and 3 and pins 2 and 4, P3 connects to the mono MIC1 channel. When the
jumper connects pins 3 and 5 and pins 4 and 6, P9 connects to the stereo
LINE_IN channel of the AD1881A codec. These jumper settings are illustrated in Table 2-2. (The labels MIC and LINE appear on the board as a
reference).
Table 2-2. Audio Input Jumper Settings (JP1)
6
5
2
1
6
5
2
1
JP1
LINE
MIC
JP1
Mono MIC1
LINE
MIC
Stereo LINE_IN (Default)
Boot Mode Select Switch (SW1)
The boot mode select switch (SW1) determines how the ADSP-21160 processor boots. Table 2-3 shows the switch settings for the boot modes.
Table 2-3. Boot Mode Select Jumper (SW1) Settings
2-6
~BMS
Pin 1
LBOOT
Pins 2
EBOOT
Pins 3
Processor Boot Mode
Off (output1)
On
Off
Boot from 8-bit Flash memory
Off (input)
On
On
Boot from Host
Off (input)
Off
On
Booting from Link Port
On (input)
On
On
No Boot (execute from external memory)
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
Table 2-3. Boot Mode Select Jumper (SW1) Settings (Cont’d)
~BMS
Pin 1
LBOOT
Pins 2
EBOOT
Pins 3
Processor Boot Mode
On (input)
Off
On
Reserved
X (input)
Off
Off
Reserved
1
Default mode
LEDs and Push Buttons
This section describes the functionality of the LEDs and push buttons.
Figure 2-3 shows the locations of the LEDs and push buttons.
Figure 2-3. LED and Push Button Locations
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-7
LEDs and Push Buttons
Reset LEDs (LED1 and LED7)
When LED1 is lit, the master reset of all the major ICs is active.
When LED7 is lit, the USB interface chip (U11) is being reset. The USB
interface resets on power-up or when USB communication has not been
initialized.
FLAG LEDs (LED2–4)
The FLAG LEDs connect to the processor’s FLAG pins (FLAG0–2). The
LEDs are active HIGH and are lit by an output of “1” from the processor.
Refer to “LEDs and Push Buttons” on page 2-7 for more information on
how to program the processor using FLAGs. Table 2-4 shows the FLAG
signals and the corresponding LEDs.
Table 2-4. FLAG LEDs
FLAG Pin
LED Reference Designator
FLAG0
LED2
FLAG1
LED3
FLAG2
LED4
USB Monitor LED (LED5)
The USB monitor LED (LED5) indicates that USB communication has
been initialized successfully, and you may connect to the processor using a
VisualDSP++ EZ-KIT Lite session. If the LED does not light in approximately 15 second after the USB cable connects the board, try cycling
power on the board and/or reinstalling the USB driver (see the VisualDSP++ Installation Quick Reference Card).
When VisualDSP++ is actively communicating with the EZ-KIT Lite target board, the LED can flicker, indicating communications handshake.
2-8
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
Power LED (LED6)
When LED6 is lit (green), it indicates that power is being properly supplied
to the board.
Board Reset Push Button (SW2)
The RESET push button (SW2) resets all of the ICs on the board. This
reset does not affect the USB interface chip (U11) unless communication
has not been initialized with a PC. After USB communication has been
initialized, the only way to reset the USB is by powering down the board.
Interrupt Push Buttons (SW3–5)
Three push buttons connect to the three processor ~IRQ pins. The pins are
always input and, when asserted (0) and when interrupts are enabled, the
processor goes to the corresponding interrupt vector. Refer to “Interrupt
Pins” on page 1-8 for more information about the use of the IRQs when
programming the processor. The push button reference designators and
corresponding interrupt signals are summarized in Table 2-5.
Table 2-5. Interrupt Switches
Interrupt Signal
Push Button Reference Designator
IRQ0
SW3
IRQ1
SW4
IRQ2
SW5
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-9
Connectors
Connectors
This section describes the connector functionality and provides information about mating connectors. Figure 2-4 shows the connector locations.
Figure 2-4. Connector Locations
Expansion Connectors (P1–3)
Three board-to-board connectors provide signals for most of the processor’s peripheral interfaces. Analog Devices does not populate the
expansion connectors or provide any additional support for the interface.
See “Expansion Interface” on page 2-4 for more information on the
expansion interface. Contact Samtec for the availability and pricing of the
connectors. For the exact pinout of the connectors, refer to Appendix B,
“Schematics”.
2-10
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
Part Description
Manufacturer
Part Number
90-Position 0.05” Spacing (P1, P2, P3)
Samtec
SFM-145-01-S-D
Mating Connector
90-Position 0.05” Spacing (Through Hole)
Samtec
TFM-145-x1 Series
90 Position 0.05” Spacing (Surface Mount)
Samtec
TFM-145-x2 Series
90-Position 0.05” Spacing (Low Cost)
Samtec
TFC-145 Series
Power Connector (P4)
The power connector (P4) provides all of the power necessary to operate
the EZ-KIT Lite board.
Part Description
Manufacturer
Part Number
2.5 mm Power Jack (P4)
SWITCHCRAFT
RAPC712
Digi-Key
SC1152-ND
Mating Power Supply (shipped with EZ-KIT Lite)
7.5V Power Supply
GlobTek
TR9CC2000LCP-Y
Link Port Connectors (P5–6)
Each link port links to a 26-pin connector. Refer to EE-106 found on the
ADI website at http://www.analog.com for more information about the
link port connectors.
Part Description
Manufacturer
Part Number
26-position connector (P5, P6)
Honda
RMCA-26JL-AD
Cable Assembly (30 cm)
Analog Devices
ADDS-LPCAB-30
Cable connector
Honda
RMCA-E26F1S-A
Mating Connector
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-11
Connectors
Part Description
Manufacturer
Part Number
Shroud
Honda
RMCA-E26L1A
Coaxial cable
Gore
DXN2132
USB Connector (P7)
The USB connector (P7) is a standard Type B USB receptacle. The USB
connector is used to debug the processor. The connectors does not link to
the processor’s USB interface.
Part Description
Manufacturer
Part Number
Type B USB receptacle
Mill-Max
897-30-004-90-000
Digi-Key
ED90003-ND
Mating Connector (provided with the EZ-KIT Lite)
USB cable
Assmann
AK672-5
Digi-Key
AK672-5ND
JTAG Connector (P8)
The JTAG header (P8) is the connecting point for a JTAG in-circuit emulator pod. When an emulator is connected to the JTAG header, the USB
debug interface is disabled.
Pin 3 is missing to provide keying. Pin 3 in the mating connector should
have a plug.
using an emulator with the EZ-KIT Lite board, follow the
L When
connection instructions provided with the emulator.
Part Description
Manufacturer
Part Number
14-pin IDC Header (P8)
Berg
54102-T08-07
2-12
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
Audio Connectors (P9–10)
There are two 3.5 mm stereo audio jacks: one input and one output.
Part Description
Manufacturer
Part Number
3.5 mm stereo jack (P9 and P10)
Shogyo
SJ-0359AM-5
Mating Connectors
3.5 mm stereo plug to 3.5 mm stereo
cable
Radio Shack
42-2387A
SPORT0 Connector (P11)
links to a 20-pin connector. The pinout for this connector can be
found in Appendix B, “Schematics”.
SPORT0
Part Description
Manufacturer
Part Number
20-position AMPMODU system 50
receptacle (P11)
AMP
104069-1
Mating Connector
20-position AMPMODU system 20
connector
AMP
2-487937-0
20-position AMPMODU system 20
connector (w/o lock)
AMP
2-487938-0
Flexible film contacts (20 per connector)
AMP
487547-1
Mating Assembly
Straight-through assembly with lock- Gopher Electronics
ing connector on each end
ADSP-21160 EZ-KIT Lite Evaluation System Manual
DRFFC10X7RHU-RHU5
2-13
Specifications
Specifications
This section provides the requirements for the power supply as well as the
mechanical dimensions of the board.
Power Supply
The power connector supplies DC power to the EZ-KIT Lite board.
Table 2-6 shows the power supply specifications.
Table 2-6. Power Supply Specifications
Terminal
Connection
Center pin
+7.5V@2 amps
Outer Ring
GND
2-14
ADSP-21160 EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite Hardware Reference
Board Current Measurements
Figure 2-5 shows the location of the mounting holes as well as pin 1 of
each of the expansion connectors.
5.000
4.750
3.875
P1
3.750
2.500
P2
1.400
1.300
1.125
P3
0.250
0.000
0.00.2 0.6
00 50 25
3.2 3.6
50 00
6.2 6.3 6.77.0
00 75 5000
Figure 2-5. Mechanical Drawing
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2-15
Specifications
2-16
ADSP-21160 EZ-KIT Lite Evaluation System Manual
A BILL OF MATERIALS
The two bills of materials are for the 2.5V and 1.9V versions of the
EZ-KIT Lite evaluation system, featuring the ADSP-21160M and
ADDS-21160N processor, respectively:
• “ADSP-21160M EZ-KIT Lite” on page A-2
• “ADSP-21160N EZ-KIT Lite” on page A-9
The bill of materials corresponds to the board schematics on page B-1.
Please check the latest schematics on the Analog Devices website,
http://www.analog.com/Processors/Processors/DevelopmentTools/tec
hnicalLibrary/manuals/DevToolsIndex.html#Evaluation%20Kit%20Manuals.
ADSP-21160 EZ-KIT Lite Evaluation System Manual
A-1
A-2
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1
1
1
1
1
1
1
1
5
6
7
8
9
10
11
12
1
3
1
2
2
4
M29W040 PLCC32
FLASH-512K-X-8-3V
1
1
SN74LV164A SOIC14
8-BIT-PARALLEL-SERIAL
SN74AHC1G02 SOT23-5
SINGLE-2 INPUT-NOR
AD8532AR SOIC8
DUAL AMP 250MA
CY7C1019BV33-15VC SOJ32
128K X 8 SRAM
24LC00-SN SOIC8
128 BIT SERIAL EEPROM
74LVC00AD SOIC14
MMBT4401 SOT-23
NPN TRANSISTOR 200MA
CY7C64603-128 PQFP128
USB-TX/RX MICROCONTROLLER
24.576MHZ SMT OSC005
CRYSTAL
IDT74FCT3244APY SSOP20
3.3V-OCTAL-BUFFER
74LVC14A SOIC14
HEX-INVER-SCHMITT-TRIGGER
Description
Ref. #
U17
U16
U10
U12
U25
U5
Q1
U11
Y1
U6
U7, U19
U3
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite
TI
TI
ANALOG
DEVICES
CYPRESS
MICROCHIP
PHILIPS
FAIRCHILD
CYPRESS
EPSON
IDT
TI
ST MICRO
Manufacturer
SN74LV164AD
SN74AHC1G02DBVR
AD8532AR
CY7C1019BV33-12VC
24LC00-SN
74LVC00AD
MMBT4401
CY7C64603-128NC
MA505 24.576M-C2
IDT74FCT3244APY
74LVC14AD
M29W040B120K6
Part Number
CY7C4201V-15AC TQFP32
64-BYTE-FIFO
1
1
1
2
1
1
2
1
2
1
1
13
14
15
16
17
18
19
20
21
ADSP-21160 EZ-KIT Lite Evaluation System Manual
22
23
AD1881AJST LQFP48
SOUNDMAX-CODEC
ADSP-21160MKB-80X
0.1uF 50V 10% 1206
CERM
2200pF 50V 5% 1206
NPO
1000pF 50V 5% 1206
CERM
40MHZ SMT OSC003
LT1765 SO-8
ADJUSTABLE-3A-SWITCH-REG
MT58L64L32 TQFP100_B
64KX32-SBSRAM
SN74AHC1G00 SOT23-5
SINGLE-2-INPUT-NAND
12.0MHZ THR OSC006
CRYSTAL
Description
Ref. #
U13
U1
C9–10
C23
C40, C42
U2
VR3
U8–9
U26
Y3
U18
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
ANALOG
DEVICES
ANALOG
DEVICES
PHILIPS
AVX
DIGIKEY
LINEAR
TECH
MICRON
TI
DIG01
CYPRESS
Manufacturer
AD1881AJST
ADSP-21160MKB-80
12062R104K9BB2
12065A102JAT2A
SG-8002CA-PCC-ND40
.0MHZ
LT1765ES8
MT58L64L32FT-10
SN74AHC1G00DBVR
300-6027-ND
CY7C4201V-15AC
Part Number
Bill Of Materials
A-3
A-4
ADSP-21160 EZ-KIT Lite Evaluation System Manual
ADM708SAR SOIC8
VOLTAGE-SUPERVISOR
1
1
1
24
25
26
1
2
1
4
1
10 0.00 1/8W 5% 1206
2
29
30
31
32
33
34
35
220uF 10V 20% E
ELEC
DIP3 SWT015
SPST-MOMENTARY SWT013
6MM
.05 10X2 CON014
RA
LNKPRT 12X2 CON010
USB 4PIN CON009
USB
PWR 2.5MM_JACK CON005
RA
1
28
RUBBER FEET BLACK
5
27
ADP3088 MSOP8
500MA-BUCK-REGULATOR
ADP3339AKC-5 SOT-223
5V-1.5A REGULATOR
Description
Ref. #
DIGI-KEY
PANASONIC
AMP
HONDA(TSU
SHINK)
MILL-MAX
SWITCHCRAFT
MOUSER
ANALOG
DEVICES
ANALOG
DEVICES
ANALOG
DEVICES
Manufacturer
CT2–3
SPRAGUE
R6–7, R17–20, R28, R68–70 YAGEO
SW1
SW2–5
P11
P5–6
P7
P4
MH1–5
VR1
VR5
U4
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
293D227X9010E2T
0.0ECT-ND
CKN3055-ND
EVQ-PAD04M
104069-1
RMCA-EA26LMY-0M03
-A
897-30-004-90-000000
SC1152-ND12
517-SJ-5018BK
ADP3088ARM-REEL
ADP3339AKC-5-REEL
ADM708SAR
Part Number
AMBER-SMT LED001
GULL-WING
4
2
40 0.01uF 100V 10% 805
CERM
1
25 0.1uF 50V 10% 805
CERM
2
24 10K 100MW 5% 805
36
37
38
39
40
41
ADSP-21160 EZ-KIT Lite Evaluation System Manual
42
10uF 16V 10% C
TANT
0.22uF 25V 10% 805
CERM
22pF 50V 5% 805
CERM
Description
Ref. #
SPRAGUE
AVX
AVX
AVX
AVX
PANASONIC
Manufacturer
R1, R5, R37,
AVX
R44–45, R47–54, R57, R59–
61,
R65–67, R72, R74, R76, R84
CT7–8
C24, C26, C34, C45, C51–
52,
C55–57, C59–60, C63,
C66–69,
C71–73, C88, C90, C92–93,
C95, C98
C3
C25, C30–32, C38–39, C44,
C53–54, C58, C61–62,
C64–65, C70, C74–75,
C77–78, C82–87,
C89, C91, C94,
C96–97, C99–100, C103–
109, C116
C5–6
LED2–5
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
CR21-103J-T
293D106X9025C2T
08055C104KAT
08053C224FAT
08051C103KAT2A
08055A220JAT
LN1461C-TR
Part Number
Bill Of Materials
A-5
A-6
ADSP-21160 EZ-KIT Lite Evaluation System Manual
3
4
2
8
3
1
4
8
1
2
49
50
51
52
53
54
55
56
57
1
46
48
1
45
1
5
44
47
33 100MW 5% 805
3
43
270PF 50V 10% 805
0.047UF 16V 10% 1206
600 100MHZ 500MA 1206
0.70 BEAD
2A S2A_RECT DO-214AA
SILICON RECTIFIER
1000 100MHZ 1.5A FER002
0.06 CHOKE
100 100MW 5% 805
22K 100MW 5% 805
1A HSM160J DO-214AA
SCHOTTKY
10uF 16V 10% B
TANT
2.21K 1/8W 1% 1206
10.5K 1/8W 1% 1206
1.5K 100MW 5% 805
1M 100MW 5% 805
4.7K 100MW 5% 805
Description
Ref. #
C12, C19
C11
FER1–8
D1–2, D4–5
FER9
R64, R71, R75
R16, R24, R27,
R85–87, R90–91
D6–7
CT1, CT9–10, CT21
R29, R35, R40
R81
R43
R41
R55–56, R58, R62, R92
R2–3, R46
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
KEMET
AVX
DIGIKEY
GENERALSEMI
MURATA
AVX
AVX
MICRO-SEMI
AVX
AVX
BECKMAN
AVX
AVX
AVX
AVX
Manufacturer
C1206C271J5GAC210
12065C473JATME
240-1019-1-ND
S2A
PLM250S40T1
CR21-101J-T
CR21-223J-T
HSM160J
TAJB106K016R
CR32-2211F-T
BCR1/81052FT
CR21-1501F-T
CR21-1004F-T
CR21-4701F-T
CR21-330JTR
Part Number
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2
1
6
1
2
1
7
1
1
1
4
1
1
2
60
61
62
63
64
65
66
67
68
69
70
71
72
73
6
5
59
74
1UF 16V 10% 805
X7R
9
58
270 1/8W 5% 1206
22 1/8W 5% 1206
20.0K 1/8W 1% 1206
100K 1/8W 5% 1206
10K 1/8W 5% 1206
1K 1/8W 5% 1206
32.4K 1/10W 1% 805
11.3K 1/10W 1% 805
0.00 100MW 5% 805
10K 31MW 5% RNET8
10UH 47+/-20 IND001
53.6K 1/10W 1% 805
10UF 25V +80-20% 1210
Y5V
10 100MW 5% 805
30PF 100V 5% 1206
470PF 100V 10% 1206
CERM
Description
Ref. #
R30–32, R34, R63, R73
R36, R39
R79
R88
R13–15, R21
R38
R77
R82
R4, R8–12, R89
RN1
L1–2
R78
C22, C33, C46–49
R83
C17–18
C13–16, C20
C1–2, C4, C7, C27–28, C37,
C41, C43
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
AVX
DALE
AVX
PHILIPS
PHILIPS
PAN
CTS
TDK
PHILIPS
MURATA
DALE
AVX
AVX
MURATA
Manufacturer
CR32-271J-T
CR1206-1003FTR1
CRCW1206-1002FRT1
CR32-102J-T
9C08052A3242FKRT/R
9C08052A1132FKRT/R
ERJ-6GE10R00V
746X101103J
SLF7045T-100M1R1-2
9C08052A5362FKRT/R
GRM235Y.5V106Z025
CRCW0805-10R0FRT1
12061A300JAT2A
12061A471JAT2A
GRM40X7R105K016AL
Part Number
Bill Of Materials
A-7
A-8
ADSP-21160 EZ-KIT Lite Evaluation System Manual
4.7K 1/8W 5% 1206
4
1
2
1
4
2
1
1
2
1
2
75
76
77
78
79
80
81
82
83
84
85
3.5MM STEREO_JACK CON001
2.5A RESETABLE FUS001
IDC 2PIN_JUMPER
0.1
IDC 7X2 IDC7X2
IDC 3X2 IDC3X2
QS3257Q QSOP16
QUICKSWITCH-257
1uF 25V 20% A
TANT -55+125
GREEN-SMT LED001
GULL-WING
RED-SMT LED001
GULL-WING
680 1/8W 5% 1206
Description
Ref. #
P9–10
F1
SJ1–2
P8
JP1
U14–15
CT4–6, CT11
LED6
LED1, LED7
R33
R22–23, R25–26
Reference Designator
Table A-1. ADSP-21160M EZ-KIT Lite (Cont’d)
RAYCHEM
CORP.
MOLEX
BERG
BERG
ANALOG
DEVICES
PANASONIC
PANASONIC
PANASONIC
AVX
AVX
Manufacturer
SMD250-2
15-38-1024
54102-T08-07
54102-T08-03
ADG774ABRQ
ECS-T1EY105R
LN1361C
LN1261C
CR32-681J-T
CR32-472J-T
Part Number
M29W040 PLCC32
FLASH-512K-X-8-3V
1
2
1
1
1
1
1
1
1
1
1
1
2
3
4
5
6
7
8
9
ADSP-21160 EZ-KIT Lite Evaluation System Manual
10
11
SN74AHC1G02 SOT23-5
SINGLE-2 INPUT-NOR
AD8532AR SOIC8
DUAL AMP 250MA
CY7C1019BV33-15VC SOJ32
128K X 8 SRAM
24LC00-SN SOIC8
128 BIT SERIAL EEPROM
74LVC00AD SOIC14
MMBT4401 SOT-23
NPN TRANSISTOR 200MA
CY7C64603-128 PQFP128
USB-TX/RX MICROCONTROLLER
24.576MHZ SMT OSC005
CRYSTAL
IDT74FCT3244APY SSOP20
3.3V-OCTAL-BUFFER
74LVC14A SOIC14
HEX-INVER-SCHMITT-TRIGGER
Description
Ref. #
U16
U10
U12
U25
U5
Q1
U11
Y1
U6
U7, U19
U3
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite
TI
ANALOG
DEVICES
CYPRESS
MICROCHIP
PHILIPS
FAIRCHILD
CYPRESS
EPSON
IDT
TI
ST MICRO
Manufacturer
SN74AHC1G02DBVR
AD8532AR
CY7C1019BV33-12VC
24LC00-SN
74LVC00AD
MMBT4401
CY7C64603-128NC
MA505 24.576M-C2
IDT74FCT3244APY
74LVC14AD
M29W040B120K6
Part Number
Bill Of Materials
A-9
A-10
ADSP-21160 EZ-KIT Lite Evaluation System Manual
2
1
2
2
1
1
1
16
17
18
19
20
21
22
1
14
1
1
13
15
SN74LV164A SOIC14
8-BIT-PARALLEL-SERIAL
1
12
ADP3339AKC-5 SOT-223
5V-1.5A REGULATOR
ADM708SAR SOIC8
VOLTAGE-SUPERVISOR
AD1881AJST LQFP48
SOUNDMAX-CODEC
0.1uF 50V 10% 1206
CERM
1000pF 50V 5% 1206
CERM
47.5MHZ SMT OSC003
MT58L64L32 TQFP100_B
64KX32-SBSRAM
SN74AHC1G00 SOT23-5
SINGLE-2-INPUT-NAND
12.0MHZ THR OSC006
CRYSTAL
CY7C4201V-15AC TQFP32
64-BYTE-FIFO
Description
Ref. #
VR5
U4
U13
C9–10
C40, C42
U2
U8–9
U26
Y3
U18
U17
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
ANALOG
DEVICES
ANALOG
DEVICES
ANALOG
DEVICES
PHILIPS
AVX
DIGIKEY
MICRON
TI
DIG01
CYPRESS
TI
Manufacturer
ADP3339AKC-5-REEL
ADM708SAR
AD1881AJST
12062R104K9BB2
12065A102JAT2A
SG-8002CA-PCC-ND47.5MH
Z
MT58L64L32FT-10
SN74AHC1G00DBVR
300-6027-ND
CY7C4201V-15AC
SN74LV164AD
Part Number
ADP3088 MSOP8
500MA-BUCK-REGULATOR
2
1
5
1
1
2
1
4
1
1
0
2
4
23
24
25
26
27
28
29
30
31
32
ADSP-21160 EZ-KIT Lite Evaluation System Manual
33
34
AMBER-SMT LED001
GULL-WING
220uF 10V 20% E
ELEC
0.00 1/8W 5% 1206
DIP3 SWT015
SPST-MOMENTARY SWT013
6MM
.05 10X2 CON014
RA
LNKPRT 12X2 CON010
USB 4PIN CON009
USB
PWR 2.5MM_JACK CON005
RA
RUBBER FEET BLACK
ADSP-21160N PBGA400
4MBIT-1.9V
Description
Ref. #
LED2–5
CT2–3
R6–7,
R17–20, R28, R68–
70
SW1
SW2–5
P11
P5–6
P7
P4
MH1–5
U1
VR1, VR2
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
PANASONIC
SPRAGUE
YAGEO
DIGI-KEY
PANASONIC
AMP
HONDA
(TSUSHINK)
MILL-MAX
SWITCHCRAFT
MOUSER
ANALOG
DEVICES
ANALOG
DEVICES
Manufacturer
LN1461C-TR
293D227X9010E2T
0.0ECT-ND
CKN3055-ND
EVQ-PAD04M
104069-1
RMCA-EA26LMY-0M03-A
897-30-004-90-000000
SC1152-ND12
517-SJ-5018BK
ADSP-21160NKB-95
ADP3088ARM-REEL
Part Number
Bill Of Materials
A-11
A-12
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1
2
5
2
2
4
38
39
40
4
0
36
37
22pF 50V 5% 805
CERM
2
35
10K 100MW 5% 805
10uF 16V 10% C
TANT
0.1uF 50V 10% 805
CERM
0.22uF 25V 10% 805
CERM
0.01uF 100V 10% 805
CERM
Description
Ref. #
R1, R5, R37,
R44–45, R47–54,
R57, R59–61,
R65–67, R72, R74,
R76, R84
CT7–8
C24, C26, C34, C45,
C51–52, C55–57,
C59–60, C63,
C66–69, C71–73,
C88, C90, C92–93,
C95, C98
C3
C25, C30–32,
C38–39, C44,
C53–54, C58,
C61–62, C64–65,
C70, C74–75,
C77–78, C82–87,
C89, C91, C94,
C96–97, C99–100,
C103–109, C116
C5–6
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
SPRAGUE
AVX
AVX
AVX
AVX
Manufacturer
293D106X9025C2T
08055C104KAT
08053C224FAT
08051C103KAT2A
08055A220JAT
Part Number
33 100MW 5% 805
3
5
1
1
3
4
2
8
3
1
3
8
1
41
42
43
44
45
46
47
48
49
50
51
ADSP-21160 EZ-KIT Lite Evaluation System Manual
52
53
0.047UF 16V 10% 1206
600 100MHZ 500MA 1206
0.70 BEAD
2A S2A_RECT DO-214AA
SILICON RECTIFIER
1000 100MHZ 1.5A FER002
0.06 CHOKE
100 100MW 5% 805
22K 100MW 5% 805
1A HSM160J DO-214AA
SCHOTTKY
10uF 16V 10% B
TANT
2.21K 1/8W 1% 1206
1.5K 100MW 5% 805
1M 100MW 5% 805
4.7K 100MW 5% 805
Description
Ref. #
C11
FER1–8
D1, D4–5
FER9
R64, R71, R75
R16, R24, R27,
R85–87, R90–91
D6–7
CT1, CT9–10, CT21
R29, R35, R40
R43
R41
R55–56, R58, R62,
R92
R2–3, R46
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
AVX
DIGIKEY
GENERALSEMI
MURATA
AVX
AVX
MICRO-SEMI
AVX
AVX
AVX
AVX
AVX
AVX
Manufacturer
12065C473JATME
240-1019-1-ND
S2A
PLM250S40T1
CR21-101J-T
CR21-223J-T
HSM160J
TAJB106K016R
CR32-2211F-T
CR21-1501F-T
CR21-1004F-T
CR21–4701F-T
CR21-330JTR
Part Number
Bill Of Materials
A-13
A-14
ADSP-21160 EZ-KIT Lite Evaluation System Manual
1
6
2
2
1
7
1
1
1
4
1
1
58
59
60
61
62
63
64
65
66
67
68
69
6
56
2
9
55
57
270PF 50V 10% 805
2
54
20.0K 1/8W 1% 1206
100K 1/8W 5% 1206
10K 1/8W 5% 1206
1K 1/8W 5% 1206
102K 1/8W 1% 1206
32.4K 1/10W 1% 805
0.00 100MW 5% 805
10K 31MW 5% RNET8
10UH 47+/-20 IND001
53.6K 1/10W 1% 805
10UF 25V +80-20% 1210
Y5V
10 100MW 5% 805
30PF 100V 5% 1206
470PF 100V 10% 1206
CERM
1UF 16V 10% 805
X7R
Description
Ref. #
R79
R88
R13–15, R21
R38
R81
R77
R4, R8–12, R89
RN1
L1–2
R78, R82
C22, C33,
C46–49
R83
C17–18
C13–16, C20, C23
C1–2, C4, C7, C27–
28, C37, C41, C43
C12, C19
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
DALE
AVX
PHILIPS
PHILIPS
PAN
CTS
TDK
PHILIPS
MURATA
DALE
AVX
AVX
MURATA
KEMET
Manufacturer
CR1206-1003FTR1
CRCW1206-1002FRT1
CR32-102J-T
9C12063A1023FKRT/R
9C08052A3242FKRT/R
ERJ-6GE10R00V
746X101103J
SLF7045T-100M1R1-2
9C08052A5362FKRT/R
GRM235Y.5V106Z025
CRCW0805–10R0FRT1
12061A300JAT2A
12061A471JAT2A
GRM40X7R105K016AL
C1206C271J5GAC210
Part Number
22 1/8W 5% 1206
2
6
4
1
2
1
4
2
1
1
2
1
2
70
71
72
73
74
75
76
77
78
79
ADSP-21160 EZ-KIT Lite Evaluation System Manual
80
81
82
3.5MM STEREO_JACK CON001
2.5A RESETABLE FUS001
IDC 2PIN_JUMPER
0.1
IDC 7X2 IDC7X2
IDC 3X2 IDC3X2
QS3257Q QSOP16
QUICKSWITCH-257
1uF 25V 20% A
TANT -55+125
GREEN-SMT LED001
GULL-WING
RED-SMT LED001
GULL-WING
680 1/8W 5% 1206
4.7K 1/8W 5% 1206
270 1/8W 5% 1206
Description
Ref. #
P9–10
F1
SJ1–2
P8
JP1
U14–15
CT4–6, CT11
LED6
LED1, LED7
R33
R22–23,
R25–26,
R30–32, R34, R63,
R73
R36, R39
Reference Designator
Table A-2. ADSP-21160N EZ-KIT Lite (Cont’d)
RAYCHEM
CORP.
MOLEX
BERG
BERG
ANALOG
DEVICES
PANASONIC
PANASONIC
PANASONIC
AVX
AVX
AVX
Manufacturer
SMD250-2
15-38-1024
54102-T08-07
54102-T08-03
ADG774ABRQ
ECS-T1EY105R
LN1361C
LN1261C
CR32-681J-T
CR32-472J-T
CR32-271J-T
Part Number
Bill Of Materials
A-15
A-16
ADSP-21160 EZ-KIT Lite Evaluation System Manual
A
B
C
D
1
1
2
2
ADSP-21160 EZ-KIT Lite
3
3
Part Number
Core Voltage
Core Clock
U1
U2
Approvals
Date
Title
2.5V
1.9V
80MHz
95MHz
ADSP-21160M
ADSP-21160N
40MHz
47.5MHz
VR3
POPULATE
DNP
D2
POPULATE
DNP
VR2
DNP
POPULATE
R82
11.3K
53.6K
R81
10.5K
102K
C23
2.2nF
470PF
ANALOG
DEVICES
4
Component Population Differences
ADDS-21160-EZ-LITE
ADDS-21160N-EZ-LITE
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - TITLE
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
1
of
8
A
B
C
D
AVDD_CORE
U1
U1
1
TCLK0
DT0
TFS0
RCLK0
L3AGND
L0DAT0C20
L0DAT0
M1AVDD
L0DAT1D19
L0DAT1
L0DAT2B20
L0DAT2
B18TCLK0
L0DAT3D18
L0DAT3
A19DT0
L0DAT4A20
L0DAT4
D15TFSO
L0DAT5B19
L0DAT5
A18RCLK0
L0DAT6C18
L0DAT6
C16DR0
DR0
B17RFS0
RFS0
L0DAT7C17
A[0:31]
L0DAT[0:7]
L0ACK
L0CLKD17
L0CLK
DT1
B15DT1
L1DAT0F19
TFS1
A16TFS1
L1DAT1E20
RCLK1
DR1
RFS1
B16RCLK1
L1DAT2G17
C15DR1
L1DAT3F18
A17RFS1
L1DAT4F17
L1DAT5E18
FLAG0
FLAG[0:3]
B12FLAG0
L1DAT6E17
FLAG1
A12FLAG1
L1DAT7D16
FLAG2
C11FLAG2
L1ACKE19
B11FLAG3
L1CLKD20
FLAG3
IRQ[0:2]
IRQ0
A11IRQ0
L2DAT0J18
L2DAT0
IRQ1
C10IRQ1
L2DAT1H20
L2DAT1
B10IRQ2
L2DAT2J17
L2DAT2
L2DAT3H19
L2DAT3
L2DAT4G19
L2DAT4
L2DAT5H17
L2DAT5
L2DAT6G18
L2DAT6
L2DAT7F20
L2DAT7
IRQ2
2
A13TIMEXP
TIMEXP
L2DAT[0:7]
TCK
B8TCK
TDI
A7TDI
L2ACKH18
L2ACK
TRST
A8TRST
L2CLKG20
L2CLK
EMU
B9EMU
TMS
C8TMS
L3DAT0R20
TDO
C9TDO
L3DAT1P18
L3DAT2P17
L3DAT3P19
BR[1:6]
BR1
L18BR1
L3DAT4N19
BR2
L17BR2
L3DAT5N17
BR3
K20BR3
L3DAT6N18
BR4
K19BR4
L3DAT7M20
BR5
K18BR5
L3ACKP20
BR6
K17BR6
L3CLKN20
A10RPBA
RPBA
3
CLK_CFG_[0:3]
L4DAT0
L4DAT1U19
L4DAT1
L4DAT2U20
L4DAT2
K1CLK_CFG_0
L4DAT3T17
L4DAT3
CLK_CFG_1
L2CLK_CFG_1
L4DAT4T20
L4DAT4
CLK_CFG_2
L4CLK_CFG_2
L4DAT5R17
L4DAT5
CLK_CFG_3
M2CLK_CFG_3
L4DAT6R18
L4DAT6
L4DAT7R19
L4DAT7
L4CLKT19
V11A1
D1C7
D1
A2
U4A2
D2A6
D2
A3
U3A3
D3B6
D3
A4
V1A4
D4A5
D4
A5
W1A5
D5C6
D5
A6
V2A6
D6B5
D6
EBOOT
A7
V3A7
D7C5
D7
LBOOT
A8
Y1A8
D8A4
D8
A9
W2A9
D9B4
D9
LBOOT
W17LBOOT
L5DAT1W18
W12BMS
L5DAT2V17
BMS
L5DAT3W19
ID[0:2]
ID0
V10ID0
L5DAT4V19
ID1
W10ID1
L5DAT5W20
Y11ID2
L5DAT6V20
ID2
A9RESET
RESET
4
BMS
D1
2A
DO-214AA
6
COM2
R4
Y2A11
D11C4
D11
A12
W3A12
D12D5
D12
A13
Y3A13
D13A2
D13
A14
V5A14
D14A1
D14
A15
W4A15
D15B3
D15
A16
Y4A16
D16B2
D16
A17
W5A17
D17C3
D17
A18
V6A18
D18C2
D18
A19
Y5A19
D19D4
D19
A20
W6A20
D20D3
D20
A21
Y6A21
D21E4
D21
A22
V7A22
D22B1
D22
A23
W7A23
D23E3
D23
A24
Y7A24
D24C1
D24
A25
V8A25
D25D2
D25
A26
W8A26
D26F4
D26
A27
Y8A27
D27F3
D27
A28
V9A28
D28D1
D28
A29
W9A29
D29E2
D29
A30
Y9A30
D30E1
D30
DMAR2
A31
Y10A31
D31G4
D31
CLK_CFG_[0:3]
D32G3
D32
ID0
CLK_CFG_1
D33F2
D33
ID1
CLK_CFG_2
ID2
CLK_CFG_3
D34F1
D34
HBR
J20HBR
D35G2
D35
L20REDY
D36H4
D36
V13CS
D37H3
D37
D38G1
D38
D39H2
D39
RDH
W15RDH
D40H1
D40
RDL
V14RDL
D41J4
D41
D42J3
D42
WRH
Y15WRH
D43J2
D43
WRL
Y16WRL
D44J1
D44
D45K3
D45
7
CS
8
SBTS
9
RPBA
R5
R6
R7
R8
10K
RNET8
3V
U1
R1
10K
805
U2
Core
Voltage
Core
Clock
ADSP-21160M
40MHz
2.5V
80MHz
ADSP-21160N
47.5MHz
1.9V
95MHz
1OE
3V
2
R2
33
805
U2
J19HBG
HBR
R6
0.00
1206
10
R3
A11
OUT3
CLKIN
R84
10K
805
47.5MHZ
OSC003
ID[0:2]
R4
0.00
805
R8
0.00
805
R5
10K
805
CLK_CFG_0
R9
0.00
805
R10
0.00
805
R11
0.00
805
R12
0.00
805
3
Core Clock = 2x Input Clock
PA
M19PA
D46K2
D46
CIF
W14CIF
D47K4
D47
SBTS
M18SBTS
D48N3
D48
D49P1
D49
ACK
L19ACK
D50P2
D50
PAGE
M17PAGE
D51N4
D51
BRST
Y12BRST
D52P3
D52
D53R1
D53
DMAG1
Y17DMAG1
D54R2
D54
DMAR1
Y18DMAR1
D55P4
D55
D56T1
D56
DMAG2
W16DMAG2
D57R3
D57
DMAR2
V15DMAR2
D58T2
D58
D59T3
D59
MS0
Y13MS0
D60R4
D60
MS1
V12MS1
D61U1
D61
MS2
W13MS2
D62U2
D62
MS3
Y14MS3
D63T4
D63
ID = 0 (single processor)
SW1
1
BMS
LBOOT
2
EBOOT
3
6
SW1 SETTINGS: BOOT MODE SELECTION
EBOOT
LBOOT
~BMS
5
*
4
SWT015
DIP3
OFF
ON
ON
ON
ON
OFF
* = DEFAULT
ON
ON
OFF
ON
OFF
OFF
ANALOG
DEVICES
ADSP-21160N
PBGA400
ADSP-21160N
PBGA400
4
DMAR1
R2
D10
L4CLK
L5CLKY20
3
COM1
D10A3
L4ACK
L5ACKV18
2
5
R1
V4A10
L4DAT[0:7]
L5DAT7U17
RN1
1
1.9V
HBG
MS[0:3]
3V
R7
0.00
1206
3
L5DAT0V16
VDD_INT
1
2
Y19EBOOT
VDD_EXT
A10
CLKOUT
EBOOT
3V
ON
M3CLKOUT
A1
1
R3
33
805
L4ACKT18
D0
CS
L4DAT0U18
L1CLKIN
D0B7
REDY
CLK_CFG_0
CLKIN
W11A0
L0DAT7
L0ACKC19
C14TCLK1
TCLK1
D[0:63]
A0
Approvals
Date
Title
OFF (OUTPUT)
OFF (INPUT)
OFF (INPUT)
ON (INPUT)
ON (INPUT)
X (INPUT)
BOOT MODE
FLASH (BMS OUTPUT TO FLASH CE)
HOST PROCESSOR
LINK PORT
NO BOOT
RESERVED
RESERVED
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - DSP
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
2
of
8
A
B
C
D
SBSRAM
4Mb (64K x 32-BIT x 2-CHIPS)
1
1
D[0:63]
A[1:16]
3V
3V
U9
FLASH
512K X 8-BIT
U3
A[18:0]
A0
2
BMS
1
MS0
2
U5
4
3
12A0
D013
D32
A1
11A1
D114
D33
A2
10A2
D215
D34
A3
9A3
D317
D35
A4
8
D418
D36
A5
7
D519
D37
A6
6
D620
D38
A7
5
D721
D39
A8
27
A4
A5
A6
A7
6
74LVC00AD RDH
SOIC14
WRH
37
A2
36
A3
35
A4
34
A5
33
A6
32
A7
100
A8
99
A9
82
A10
81
A11
44
A12
45
A13
46
A14
47
A15
48
A16
49
A0
DQ1
A1
DQ2
A2
DQ3
A3
DQ4
A4
DQ5
A5
DQ6
A6
DQ7
A7
DQ8
A8
DQ9
A9
A10
A11
A12
A13
A14
A15
A9
26
A10
23
85ADSC
A11
25
84ADSP
A12
4
A13
28
31MODE
A14
29
64ZZ
A15
3
A9
A10
A11
83ADV
A12
A13
A14
A15
A16
2
93BW1
A17
30
94BW2
A18
1
95BW3
A16
A17
A18
96BW4
22CE
87BWE
24OE
31WE
M29W040
PLCC32RS
3
WRL
88GW
RDL
86OE
52
D0
A1
37
53
D1
A2
36
56
D2
A3
35
57
D3
A4
34
58
D4
A5
33
59
D5
A6
32
62
D6
A7
100
63
D7
A8
99
68
D8
A9
82
A10
81
D10
A11
44
D11
A12
45
D12
A13
46
D13
A14
47
A15
48
A16
49
69
DQ10
72
DQ11
73
DQ12
74
DQ13
75
DQ14
78
DQ15
79
DQ16
2
DQ17
3
DQ18
6
DQ19
7
DQ20
8
DQ21
9
DQ22
12
DQ23
13
DQ24
18
DQ25
19
DQ26
22
DQ27
23
DQ28
24
DQ29
25
DQ30
28
DQ31
29
DQ32
A8
U5
5
74LVC00AD
SOIC14
D[32:39]
A1
U8
D9
D14
D15
52
DQ1
53
DQ2
56
DQ3
57
DQ4
58
DQ5
59
DQ6
62
DQ7
63
DQ8
68
DQ9
69
DQ10
72
DQ11
73
DQ12
74
DQ13
75
DQ14
78
DQ15
79
DQ16
2
DQ17
3
DQ18
6
DQ19
7
DQ20
8
DQ21
9
DQ22
12
DQ23
13
DQ24
18
DQ25
19
DQ26
22
DQ27
23
DQ28
24
DQ29
25
DQ30
28
DQ31
29
DQ32
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
D16
D17
85ADSC
D18
84ADSP
D19
83ADV
D20
31MODE
D21
64ZZ
D22
D23
93BW1
D24
94BW2
D25
95BW3
D26
96BW4
D27
87BWE
D28
D29
WRH
88GW
D30
RDH
86OE
D31
98CE
98CE
97CE2
97CE2
92CE2
92CE2
89CLK
89CLK
MT58L64L32
TQFP100_B
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
2
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63
3
MT58L64L32
TQFP100_B
BRST
MS1
CLKIN
ANALOG
DEVICES
4
Approvals
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - MEMORY
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
3
of
8
A
B
C
D
SJ2
SHORTING
JUMPER
DEFAULT=4 & 6
CT1
10UF
B
SJ1
SHORTING
JUMPER
DEFAULT=3 & 5
C9
0.1UF
1206
POPULATE TO COMPLY WITH PC99
R28
0.00
1206
1
Analog Signals
C12
270PF
805
1&3
2&4
MIC IN
3&5
4&6
LINE IN
* Denotes Default Setting
25AVDD1
VDD11
38AVDD2
VDD29
26AVSS1
XTL_OUT3
27VREF
28VREFOUT
C2
1UF
805
C1
1UF
805
C15
470PF
1206
30AFILT2
LINE IN/MIC
1
3
C7
1UF
805
TP3
JP1
AGND
3
2
4
4
6
AGND
C10
0.1UF
1206
AGND
FER4
600
1206
1
2
CON001
C4
1UF
805
AGND
MODE48
34CX3D
EAPD/CHAIN_IN47
PC_BEEP12
PHONE_IN13
24LINE_IN_R
36LINE_OUT_R
R22
4.7K
1206
35LINE_OUT_L
37MONO_OUT
TP1
TP2
AGND
R18
0.00
1206
FLAG3
33RX3D
23LINE_IN_L
C14
470PF
1206
C5
22PF
805
R19
0.00
1206
RFS0
CS045
21MIC1
R25
4.7K
1206
C6
22PF
805
RCLK0
22MIC2
5
R20
0.00
1206
TCLK0
CS146
31FILT_R
C11
0.047UF
1206
DT0
RESET11
5
2
DR0
SDATA_OUT5
SYNC10
32FILT_L
P9
SDATA_IN8
BIT_CLK6
29AFILT1
R23
4.7K
1206
Y1
24.576MHZ
OSC005
XTAL_IN2
C19
270PF
805
R26
4.7K
1206
1
VSS27
42AVSS2
AGND
FER3
600
1206
Digital Signals
VSS14
C8
0.01UF
1206
DNP
INPUT SOURCE
3V
U13
C3
0.22UF
805
ALT_VAL=1K
JP1 SETTINGS
SJ1
SJ2
*
AUDIO CODEC
AD1881A
A5V
R14
10K
1206
AUX_L14
R13
10K
1206
R21
10K
1206
2
R15
10K
1206
AUX_R15
VIDEO_L16
VIDEO_R17
CD_L18
41LNLVL_OUT_R
CD_R20
39LNLVL_OUT_L
CD_GND_REF19
AGND
AD1881AJST
LQFP48
R29
2.21K
1206
C36
DNP
805
A5V
R91
22K
805
5V
3
FER2
600
1206
LINE OUT
P10
CT2
220UF
E
2
U10
AD8532AR
SOIC8
R90
22K
805
B
6
7
3
5
4
C37
1UF
805
R87
22K
805
R17
0.00
1206
1
R27
22K
805
A5V
CON001
FER6
600
1206
CT3
220UF
E
C43
1UF
805
R86
22K
805
AGND
AGND
U10
8
AD8532AR
SOIC8
AGND
3
1
2
4
C16
470PF
1206
R85
22K
805
C41
1UF
805
AGND
A
ANALOG
DEVICES
AGND
AGND
4
R16
22K
805
Approvals
C35
DNP
805
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - AUDIO CODEC
Drawn
Checked
Engineering
A
3
FER1
600
1206
R24
22K
805
C13
470PF
1206
5
A5V
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
4
of
8
A
B
C
D
1
1
3V
3V
3V
3V
R72
10K
805
IRQ0
R71
100
805
U7
1
2
SW3
SWT013
SPST-MOMENTARY
R69
0.00
1206
U7
13
74LVC14A
SOIC14
12
R76
10K
805
IRQ0
74LVC14A
SOIC14
CT4
1UF
A
U5
9
USB_CONFIGURED
8
USB RESET
LED1
RED-SMT
LED001
USB_RESET
10
74LVC00AD
SOIC14
3V
RESET
LED7
RED-SMT
LED001
R63
270
1206
2
3V
2
R65
10K
805
U4
SW2
SWT013
SPST-MOMENTARY
R74
10K
805
IRQ1
R34
270
1206
R64
100
805
U7
5
SW5
SWT013
SPST-MOMENTARY
6
9
74LVC14A
SOIC14
8
1MR
RESET8
21A1
1Y118
4PFI
RESET7
41A2
1Y216
PFO5
61A3
1Y314
81A4
1Y412
ADM708SAR
SOIC8
R68
0.00
1206
U7
U6
RESET
IRQ1
FLAG[0:2]
74LVC14A
SOIC14
SOFT_RESET
CT5
1UF
A
112A1
2Y19
FLAG0
132A2
2Y27
FLAG1
152A3
2Y35
FLAG2
172A4
2Y43
5V
1OE1
FLAG2
LED4
AMBER-SMT
LED001
19OE2
IDT74FCT3244APY
SSOP20
R30
270
1206
3
FLAG1
LED3
AMBER-SMT
LED001
R31
270
1206
FLAG0
LED2
AMBER-SMT
LED001
POWER
LED6
GREEN-SMT
LED001
R32
270
1206
R33
680
1206
3
3V
3V
R67
10K
805
R66
10K
805
IRQ2
R75
100
805
U7
3
SW4
SWT013
SPST-MOMENTARY
4
74LVC14A
SOIC14
R70
0.00
1206
U7
11
10
12
U5
11
IRQ2
13
74LVC14A
SOIC14
74LVC00AD
SOIC14
CT6
1UF
A
ANALOG
DEVICES
4
Approvals
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - PB/LED
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
5
of
8
A
B
C
D
LINK PORT CONNECTORS
P5
1
14CLKSH
UD11
15ACKSH
CLK2
16D0SH
ACK3
17D1SH
D04
L0DAT0
18D2SH
D15
L0DAT1
19D3SH
D26
L0DAT2
20D4SH
D37
L0DAT3
21D5SH
D48
L0DAT4
22D6SH
D59
L0DAT5
23D7SH
D610
L0DAT6
26UD2
D711
L0DAT7
28CH1
CH227
L0CLK
L0ACK
D[0:63]
L0DAT[0:7]
A[0:31]
1
4
3
4
3
3
6
5
6
5
A1
6
5
A0
8
7
DMAG1
8
7
A3
8
7
A2
10
9
DMAR2
10
9
A5
10
9
A4
12
11
D49
12
11
D48
A7
12
11
A6
14
13
D51
14
13
D50
A9
14
13
A8
16
15
D53
16
15
D52
A11
16
15
A10
18
17
D55
18
17
D54
A13
18
17
A12
20
19
D57
20
19
D56
A15
20
19
A14
22
21
D59
22
21
D58
L4CLK
A17
22
21
A16
24
23
D61
24
23
D60
L4ACK
A19
24
23
A18
26
25
D63
26
25
D62
L4DAT[0:7]
A21
26
25
A20
28
27
28
27
CIF
CLK2
16D0SH
ACK3
17D1SH
D04
L4DAT0
18D2SH
D15
L4DAT1
A23
28
27
A22
30
29
30
29
CLKOUT
19D3SH
D26
L4DAT2
A25
30
29
A24
32
31
L2DAT1
32
31
L2DAT0
20D4SH
D37
L4DAT3
A27
32
31
A26
FLAG1
34
33
FLAG0
L2DAT3
34
33
L2DAT2
21D5SH
D48
L4DAT4
A29
34
33
A28
FLAG3
36
35
FLAG2
L2DAT5
36
35
L2DAT4
22D6SH
D59
L4DAT5
A31
36
35
A30
38
37
L2DAT7
38
37
L2DAT6
23D7SH
D610
L4DAT6
38
37
40
39
L2CLK
40
39
L2ACK
26UD2
D711
L4DAT7
D1
40
39
D0
42
41
BRST
42
41
SBTS
D3
42
41
D2
44
43
BR2
44
43
BR1
D5
44
43
D4
46
45
BR4
46
45
BR3
D7
46
45
D6
48
47
BR6
48
47
BR5
D9
48
47
D8
RCLK1
50
49
TCLK1
50
49
D11
50
49
D10
RFS1
52
51
TFS1
52
51
D13
52
51
D12
DR1
54
53
DT1
54
53
D15
54
53
D14
56
55
56
55
D17
56
55
D16
58
57
58
57
D19
58
57
D18
60
59
60
59
D21
60
59
D20
62
61
62
61
D23
62
61
D22
64
63
64
63
D25
64
63
D24
66
65
66
65
D27
66
65
D26
TIMEXP
68
67
68
67
HBR
D29
68
67
D28
IRQ1
70
69
HBG
70
69
CS
D31
70
69
D30
72
71
BMS
72
71
PA
D33
72
71
D32
74
73
MS0
74
73
RDL
D35
74
73
D34
76
75
MS1
76
75
RDH
D37
76
75
D36
78
77
MS2
78
77
WRL
D39
78
77
D38
80
79
MS3
80
79
WRH
D41
80
79
D40
82
81
ACK
82
81
D43
82
81
D42
84
83
PAGE
84
83
D45
84
83
D44
86
85
86
85
D47
86
85
D46
88
87
88
87
88
87
90
89
90
90
89
CH227
SHGND
DSP JTAG HEADER
3V
SPORT0
DT0
R55
4.7K
805
6
7
P11
1
3
R56
4.7K
805
TFS0
TCLK0
5
DMAG2
15ACKSH
SHGND
4
DMAR1
UD11
LINKPORT
CON010
3
1
14CLKSH
28CH1
2
P3
2
4
P6
P8
1
1
2
SHGND
R58
4.7K
805
P2
2
P1
SHGND
3
1
5V
LINKPORT
CON010
2
3V
REDY
IRQ0
IRQ2
MS[0:3]
2
4
5
6
7
8
9
10
11
12
13
14
TMS
15
16
8
TCK
17
18
9
10
TRST
19
20
11
12
TDI
13
14
TDO
EMU
RESET
RCLK0
CON017
45X2
DNP
CON017
45X2
DNP
2
3
89
CON017
45X2
DNP
RFS0
DR0
CON014
10X2
IDC7X2
R92
4.7K
805
ANALOG
DEVICES
4
Approvals
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - CONNECTORS
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
6
of
8
A
B
C
1
D
1
5V
F1
2.5A
FUS001
FER9
CHOKE_COIL
FER002
4
3
1
D4
2A
DO-214AA
UNREG_IN
3INPUT
2
1
2
FER7
600
1206
OUTPUT2
MH3
MH1
MH2
MH4
MH5
GND
1
ADP3339AKC-5
SOT-223
P4
D5
2A
DO-214AA
C42
1000PF
1206
R89
0.00
805
VR5
R88
100K
1206
CT7
10UF
C
C51
0.1UF
805
CT8
10UF
C
FER8
600
1206
C52
0.1UF
805
3
7.5V_POWER
CON005
SHGND
SHGND
C40
1000PF
1206
SHGND
2
2
UNREG_IN
UNREG_IN
1.9V
DNP
D2
2A
DO-214AA
3V
C24
0.1UF
805
AVDD_CORE
L2
10UH
IND001
R83
10
805
VR3
L1
10UH
IND001
VR1
C28
1UF
805
1IN1
2IN2
3
C20
470PF
1206
SW8
DRV7
3GND1
GND26
4COMP
FB5
C27
1UF
805
R78
53.6K
805
C33
10UF
1210
ADP3088
MSOP8
D6
1A
DO-214AA
C29
DNP
1206
2VIN
R82
53.6K
805
BOOST1
5SHDN
SW3
8SYNC
FB6
4GND
VC7
C22
10UF
1210
D7
1A
DO-214AA
LT1765
SO-8
DNP
R79
20.0K
1206
R81
102K
1206
3
VR2
R77
32.4K
805
C26
0.1UF
805
C25
0.01UF
805
1IN1
SW8
2IN2
DRV7
3GND1
GND26
4COMP
FB5
C21
DNP
1206
C23
470PF
1206
1.9V
R80
DNP
1206
ADP3088
MSOP8
DSP
Core
Populate
DNP
R82
R81
C23
ADSP-21160M
2.5V
VR3, D2
VR2
11.3K
10.5K
2.2nF
ADSP-21160N
1.9V
VR2
VR3, D2
53.6K
102K
470PF
ANALOG
DEVICES
4
Approvals
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - POWER
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
7
of
8
A
B
C
D
1
1
A5V
3V
VDD_EXT
VDD_INT
C46
10UF
1210
C47
10UF
1210
C55
0.1UF
805
C56
0.1UF
805
C57
0.1UF
805
C59
0.1UF
805
C66
0.1UF
805
C60
0.1UF
805
C63
0.1UF
805
C62
0.01UF
805
C65
0.01UF
805
C54
0.01UF
805
C48
10UF
1210
C49
10UF
1210
C98
0.1UF
805
C34
0.1UF
805
C95
0.1UF
805
C93
0.1UF
805
C88
0.1UF
805
C92
0.1UF
805
C90
0.1UF
805
C91
0.01UF
805
C89
0.01UF
805
C100
0.01UF
805
CT9
10UF
B
C86
0.01UF
805
CT10
10UF
B
C87
0.01UF
805
C53
0.01UF
805
C82
0.01UF
805
C64
0.01UF
805
AGND
ADSP-21160N (U1)
ADSP-21160N (U1)
AD1885JST DIGITAL (U10)
AD1885JST ANALOG (U10)
2
2
A5V
3V
3V
3V
3V
3V
3V
3V
3V
CT11
1UF
A
C44
0.01UF
805
C69
0.1UF
805
C45
0.1UF
805
AGND
C67
0.1UF
805
C68
0.1UF
805
C70
0.01UF
805
C73
0.1UF
805
MT58L64L32 (U8)
SSM2135 (U?)
C71
0.1UF
805
C72
0.1UF
805
C74
0.01UF
805
C83
0.01UF
805
MT58L64L32 (U9)
C84
0.01UF
805
IDT74FCT3244APY (U6)
C78
0.01UF
805
C85
0.01UF
805
74LVC00AD (U5)
ADM708SAR (U4)
C75
0.01UF
805
C77
0.01UF
805
74LVC14A (U7)
AT49BV040 (U7)
OSC (U2)
3
3
3V
3V
CT21
10UF
B
C107
0.01UF
805
C106
0.01UF
805
C38
0.01UF
805
C32
0.01UF
805
C39
0.01UF
805
C97
0.01UF
805
C103
0.01UF
805
C104
0.01UF
805
C105
0.01UF
805
3V
C96
0.01UF
805
SN74LV164A (U17)
C31
0.01UF
805
3V
C30
0.01UF
805
CY7C1019V33-15VC (12)
3V
3V
C116
0.01UF
805
C109
0.01UF
805
SN74AHC1G00 (U26)
SN74AHC1G02 (U16)
3V
C108
0.01UF
805
74LVC14A (U19)
C61
0.01UF
805
QS3257 (U14, U15)
3V
C58
0.01UF
805
3V
C94
0.01UF
805
C99
0.01UF
805
CY7C4201V-15AC (U18)
24LC00-SN (U25)
CYC64603-128 (U11)
ANALOG
DEVICES
4
Approvals
Date
Title
20 Cotton Road
Nashua, NH 03063
4
PH: 1-800-ANALOGD
ADSP-21160 EZ-KIT LITE - BYPASS CAPS
Drawn
Checked
Engineering
A
B
C
Size
Board No.
C
Date
Rev
A0164-2001
1.2
Sheet
5-2-2002_14:26
D
8
of
8
I
INDEX
Numerics
B
64-bit memory interface, 2-3
bill of materials, A-1
~BMS, memory select pin, 1-6, 2-3, 2-6
board measurements, 2-15
boot
load, 1-10
mode select (SW1), 2-6
A
abort, hang operations, 1-13
acknowledge, hang operation, 1-13
AD1881A audio codec
audio input selection jumper, 2-6
feature list, xi
FLAG pin connection, 1-8
MIC1 input channel, 2-3
reset, 2-3
SPORT0 audio interface, 2-3
ADSP-21160 processor
boot modes, 2-6
core frequency, 2-2
core voltage, 2-2
external port, 1-6
FLAG pins, 1-8
interrupt pins, 1-8
memory map, 1-6
peripheral interfaces, 2-2, 2-10
asynchronous FLAGs, 1-8
audio
connectors (P9, P10), 2-13
input jack (P9), 2-6
input/output, 2-3
input selector (JP1), 2-6
interface, xi
C
clear, hang operations, 1-13
connecting, EZ-KIT Lite board, 1-3
connectors
diagram of locations, 1-3, 2-10
list of, xi
P11 (SPORT0), 2-3, 2-13
P2 (expansion), 1-8, 1-9
P4 (power), 2-11
P5-6 (link port), 2-11
P7 (USB), 2-12
P8 (JTAG), 2-4, 2-12
contents, EZ-KIT Lite package, 1-2
core hang conditions, 1-12
current limit, maximum, 2-4
customer support, xv
D
DIP switches
see also SW
ADSP-21160 EZ-KIT Lite Evaluation System Manual
I-1
INDEX
E
EBOOT pins, 2-6
electrostatic discharge, 1-3
emulator connector, xi
evaluation license restrictions, 1-6
example programs, 1-9
expansion interface, 2-4
external
memory auto configuration, 1-12
memory booting (no-boot), 2-6
memory map, EZ-KIT Lite, 1-7
oscillator, 2-2
port, 1-6, 2-3
EZ-KIT Lite
features, xi
specifications, 2-14
features, EZ-KIT Lite board, xi
FLAG
FLAG0-3 pins, 1-8, 2-8
system register, 1-8
flash
memory, xi, 1-6, 1-7, 2-3
memory booting, 2-6
programmer, 1-9
frequency
ADSP-21160 processor, 2-2
G
general-purpose IO, xi
global interrupts, 1-8
graphical user interface (GUI), 1-10
hard reset, 1-10
Help, online, xx, 1-9
I-2
I
ignore, hang operations, 1-13
interfaces
see graphical user interface (GUI)
internal memory, EZ-KIT Lite, 1-7
interrupt
pins, 1-8
push buttons (SW3-5), xii, 1-9, 2-9
see also push buttons
vector, 2-9
IO pins see FLAGs
IRQ0-2, 1-9, 2-9
J
F
H
host boot, 2-6
hung conditions, 1-12
JTAG
connector (P8), 2-12
emulation port, 2-4
emulator, 2-12
jumper, JP1 (SPORT0), 1-3, 2-3, 2-6
L
LBOOT pins, 2-6
LEDs
diagram of functionality, 1-3, 2-7
feature list, xii
LED1, 1-8, 2-8
LED2-LED4 (FLAGs), 1-8, 2-8
LED5 (USB monitor), 1-5, 2-8
LED6 (power), 2-9
LED7 (USB reset), 2-8
LINE_IN input channel, 2-3, 2-6
link port
booting, 2-6
connectors, 2-11
ADSP-21160 EZ-KIT Lite Evaluation System Manual
INDEX
M
measurements, EZ-KIT Lite, 2-15
memory map, 1-6
external, 1-7
internal, 1-7
multiprocessor, 1-7
select pins, ADSP-21160 processor, 2-3
MIC1 input channel, 2-6
MODE2 register, 1-8
mono channel (MIC1), 2-6
~MS0-1, memory select pins, 1-6, 2-3
MSIZE bits, 1-7
multiprocessor memory space, 1-7
N
no-boot mode, 2-6
notation conventions, xxii
O
oscillator, 2-2
P
package contents, 1-2
power
connector (P4), 2-11
LED (LED6), 2-9
specifications, 2-14
supply, 2-14
push buttons
diagram of, 2-7
SW2 (reset), 2-9
SW3 -5 (IRQ0-2), 2-9
R
RCLK0 pins, 2-3
registering this product, 1-3
reset
board, 1-10
hang operation, 1-13
processor, 2-8
push button (SW2), 2-9
retry, hang operation, 1-13
S
SBSRAM memory, 1-6, 2-3
setting
target options, 1-10
specifications, 2-14
SPORT
audio interface, 2-3
connectors, 2-3
link connection, 2-13
SRAM memory, ADSP-21160 processor, 1-6
stereo
jacks (P9-10), 2-3, 2-6
stereo channel (LINE_IN), 2-6
SW1 (boot mode) switch, 2-6
SW3-5 (interrupt) push buttons, 1-9, 2-9
SYSCON register, 1-7
system
architecture, EZ-KIT Lite
architecture, 2-2
registers, 1-8
T
target options
dialog box, 1-10
miscellaneous, 1-12
on emulator exit, 1-10
while target is halted, 1-10
TCLK0 pins, 2-3
ADSP-21160 EZ-KIT Lite Evaluation System Manual
I-3
INDEX
U
V
USB
cable, 1-3
connector (P7), 2-12
interface, 2-9, 2-12
interface chip (U11), 2-8
monitor LED (LED5), 2-8
VisualDSP++
documentation, xx
online Help, xx, 1-9
I-4
ADSP-21160 EZ-KIT Lite Evaluation System Manual