HYNIX HY5DU283222AQP-33

HY5DU283222AQP
128M(4Mx32) GDDR SDRAM
HY5DU283222AQP
This document is a general product description and is subject to change without notice. Hynix Electronics does not assume any responsibility for use of circuits described. No patent licenses are implied.
Rev. 0.1 / Jan. 2005
1
HY5DU283222AQP
Revision History
Revision No.
0.1
Rev. 0.1 / Jan. 2005
History
Defined target Spec.
Draft Date
Remark
Jan. 2005
2
HY5DU283222AQP
CONTENTS
1. 4Mx32 DDR SDRAM Brief Information ------------------------------------------------------------------- 4
1.1 Description
1.2 Feature
1.3 Ordering Information
2. Pin & PKG Information --------------------------------------------------------------------------------------- 5
2.1 Pin Configuration
2.2 Pin Description
2.3 PKG Physical Dimension
3. Functional Block Diagram ----------------------------------------------------------------------------------- 8
4. Command Truth Table ---------------------------------------------------------------------------------------- 9
4.1 Simplified Command Truth Table
4.2 Write Mask Truth Table
4.3 Operation Command Truth Table
4.4 CKE Function Truth Table
5. Function Description ---------------------------------------------------------------------------------------- 16
5.1 Simplified State Diagram
5.2 Power up sequence and Device Initialization
5.3 MRS/EMRS definition
5.4 Device Operation
6. Absolute Maximum Rating -------------------------------------------------------------------------------- 34
7. DC Operating Condition ------------------------------------------------------------------------------------- 34
8. DC Characteristics -------------------------------------------------------------------------------------------- 35
9. AC Operating Test Condition ------------------------------------------------------------------------------ 36
10. AC Characteristics ------------------------------------------------------------------------------------------ 37
11. Input /Output Capacitance & Output Load Circuit ---------------------------------------------- 39
12. Timing Diagram --------------------------------------------------------------------------------------------- 40
Rev. 0.1 / Jan. 2005
3
128Mb (4Mx32) Double Data Rate SDRAM
HY5DU283222AQP
DESCRIPTION
The Hynix HY5DU283222 is a 134,217,728-bit CMOS Double Data Rate(DDR) Synchronous DRAM, ideally suited for the
point-to-point applications which requires high bandwidth.
The Hynix 4Mx32 DDR SDRAMs offer fully synchronous operations referenced to both rising and falling edges of the
clock. While all addresses and control inputs are latched on the rising edges of the CK (falling edges of the /CK), Data,
Data strobes and Write data masks inputs are sampled on both rising and falling edges of it. The data paths are internally pipelined and 2-bit prefetched to achieve very high bandwidth. All input and output voltage levels are compatible
with SSTL_2.
FEATURES
•
VDD, VDDQ = 2.5V ± 5%
•
All inputs and outputs are compatible with SSTL_2
interface
•
JEDEC standard 20mm x 14mm 100pin LQFP with
0.65mm pin pitch
•
Fully differential clock inputs (CK, /CK) operation
•
Double data rate interface
•
Source synchronous - data transaction aligned to
bidirectional data strobe (DQS)
•
•
Data outputs on DQS edges when read (edged DQ)
Data inputs on DQS centers when write (centered
DQ)
Data(DQ) and Write masks(DM) latched on the both
rising and falling edges of the data strobe
•
All addresses and control inputs except Data, Data
strobes and Data masks latched on the rising edges
of the clock
•
Write mask byte controls by DM (DM0 ~ DM3)
•
Programmable CAS Latency 3 and 4 supported
•
Programmable Burst Length 2 / 4 / 8 with both
sequential and interleave mode
•
Internal 4 bank operations with single pulsed RAS
•
tRAS Lock-Out function supported
•
Auto refresh and self refresh supported
•
4096 refresh cycles / 32ms
•
Half strength and Matched Impedance driver option
controlled by EMRS
ORDERING INFORMATION
Part No.
Power Supply
HY5DU283222AQP-33
Clock
Frequency
Max Data Rate
300MHz
600Mbps/pin
HY5DU283222AQP-36
VDD/VDDQ
275MHz
550Mbps/pin
HY5DU283222AQP-4
= 2.5V
250MHz
500Mbps/pin
200MHz
400Mbps/pin
HY5DU283222AQP-5
interface
SSTL_2
Package
20mm x 14mm
100pin LQFP
Note) Hynix supports Lead free parts for each speed grade with same specification, except Lead free material.
We’ll add “P” character after “Q” for Lead Free product. For example, the part number of 300MHz Lead Free
product is HY5DU283222AQP-33.
Rev. 0.1 / Jan. 2005
4
HY5DU283222AQP
DQ31
DQ30
VSSQ
DQ29
84
83
82
81
NC
88
VSS
NC
89
85
NC
90
NC
NC
91
VDDQ
VSSQ
92
86
NC
93
87
VDDQ
VDD
96
DQS
DQ0
97
94
DQ1
98
95
DQ2
VSSQ
99
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
TOP VIEW
A7
49
A6
DQ28
VDDQ
DQ27
DQ26
VSSQ
DQ25
DQ24
VDDQ
DQ15
DQ14
VSSQ
DQ13
DQ12
VDDQ
VSS
VDD
DQ11
DQ10
VSSQ
DQ9
DQ8
VDDQ
VREF
DM3
DM1
CLK
/CLK
CKE
NC
A8/AP
50
48
A5
A4
47
46
VSS
45
A9
NC
43
NC
44
42
NC
NC
NC
40
NC
41
39
A11
38
37
A10
NC
36
35
VDD
34
A3
33
A2
A1
A0
32
20mm x 14mm
100 Pin QFP
0.65mm Pitch
31
DQ3
VDDQ
DQ4
DQ5
VSSQ
DQ6
DQ7
VDDQ
DQ16
DQ17
VSSQ
DQ18
DQ19
VDDQ
VDD
VSS
DQ20
DQ21
VSSQ
DQ22
DQ23
VDDQ
DM0
DM2
/WE
/CAS
/RAS
/CS
BA0
BA1
100
PIN CONFIGURATION
ROW and COLUMN ADDRESS TABLE
Rev. 0.1 / Jan. 2005
Items
4Mx32
Organization
1M x 32 x 4banks
Row Address
A0 ~ A11
Column Address
A0 ~ A7
Bank Address
BA0, BA1
Auto Precharge Flag
A8
Refresh
4K
5
HY5DU283222AQP
PIN DESCRIPTION
PIN
TYPE
CK, /CK
Input
Clock: CK and /CK are differential clock inputs. All address and control input signals are
sampled on the crossing of the positive edge of CK and negative edge of /CK. Output
(read) data is referenced to the crossings of CK and /CK (both directions of crossing).
CKE
Input
Clock Enable: CKE HIGH activates, and CKE LOW deactivates internal clock signals, and
device input buffers and output drivers. Taking CKE LOW provides PRECHARGE POWER
DOWN and SELF REFRESH operation (all banks idle), or ACTIVE POWER DOWN (row
ACTIVE in any bank). CKE is synchronous for POWER DOWN entry and exit, and for SELF
REFRESH entry. CKE is asynchronous for SELF REFRESH exit, and for output disable. CKE
must be maintained high throughout READ and WRITE accesses. Input buffers, excluding
CK, /CK and CKE are disabled during POWER DOWN. Input buffers, excluding CKE are
disabled during SELF REFRESH. CKE is an SSTL_2 input, but will detect an LVCMOS LOW
level after Vdd is applied.
/CS
Input
Chip Select : Enables or disables all inputs except CK, /CK, CKE, DQS and DM. All commands are masked when CS is registered high. CS provides for external bank selection on
systems with multiple banks. CS is considered part of the command code.
BA0, BA1
Input
Bank Address Inputs: BA0 and BA1 define to which bank an ACTIVE, Read, Write or PRECHARGE command is being applied.
A0 ~ A11
Input
Address Inputs: Provide the row address for ACTIVE commands, and the column address
and AUTO PRECHARGE bit for READ/WRITE commands, to select one location out of the
memory array in the respective bank. A8 is sampled during a precharge command to
determine whether the PRECHARGE applies to one bank (A8 LOW) or all banks (A8
HIGH). If only one bank is to be precharged, the bank is selected by BA0, BA1. The
address inputs also provide the op code during a MODE REGISTER SET command. BA0
and BA1 define which mode register is loaded during the MODE REGISTER SET command
(MRS or EMRS).
/RAS, /CAS, /WE
Input
Command Inputs: /RAS, /CAS and /WE (along with /CS) define the command being
entered.
DM0 ~ DM3
Input
Input Data Mask: DM(0~3) is an input mask signal for write data. Input data is masked
when DM is sampled HIGH along with that input data during a WRITE access. DM is sampled on both edges of DQS. Although DM pins are input only, the DM loading matches the
DQ and DQS loading. DM0 corresponds to the data on DQ0-Q7; DM1 corresponds to the
data on DQ8-Q15; DM2 corresponds to the data on DQ16-Q23; DM3 corresponds to the
data on DQ24-Q31.
DQS
I/O
Data Strobe: Output with read data, input with write data. Edge aligned with read data,
centered in write data. Used to capture write data.
DQ0 ~ DQ31
I/O
Data input / output pin : Data Bus
VDD/VSS
Supply
Power supply for internal circuits and input buffers.
VDDQ/VSSQ
Supply
Power supply for output buffers for noise immunity.
VREF
Supply
Reference voltage for inputs for SSTL interface.
NC
NC
Rev. 0.1 / Jan. 2005
DESCRIPTION
No connection.
6
HY5DU283222AQP
PACKAGE INFORMATION
20mm x 14mm 100pin Low Quad Flat Package
22.10(0.870)
21.90(0.862)
Unit:mm(inch)
16.10(0.634)
15.90(0.626)
14.10(0.555)
13.90(0.547)
20.10(0.791)
19.90(0.783)
1.60(0.063)
1.45(0.057)
Detail A
Base Plane
0.65 (0.026)TYP
All dimension in mm (inches). Notation is
Rev. 0.1 / Jan. 2005
Detail A
0.38(0.015)
0.22(0.009)
Seating Plane
0.080 (0.003)
Gauge Line
0.15(0.006)
0.05(0.002)
0.20(0.008)
0.09(0.004)
0~7 Deg
0.75(0.029)
0.50(0.020)
0.66(0.026)
0.45(0.018)
1.00(0.0394)REF
MAX
or typical.
MIN
7
HY5DU283222AQP
FUNCTIONAL BLOCK DIAGRAM
4Banks x 1Mbit x 32 I/O Double Data Rate Synchronous DRAM
Input Buffer
32
Write Data Register
2-bit Prefetch Unit
64
1Mx32/Bank0
1Mx32 /Bank2
64
1Mx32 /Bank3
Mode
Register
32
Output Buffer
1Mx32 /Bank1
Command
Decoder
2-bit Prefetch Unit
Bank
Control
Sense AMP
CLK
/CLK
CKE
/CS
/RAS
/CAS
/WE
DM(0~3)
DS
DQ[0:31]
Row
Decoder
Column Decoder
A0-11
BA0,BA1
Address
Buffer
DQS
Column Address
Counter
Data Strobe
Transmitter
CLK_DLL
DS
CLK,
/CLK
Data Strobe
Receiver
DLL
Block
Mode
Register
Rev. 0.1 / Jan. 2005
8
HY5DU283222AQP
SIMPLIFIED COMMAND TRUTH TABLE
A8/
AP
Command
CKEn-1
CKEn
CS
RAS
CAS
WE
Extended Mode Register Set
H
X
L
L
L
L
OP code
1,2
Mode Register Set
H
X
L
L
L
L
OP code
1,2
H
X
H
X
X
X
L
H
H
H
X
1
H
X
L
L
H
H
H
X
L
H
L
H
CA
H
X
L
H
L
L
CA
H
X
L
L
H
L
X
Read Burst Stop
H
X
L
H
H
L
X
1
Auto Refresh
H
H
L
L
L
H
X
1
Entry
H
L
L
L
L
H
Exit
L
H
H
X
X
X
L
H
H
H
Entry
H
L
H
X
X
X
L
H
H
H
H
X
X
X
L
H
H
H
1
H
X
X
X
1
L
V
V
V
Device Deselect
No Operation
Bank Active
Read
Read with Autoprecharge
Write
Write with Autoprecharge
Precharge All Banks
Precharge selected Bank
Self Refresh
Precharge Power
Down Mode
Active Power
Down Mode
Exit
L
H
Entry
H
L
Exit
L
H
X
ADDR
RA
BA
V
L
H
L
H
V
V
Note
1
1
1,3
1
1,4
H
X
1,5
L
V
1
1
X
1
1
X
X
1
1
1
1
( H=Logic High Level, L=Logic Low Level, X=Don’t Care, V=Valid Data Input, OP Code=Operand Code, NOP=No Operation )
Note :
1. DM(0~3) states are Don’t Care. Refer to below Write Mask Truth Table.
2. OP Code(Operand Code) consists of A0~A11 and BA0~BA1 used for Mode Register setting during Extended MRS or MRS.
Before entering Mode Register Set mode, all banks must be in a precharge state and MRS command can be issued after tRP
period from Prechagre command.
3. If a Read with Autoprecharge command is detected by memory component in CK(n), then there will be no command presented
to activated bank until CK(n+BL/2+tRP).
4. If a Write with Autoprecharge command is detected by memory component in CK(n), then there will be no command presented
to activated bank until CK(n+BL/2+1+tDPL+tRP). Last Data-In to Prechage delay(tDPL) which is also called Write Recovery Time
(tWR) is needed to guarantee that the last data has been completely written.
5. If A8/AP is High when Precharge command being issued, BA0/BA1 are ignored and all banks are selected to be
precharged.
Rev. 0.1 / Jan. 2005
9
HY5DU283222AQP
WRITE MASK TRUTH TABLE
Function
A8/
AP
CKEn-1
CKEn
CS, RAS, CAS, WE
DM(0~3)
Data Write
H
X
X
L
X
1,2
Data-In Mask
H
X
X
H
X
1,2
ADDR
BA
Note
Note :
1. Write Mask command masks burst write data with reference to DQS(Data Strobes) and it is not related with read data.
2. DM0 corresponds to the data on DQ0-Q7; DM1 corresponds to the data on DQ8-Q15; DM2 corresponds to the data on DQ16-Q23;
DM3 corresponds to the data on DQ24-Q31.
Rev. 0.1 / Jan. 2005
10
HY5DU283222AQP
OPERATION COMMAND TRUTH TABLE - I
Current
State
IDLE
ROW
ACTIVE
READ
WRITE
/CS
/RAS
/CAS
/WE
Address
Command
Action
H
X
X
X
X
DSEL
NOP or power down3
L
H
H
H
X
NOP
NOP or power down3
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL4
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL4
L
L
H
H
BA, RA
ACT
Row Activation
L
L
H
L
BA, AP
PRE/PALL
NOP
L
L
L
H
X
AREF/SREF
Auto Refresh or Self Refresh5
L
L
L
L
OPCODE
MRS
Mode Register Set
H
X
X
X
X
DSEL
NOP
L
H
H
H
X
NOP
NOP
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
Begin read : optional AP6
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
Begin write : optional AP6
L
L
H
H
BA, RA
ACT
ILLEGAL4
L
L
H
L
BA, AP
PRE/PALL
Precharge7
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
Continue burst to end
L
H
H
H
X
NOP
Continue burst to end
L
H
H
L
X
BST
Terminate burst
L
H
L
H
BA, CA, AP
READ/READAP
Term burst, new read:optional AP8
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL
L
L
H
H
BA, RA
ACT
ILLEGAL4
L
L
H
L
BA, AP
PRE/PALL
Term burst, precharge
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
Continue burst to end
L
H
H
H
X
NOP
Continue burst to end
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
Term burst, new read:optional AP8
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
Term burst, new write:optional AP
Rev. 0.1 / Jan. 2005
11
HY5DU283222AQP
OPERATION COMMAND TRUTH TABLE - II
Current
State
WRITE
READ
WITH
AUTOPRECHARGE
WRITE
AUTOPRECHARGE
PRECHARGE
/CS
/RAS
/CAS
/WE
Address
Command
Action
L
L
H
H
BA, RA
ACT
ILLEGAL4
L
L
H
L
BA, AP
PRE/PALL
Term burst, precharge
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
Continue burst to end
L
H
H
H
X
NOP
Continue burst to end
L
H
H
L
X
BST
ILLEGAL
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL10
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL10
L
L
H
H
BA, RA
ACT
ILLEGAL4,10
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL4,10
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
Continue burst to end
L
H
H
H
X
NOP
Continue burst to end
L
H
H
L
X
BST
ILLEGAL
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL10
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL10
L
L
H
H
BA, RA
ACT
ILLEGAL4,10
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL4,10
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
NOP-Enter IDLE after tRP
L
H
H
H
X
NOP
NOP-Enter IDLE after tRP
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL4,10
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL4,10
L
L
H
H
BA, RA
ACT
ILLEGAL4,10
L
L
H
L
BA, AP
PRE/PALL
NOP-Enter IDLE after tRP
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
Rev. 0.1 / Jan. 2005
12
HY5DU283222AQP
OPERATION COMMAND TRUTH TABLE - III
Current
State
ROW
ACTIVATING
WRITE
RECOVERING
WRITE
RECOVERING
WITH
AUTOPRECHARGE
REFRESHING
/CS
/RAS
/CAS
/WE
Address
Command
Action
H
X
X
X
X
DSEL
NOP - Enter ROW ACT after tRCD
L
H
H
H
X
NOP
NOP - Enter ROW ACT after tRCD
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL4,10
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL4,10
L
L
H
H
BA, RA
ACT
ILLEGAL4,9,10
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL4,10
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
NOP - Enter ROW ACT after tWR
L
H
H
H
X
NOP
NOP - Enter ROW ACT after tWR
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL
L
L
H
H
BA, RA
ACT
ILLEGAL4,10
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL4,11
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
NOP - Enter precharge after tDPL
L
H
H
H
X
NOP
NOP - Enter precharge after tDPL
L
H
H
L
X
BST
ILLEGAL4
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL4,8,10
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL4,10
L
L
H
H
BA, RA
ACT
ILLEGAL4,10
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL4,11
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
NOP - Enter IDLE after tRC
L
H
H
H
X
NOP
NOP - Enter IDLE after tRC
L
H
H
L
X
BST
ILLEGAL11
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL11
Rev. 0.1 / Jan. 2005
13
HY5DU283222AQP
OPERATION COMMAND TRUTH TABLE - IV
Current
State
WRITE
MODE
REGISTER
ACCESSING
/CS
/RAS
/CAS
/WE
Address
Command
Action
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL11
L
L
H
H
BA, RA
ACT
ILLEGAL11
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL11
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
H
X
X
X
X
DSEL
NOP - Enter IDLE after tMRD
L
H
H
H
X
NOP
NOP - Enter IDLE after tMRD
L
H
H
L
X
BST
ILLEGAL11
L
H
L
H
BA, CA, AP
READ/READAP
ILLEGAL11
L
H
L
L
BA, CA, AP
WRITE/WRITEAP
ILLEGAL11
L
L
H
H
BA, RA
ACT
ILLEGAL11
L
L
H
L
BA, AP
PRE/PALL
ILLEGAL11
L
L
L
H
X
AREF/SREF
ILLEGAL11
L
L
L
L
OPCODE
MRS
ILLEGAL11
Note :
1. H - Logic High Level, L - Logic Low Level, X - Don’t Care, V - Valid Data Input,
BA - Bank Address, AP - AutoPrecharge Address, CA - Column Address, RA - Row Address, NOP - NO Operation.
2. All entries assume that CKE was active(high level) during the preceding clock cycle.
3. If both banks are idle and CKE is inactive(low level), then in power down mode.
4. Illegal to bank in specified state. Function may be legal in the bank indicated by Bank Address(BA) depending on the state of
that bank.
5. If both banks are idle and CKE is inactive(low level), then self refresh mode.
6. Illegal if tRCD is not met.
7. Illegal if tRAS is not met.
8. Must satisfy bus contention, bus turn around, and/or write recovery requirements.
9. Illegal if tRRD is not met.
10. Illegal for single bank, but legal for other banks in multi-bank devices.
11. Illegal for all banks.
Rev. 0.1 / Jan. 2005
14
HY5DU283222AQP
CKE FUNCTION TRUTH TABLE
Current
State
SELF
REFRESH1
POWER
DOWN2
ALL BANKS
IDLE4
ANY STATE
OTHER
THAN
ABOVE
CKEn1
CKEn
/CS
/RAS
/CAS
/WE
/ADD
Action
H
X
X
X
X
X
X
INVALID
L
H
H
X
X
X
X
Exit self refresh, enter idle after tSREX
L
H
L
H
H
H
X
Exit self refresh, enter idle after tSREX
L
H
L
H
H
L
X
ILLEGAL
L
H
L
H
L
X
X
ILLEGAL
L
H
L
L
X
X
X
ILLEGAL
L
L
X
X
X
X
X
NOP, continue self refresh
H
X
X
X
X
X
X
INVALID
L
H
H
X
X
X
X
Exit power down, enter idle
L
H
L
H
H
H
X
Exit power down, enter idle
L
H
L
H
H
L
X
ILLEGAL
L
H
L
H
L
X
X
ILLEGAL
L
H
L
L
X
X
X
ILLEGAL
L
L
X
X
X
X
X
NOP, continue power down mode
H
H
X
X
X
X
X
See operation command truth table
H
L
L
L
L
H
X
Enter self refresh
H
L
H
X
X
X
X
Exit power down
H
L
L
H
H
H
X
Exit power down
H
L
L
H
H
L
X
ILLEGAL
H
L
L
H
L
X
X
ILLEGAL
H
L
L
L
H
X
X
ILLEGAL
H
L
L
L
L
L
X
ILLEGAL
L
L
X
X
X
X
X
NOP
H
H
X
X
X
X
X
See operation command truth table
H
L
X
X
X
X
X
ILLEGAL5
L
H
X
X
X
X
X
INVALID
L
L
X
X
X
X
X
INVALID
Note :
When CKE=L, all DQ and DQS must be in Hi-Z state.
1. CKE and /CS must be kept high for a minimum of 200 stable input clocks before issuing any command.
2. All command can be stored after 2 clocks from low to high transition of CKE.
3. Illegal if CK is suspended or stopped during the power down mode.
4. Self refresh can be entered only from the all banks idle state.
5. Disabling CK may cause malfunction of any bank which is in active state.
Rev. 0.1 / Jan. 2005
15
HY5DU283222AQP
SIMPLIFIED STATE DIAGRAM
MRS
MODE
REGISTER
SET
SREF
SELF
REFRESH
IDLE
SREX
PDEN
PDEX
AREF
ACT
POWER
DOWN
POWER
DOWN
AUTO
REFRESH
PDEN
BST
PDEX
BANK
ACTIVE
READ
WRITE
READ
WRITE
WRITEAP
WRITE
WITH
AUTOPRECHARGE
PRE(PALL)
READAP
READ
READAP
WITH
AUTOPRECHARGE WRITEAP
READ
WRITE
PRE(PALL)
PRE(PALL)
PRECHARGE
POWER-UP
Command Input
Automatic Sequence
POWER APPLIED
Rev. 0.1 / Jan. 2005
16
HY5DU283222AQP
POWER-UP SEQUENCE AND DEVICE INITIALIZATION
DDR SDRAMs must be powered up and initialized in a predefined manner. Operational procedures other than those
specified may result in undefined operation. Power must first be applied to VDD, then to VDDQ, and finally to VREF (and
to the system VTT). VTT must be applied after VDDQ to avoid device latch-up, which may cause permanent damage to
the device. VREF can be applied anytime after VDDQ, but is expected to be nominally coincident with VTT. Except for
CKE, inputs are not recognized as valid until after VREF is applied. CKE is an SSTL_2 input, but will detect an LVCMOS
LOW level after VDD is applied. Maintaining an LVCMOS LOW level on CKE during power-up is required to guarantee
that the DQ and DQS outputs will be in the High-Z state, where they will remain until driven in normal operation (by a
read access). After all power supply and reference voltages are stable, and the clock is stable, the DDR SDRAM
requires a 200us delay prior to applying an executable command.
Once the 200us delay has been satisfied, a DESELECT or NOP command should be applied, and CKE should be
brought HIGH. Following the NOP command, a PRECHARGE ALL command should be applied. Next a EXTENDED
MODE REGISTER SET command should be issued for the Extended Mode Register, to enable the DLL, then a MODE
REGISTER SET command should be issued for the Mode Register, to reset the DLL, and to program the operating
parameters. After the DLL reset, tXSRD(DLL locking time) should be satisfied for read command. After the Mode Register set command, a PRECHARGE ALL command should be applied, placing the device in the all banks idle state.
Once in the idle state, two AUTO REFRESH cycles must be performed. Additionally, a MODE REGISTER SET command
for the Mode Register, with the reset DLL bit deactivated low (i.e. to program operating parameters without resetting
the DLL) must be performed. Following these cycles, the DDR SDRAM is ready for normal operation.
1.
Apply power - VDD, VDDQ, VTT, VREF in the following power up sequencing and attempt to maintain CKE at LVCMOS low state. (All the other input pins may be undefined.)
• VDD and VDDQ are driven from a single power converter output.
• VTT is limited to 1.44V (reflecting VDDQ(max)/2 + 50mV VREF variation + 40mV VTT variation.
• VREF tracks VDDQ/2.
• A minimum resistance of 42 Ohms (22 ohm series resistor + 22 ohm parallel resistor - 5% tolerance) limits the
input current from the VTT supply into any pin.
• If the above criteria cannot be met by the system design, then the following sequencing and voltage relationship must be adhered to during power up.
Votage description
Sequencing
Voltage relationship to avoid latch-up
VDDQ
After or with VDD
< VDD + 0.3V
VTT
After or with VDDQ
< VDDQ + 0.3V
VREF
After or with VDDQ
< VDDQ + 0.3V
2.
Start clock and maintain stable clock for a minimum of 200usec.
3.
After stable power and clock, apply NOP condition and take CKE high.
4.
Issue Extended Mode Register Set (EMRS) to enable DLL.
5.
Issue Mode Register Set (MRS) to reset DLL and set device to idle state with bit A8=High. (An additional 200
cycles(tXSRD) of clock are required for locking DLL)
6.
Issue Precharge commands for all banks of the device.
Rev. 0.1 / Jan. 2005
17
HY5DU283222AQP
7.
Issue 2 or more Auto Refresh commands.
8.
Issue a Mode Register Set command to initialize the mode register with bit A8 = Low.
Power-Up Sequence
VDD
VDDQ
tVTD
VTT
VREF
/CLK
CLK
tIS tIH
CKE
LVCMOS Low Level
CMD
NOP
PRE
EMRS
MRS
ADDR
CODE
A10
BA0, BA1
NOP
PRE
AREF
MRS
ACT
RD
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
CODE
Non-Read
Command
READ
DM
DQS
DQ'S
T=200usec
tRP
tMRD
tMRD
tRP
tRFC
tMRD
tXSRD*
Power UP
VDD and CK stable
Precharge All
EMRS Set
MRS Set
Reset DLL
(with A8=H)
Precharge All
2 or more
Auto Refresh
MRS Set
(with A8=L)
* 200 cycle(tXSRD) of CK are required (for DLL locking) before Read Command
Rev. 0.1 / Jan. 2005
18
HY5DU283222AQP
MODE REGISTER SET (MRS)
The mode register is used to store the various operating modes such as /CAS latency, addressing mode, burst length,
burst type, test mode, DLL reset. The mode register is program via MRS command. This command is issued by the low
signals of RAS, CAS, CS, WE and BA0. This command can be issued only when all banks are in idle state and CKE must
be high at least one cycle before the Mode Register Set Command can be issued. Two cycles are required to write the
data in mode register. During the the MRS cycle, any command cannot be issued. Once mode register field is determined, the information will be held until resetted by another MRS command.
BA1
BA0
0
0
A11
A10
RFU
A9
A8
A7
DR
TM
A6
A5
A4
CAS Latency
BA0
MRS Type
A7
Test Mode
0
MRS
0
Normal
1
EMRS
1
Vendor
test mode
A3
A2
BT
A1
A0
Burst Length
Burst Length
Rev. 0.1 / Jan. 2005
A2
A1
A8
DLL Reset
0
No
0
0
1
Yes
0
A0
Sequential
Interleave
0
Reserved
Reserved
0
1
2
2
0
1
0
4
4
0
1
1
8
8
1
0
0
Reserved
Reserved
1
0
1
Reserved
Reserved
1
1
0
Reserved
Reserved
1
1
1
Reserved
Reserved
A6
A5
A4
CAS Latency
0
0
0
Reserved
0
0
1
Reserved
0
1
0
Reserved
0
1
1
3
1
0
0
4
1
0
1
Reserved
A3
Burst Type
1
1
0
Reserved
0
Sequential
1
1
1
Reserved
1
Interleave
19
HY5DU283222AQP
BURST DEFINITION
Burst Length
Starting Address (A2,A1,A0)
Sequential
Interleave
XX0
0, 1
0, 1
XX1
1, 0
1, 0
X00
0, 1, 2, 3
0, 1, 2, 3
X01
1, 2, 3, 0
1, 0, 3, 2
X10
2, 3, 0, 1
2, 3, 0, 1
X11
3, 0, 1, 2
3, 2, 1, 0
000
0, 1, 2, 3, 4, 5, 6, 7
0, 1, 2, 3, 4, 5, 6, 7
001
1, 2, 3, 4, 5, 6, 7, 0
1, 0, 3, 2, 5, 4, 7, 6
010
2, 3, 4, 5, 6, 7, 0, 1
2, 3, 0, 1, 6, 7, 4, 5
011
3, 4, 5, 6, 7, 0, 1, 2
3, 2, 1, 0, 7, 6, 5, 4
100
4, 5, 6, 7, 0, 1, 2, 3
4, 5, 6, 7, 0, 1, 2, 3
101
5, 6, 7, 0, 1, 2, 3, 4
5, 4, 7, 6, 1, 0, 3, 2
110
6, 7, 0, 1, 2, 3, 4, 5
6, 7, 4, 5, 2, 3, 0, 1
111
0, 1, 2, 3, 4, 5, 6, 7
7, 6, 5, 4, 3, 2, 1, 0
2
4
8
BURST LENGTH & TYPE
Read and write accesses to the DDR SDRAM are burst oriented, with the burst length being programmable. The burst
length determines the maximum number of column locations that can be accessed for a given Read or Write command. Burst lengths of 2, 4 or 8 locations are available for both the sequential and the interleaved burst types.
Reserved states should not be used, as unknown operation or incompatibility with future versions may result.
When a Read or Write command is issued, a block of columns equal to the burst length is effectively selected. All
accesses for that burst take place within this block, meaning that the burst wraps within the block if a boundary is
reached. The block is uniquely selected by A1-Ai when the burst length is set to two, by A2-Ai when the burst length is
set to four and by A3-Ai when the burst length is set to eight (where Ai is the most significant column address bit for a
given configuration). The remaining (least significant) address bit(s) is (are) used to select the starting location within
the block. The programmed burst length applies to both Read and Write bursts.
Accesses within a given burst may be programmed to be either sequential or interleaved; this is referred to as the
burst type and is selected via bit A3. The ordering of accesses within a burst is determined by the burst length, the
burst type and the starting column address, as shown in Burst Definitionon Table
Rev. 0.1 / Jan. 2005
20
HY5DU283222AQP
CAS LATENCY
The Read latency or CAS latency is the delay in clock cycles between the registration of a Read command and the
availability of the first burst of output data. The latency can be programmed 3 or 4 clocks.
If a Read command is registered at clock edge n, and the latency is m clocks, the data is available nominally coincident
with clock edge n +m.
Reserved states should not be used as unknown operation or incompatibility with future versions may result.
DLL RESET
The DLL must be enabled for normal operation. DLL enable is required during power up initialization, and upon returning to normal operation after having disabled the DLL for the purpose of debug or evaluation. The DLL is automatically
disabled when entering self refresh operation and is automatically re-enabled upon exit of self refresh operation. Any
time the DLL is enabled, 200 clock cycles must occur to allow time for the internal clock to lock to the externally
applied clock before an any command can be issued.
OUTPUT DRIVER IMPEDANCE CONTROL
The HY5DU283222 supports both Half strength driver and Matched impedance driver, intended for lighter load and/or
point-to-point environments. Half strength driver is to define about 50% of Full drive strength which is specified to be
SSTL_2, Class II, and Matched impedance driver, about 30% of Full drive strength.
Rev. 0.1 / Jan. 2005
21
HY5DU283222AQP
EXTENDED MODE REGISTER SET (EMRS)
The mode register is used to store the various operating modes such as /CAS latency, addressing mode, burst length,
burst type, test mode, DLL reset. The mode register is program via MRS command. This command is issued by the low
signals of RAS, CAS, CS, WE and BA0. This command can be issued only when all banks are in idle state and CKE must
be high at least one cycle before the Mode Register Set Command can be issued. Two cycles are required to write the
data in mode register. During the the MRS cycle, any command cannot be issued. Once mode register field is determined, the information will be held until resetted by another MRS command.
BA1
BA0
0
1
A11
A10
A9
RFU*
BA0
MRS Type
0
MRS
1
EMRS
A8
A7
A6
A5
A4
DS
A3
A2
RFU*
A1
A0
DS
DLL
A0
DLL enable
0
Enable
1
Diable
A2
A6
A1
Output Driver Impedance Control
0
0
0
RFU*
0
0
1
Half (60%)
0
1
0
RFU*
0
1
1
Weak (40%)
1
0
0
RFU*
1
0
1
Semi Half (50%)
1
1
0
RFU*
1
1
1
Semi Weak (30%)
* All bits in RFU address fields must be programmed to Zero, all other states are reserved for future usage.
Rev. 0.1 / Jan. 2005
22
HY5DU283222AQP
FUNCTION DESCRIPTION
Burst Read and Burst Write
Burst Read and Burst Write commands are initiated as listed in Fig.1. Before the Burst Read command, the bank must
be activated earlier. After /RAS to /CAS delay (tRCD), read operation starts. DDR SDRAM has been implemented with
Data Strobe signal (DQS) which toggles high and low during burst with the same frequency as clock (CLK, /CLK). After
CAS Latency (CL) which is defined as the interval between command clock and the first rising edge of the DQS, read
data is launched onto data pin (DQ) with reference to DQS signal edge. Burst Write command in another bank can be
given with having activated that bank where /RAS to /RAS delay (tRRD) is satisfied. Write data is also referenced and
aligned to the DQS signal sent from the memory controller. Since all read operation bursts data out at both the rising
and the falling of the DQS, double data bandwidth can be achieved, also for write data.
Fig.1. Burst Read and Burst Write
/CLK
CLK
CKE
tRRD
/CS
tRCD
CL
RA, CA
Row_A
Col_A
Row_B
Col_B
AP
Row_A
No PCG
Row_B
AutoPCG
BA
Bank 0
Bank 0
Bank 1
Bank 1
Activate
Bank 0
Read
Bank 0
Activate
Bank 1
Write Bank 1
w/ Autopcg
/RAS
/CAS
/WE
DM
DQS
DQ
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
A0 A1 A2 A3
B0 B1 B2 B3
Bank 0 Data-out
Bank 1 Data-in
23
HY5DU283222AQP
Burst Read followed by Burst Read
Back to back read operation in the same or different bank is possible as shown in Fig.2. Following first Read command,
consecutive Read command can be initiated after BL/2 ticks of clock. In other words, minimum earliest possible Read
command that does note interrupt the previous read data, can be issued after BL/2 clock is met. When Read(B) data
out starts, data strobe signal does not transit to Hi-Z but toggle high and low for Read(B) data.
Fig.2. Burst Read followed by Burst Read
/C L K
CLK
CMD
R E AD (A)
R E AD (B )
DQS
DQ
A0
A1
A2
A3
B0
B1
B2
B3
R EAD (B) data out starts
B urst length =4, C A S latency =2
Burst Write followed by Burst Write
Back to back write operation in the same or different bank is possible as shown in Fig.3. Following first Write command, consecutive Write command can be initiated after BL/2 ticks of clock. In other words, minimum earliest possible
Write command that does note interrupt the previous write data, can be issued after BL/2 clock is met. When Write(B)
data in starts, data strobe signal does not transit to Hi-Z but toggle high and low for Write(B) data. Though the timing
shown in Fig.3. is based on tDQSS=0.75*tCK, minimum number of clock of BL/2 for back to back write can be applied
when tDQSS=1.25*tCK.
Fig.3. Burst Write followed by Burst Write
/CLK
CLK
CMD
WRITE (A)
WRITE (B)
tDQSS
DQS
DQ
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
A0
A1
A2
A3
B0
B1
B2
B3
W RITE(B) data in starts
24
HY5DU283222AQP
Burst Read followed by Burst Write
Back to back read followed by write operation in the same or different bank is possible as shown in Fig.4. Following
first Read command, consecutive Write command can be initiated after RU{CL+BL/2} ticks of clock. (RU=Round Up for
half cycle of CAS latency, such as 1.5 and 2.5). In other words, minimum earlist possible Write command that does not
interrupt the previous read data can be issued after RU{CL+BL/2} clock is met.
Fig.4. Burst Read followed by Burst Write
/CLK
CLK
CMD
R E AD (A)
W R ITE (B )
DQS
DQ
A0
A1
A2
A3
B0
B1
B2
B3
B urst length =4, C A S latency =2
Burst Write followed by Burst Read
Back to back write followed by read operation in the same or different bank is possible as shown in Fig.5. Following
first Write command, consecutive Read command can be initiated after (BL/2+1+tDRL) ticks of clock. In other words,
minimum earlist possible Read command that does not interrupt the previous write data can be issued after (BL/
2+1+tDRL) clock is met.
Fig.5. Burst Write followed by Burst Read
/CLK
CLK
CMD
WRITE (A)
READ (B)
tDRL is counted with respect to CLK rising edge
after last falling edge of DQS and DQ data has elapsed
tDRL
DQS
DQ
A0
A1
A2
A3
B0
B1
B2
B3
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
25
HY5DU283222AQP
Burst Read terminated by another Burst Read
Read command terminates the previous Read command and the data is available after CAS latency for the new command. Minimum delay from a Read command to next Read command is determined by /CAS to /CAS delay (tCCD).
Timing diagram is shown in Fig.6.
Fig.6. Burst Read terminated by another Burst Read
/C LK
C LK
tC C D
CMD
R E AD (A)
R E AD (B )
DQS
DQ
A0
A1
B0
B1
B2
B3
R ead(A) is term inated and R ead(B ) data out starts
B urst length =4, C A S latency =2
Burst Write terminated by another Burst Write
Write command terminates the previous Write command and the data is available after CAS latency for the new command. Fastest Write command to next Write command is determined by /CAS to /CAS delay (tCCD). Timing diagram is
shown in Fig.7.
Fig.7. Burst Write terminated by another Burst Write
/C LK
C LK
tC C D
CMD
W R ITE (A) W R ITE (B )
DQ S
DQ
B urst length =4, CA S latency =2
Rev. 0.1 / Jan. 2005
A0
A1
B0
B1
B2
B3
W rite(A) is term inated and W rite(B) data in starts
26
HY5DU283222AQP
Burst Read terminated by another Burst Write
Write command terminates the previous Read command with the insertion of Burst Stop command that disables the
previous Read command. The Burst Stop command interrupts bursting read data and data strobe signal with the same
latency as CAS Latency (CL). The minimum delay for Write command after Burst Stop command is RU{CL} clocks irrespective BL. The Burst Stop command is valid for Read command only.
Fig.8. Burst Read terminated by another Burst Write
/CLK
CLK
tCCD
CMD
READ (A)
W RITE (B)
BST (A)
Burst DQS & DQ stop
DQ S
DQ
A0
A1
B0
B1
B2
B3
W rite data starts
Burst length =4, CAS latency =2
Burst Write terminated by another Burst Read
Read command terminates the previous Write command and the new burst read starts as shown in Fig.9. The minimum write to read command delay is 2 clock cycle irrespective of CL and BL. If input write data is masked by the Read
command, DQ and DQS input are ignored by the DDR SDRAM. It is illegal for a Read command to interrupt a Write
with autoprecharge command.
Fig.9. Burst Write terminated by another Burst Read
/CLK
CLK
CMD
WRITE (A)
READ (B)
DQS
Masked
DQ
A0
A1
A2
A3
B0
B1
B2
B3
DM
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
27
HY5DU283222AQP
Burst Read with Autoprecharge
If a Read with Autoprecharge command is detected by memory component in CLK(n), then there will be no commands
presented to this bank until CLK(n+BL/2+tRP). Internal precharging action will happen in CLK(n+BL/2).
Fig.10. Burst Read with Autoprecharge
/C L K
CLK
B L/2 + tR P
CMD
R E AD (A )
AC T
w / Autop cg
DQS
E arly term ination is illegal here
DQ
A0
A1
A2
A3
B urst length =4, C A S latency =2
Burst Write with Autoprecharge
If a Write with Autoprecharge command is detected by memory component in CLK(n), then there will be no commands
presented to this bank until CLK(n+BL/2+1+tDPL+tRP). Last Data in to Precharge delay time (tDPL) is needed to
guarantee the last data has been written. tDPL is measured with respect to rising edge of clock where last falling edge
of data strobe (DQS) and DQ data has elapsed. Internal precharging action will happen in CLK(n+BL/2+1+tWR) as
shown in Fig.11.
Fig.11. Burst Write with Autoprecharge
/CLK
CLK
tD P L
CMD
W R IT E (A)
tR P
AC T
w / Au to p cg
DQS
DQ
A0
A1
A2
A3
B urst length =4, C A S latency =2
Rev. 0.1 / Jan. 2005
28
HY5DU283222AQP
Precharge command after Burst Read
The earlist Precharge command can be issued after Read command without the loss of data is BL/2 clocks. The Precharge command can be given as soon as tRAS time is met. Fig.12 shows the earlist possible Precharge command can
be issued for CL=2 and BL=4.
Fig.12. Precharge command after Burst Read
/C LK
C LK
tRP
CM D
R E AD (A)
PR EC HG
AC T
DQ S
DQ
A0
A1
A2
A3
Earliest precharge tim e without losing read data
Burst length =4, C AS latency =2
Precharge command after Burst Write
The earliest Precharge command can be issued after Write command without the loss of data is (BL/2+1+tDPL) ticks
of clocks. The Precharge command can be given as soon as tRAS time is met. Fig.13 shows the earliest possible Precharge command can be issued for CL=2 and BL=4.
Fig.13. Precharge command after Burst Write
/CLK
CLK
tRP
CMD
W RITE (A)
PRECHG
ACT
tDPL
Issuing precharge here allows
completion of entire burst write
DQS
DQ
A0
A1
A2
A3
tDPL is counted with respect to CLK rising edge
after last falling edge of DQS and DQ data has elapsed
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
29
HY5DU283222AQP
Precharge termination of Burst Read
The Burst Read (with no Autoprecharge) can be terminated earlier using a Precharge command as shown in Fig.14.
This terminates read data when the remaining elements are not needed. It allows starting precharge early. The Precharge command can be issued any time after Burst Read command when tRAS time is met. Activation or other commands can be initiated after tRP time.
Fig.14. Precharge termination of Burst Read
/CLK
CLK
tRP
CMD
READ (A)
PRECHG
ACT
DQS
DQ
A0
A1
Precharge time can be issued here with tRASmin being met
Burst length =4, CAS latency =2
Precharge termination of Burst Write
The Burst Write (with no Autoprecharge) can be terminated earlier using a Precharge command along with the Write
Mask (DM) as shown in Fig.15. This terminates write data when the remaining elements are not needed. It allows
starting precharge early. Precharge command can be issued after Last Data in to Precharge delay time (tDPL). tDPL is
measured with respect to rising edge of clock where last falling edge of data strobe (DQS) and DQ data has elapsed.
DM should be used to mask the remaining data (A2 and A3 for this case). tRAS time must be met to issue the Precharge command.
Fig.15. Precharge termination of Burst Write
/CLK
CLK
CMD
W RITE (A)
AC T
PREC HG
tDQ SS
tDPL
tR P
DQ S
M asked
DQ
DM
A0
A1
A2
tDPL is counted with respect to CLK rising edge
after last falling edge of DQ S and DQ data has elapsed
A3
W rite burst is term inated early. DM is asserted
to prevent locations of A2 and A3
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
30
HY5DU283222AQP
DM masking (Write)
DM command masks burst write data with reference to data strobe signal and it is not related with read data. DM command can be initiated at both the rising edge and the falling edge of the DQS. DM latency for write operation is zero.
For x16 data I/O, DDR SDRAM is equipped with LDM and UDM which control lower byte (DQ0~DQ7) and upper byte
(DQ8~DQ15) respectively.
Fig.16. DM masking (Write)
/CLK
CLK
CMD
WRITE (A)
tDQSS
DQS
Masked
DQ
A0
Masked
A1
A2
A3
DM
DM can mask write data with reference to DQS
DM write latency = 0
Burst length =4, CAS latency =2
Burst Stop command (Read)
When /CS=L, /RAS=H, /CAS=H and /WE=L, DDR SDRAM enter into Burst Stop mode, which bursts stop read data and
data strobe signal with reference to clock signal. BST command can be initiated at the rising edge of the clock as other
commands do. BST command is valid for read operation only. BST latency for read operation is the same as CL.
Fig.17. Burst Stop command (Read)
/C L K
CLK
CMD
R E AD (A )
B S T (A)
B u rst D Q S & D Q sto p
DQS
DQ
A0
A1
B urst length =4, C A S latency =2
Rev. 0.1 / Jan. 2005
31
HY5DU283222AQP
Auto Refresh and Precharge All command
When /CS=L, /RAS=L, /CAS=L and /WE=H, DDR SDRAM enter into Auto Refresh mode, which executes refresh operation with internal address increment. AREF command can be initiated at the rising edge of the clock as other commands do. Before entering Auto Refresh mode, all banks must be in a precharge state and AREF command can be
issued after tRP period from Precharge All command.
Fig.18. Auto Refresh and Precharge All command
≈
/CLK
CLK
tRC = tRAS + tRP
tRP
PRECHG
AUTOREF
≈
CMD
ACT
Precharge all
Hi-Z
≈
DQS
DQ
≈
Held High
CKE
Self Refresh Entry and Exit
When CKE=L, /CS=L, /RAS=L, /CAS=L and /WE=H, DDR SDRAM enter into Self Refresh mode, which executes self
refresh operation with internal address increment. Before issuing Self Refresh command, all banks must be in a precharge state and CKE must be low. SREF command can be initiated at the rising edge of the clock as other commands
do. Because the clock buffer and internal DLL circuit are disabled during self refresh state, Self Refresh Exit (SREX)
should guarantee the stable input clock. Therefore, a minimum of 200 cycles of stable input clock, where CKE is held
high, is required to lock the internal DLL circuit of DDR SDRAM. A minimum tPDEX (Power Down Exit Time) must be
met before entering SREX command.
Fig.19. Self Refresh Entry and Exit
≈
≈
/CLK
CLK
SR EF
Precharge all
D ESL
SR EX
≈
PR EC H G
≈
CM D
AC T
M in. 200 clock cycles
tXSC
≈
Rev. 0.1 / Jan. 2005
≈
CKE
tPD EXm in
32
HY5DU283222AQP
Power Down mode
A Power Down mode can be achieved by asserting CKE=L as shown in Fig.20. There are two kinds of Power Down
mode: 1. Active and 2. Precharge Power Down mode. The device must be in idle state and all banks must be closed
before CKE assertion in Precharge Power Down mode. Active Power Down mode can be initiated in row active state.
The device will exit Power Down mode when CKE is sampled high at the rising edge of the clock.
Fig.20. Power Down mode
≈
/C LK
C LK
PR EC H G
≈
CMD
PD EN
AC T
≈
CK E
PD EX
N ew com m and can be issued after Power D own exit
Precharge Power Down M ode
CKE function
Since clock suspend mode in SDR SDRAM cannot be used in DDR SDRAM, it is illegal to issue CKE=L during read or
write burst.
Fig.21. CKE function
/CLK
CLK
CMD
READ (A)
WRITE (B)
DQS
DQ
A0
A1
A2
A3
B0
B1
B2
B3
CKE
Transition of CKE(to Low) is illegal during Burst Read and W rite
Burst length =4, CAS latency =2
Rev. 0.1 / Jan. 2005
33
HY5DU283222AQP
ABSOLUTE MAXIMUM RATINGS
Parameter
Symbol
Rating
Unit
Ambient Temperature
TA
0 ~ 70
oC
Storage Temperature
TSTG
-55 ~ 125
oC
VIN, VOUT
-0.5 ~ 3.6
V
VDD
-0.5 ~ 3.6
V
VDDQ
-0.5 ~ 3.6
V
Output Short Circuit Current
IOS
50
mA
Power Dissipation
PD
1
W
TSOLDER
260 ⋅ 10
Voltage on Any Pin relative to VSS
Voltage on VDD relative to VSS
Voltage on VDDQ relative to VSS
Soldering Temperature ⋅ Time
o
C ⋅ sec
Note : Operation at above absolute maximum rating can adversely affect device reliability
DC OPERATING CONDITIONS
Parameter
(TA=0 to 70oC, Voltage referenced to VSS = 0V)
Symbol
Min
Typ.
Max
Unit
Power Supply Voltage
VDD
2.375
2.5
2.625
V
Power Supply Voltage
VDDQ
2.375
2.5
2.625
V
Input High Voltage
VIH
VREF + 0.15
-
VDDQ + 0.3
V
Input Low Voltage
VIL
-0.3
-
VREF - 0.15
V
Termination Voltage
VTT
VREF - 0.04
VREF
VREF + 0.04
V
Reference Voltage
VREF
0.49*VDDQ
0.5*VDDQ
0.51*VDDQ
V
Note
1
2
3
Note :
1. VDDQ must not exceed the level of VDD.
2. VIL (min) is acceptable -1.5V AC pulse width with ≤ 5ns of duration.
3. VREF is expected to be equal to 0.5*VDDQ of the transmitting device, and to track variations in the dc level of the same.
Peak to peak noise on VREF may not exceed ± 2% of the dc value.
DC CHARACTERISTICS I
Parameter
(TA=0 to 70oC, Voltage referenced to VSS = 0V)
Symbol
Min.
Max
Unit
Note
Input Leakage Current
ILI
-5
5
uA
1
Output Leakage Current
ILO
-5
5
uA
2
Output High Voltage
VOH
VTT + 0.76
-
V
IOH = -15.2mA
Output Low Voltage
VOL
-
VTT - 0.76
V
IOL = +15.2mA
Note : 1. VIN = 0 to 3.6V, All other pins are not tested under VIN =0V. 2. DOUT is disabled, VOUT=0 to 2.7V
Rev. 0.1 / Jan. 2005
34
HY5DU283222AQP
DC CHARACTERISTICS II
(TA=0 to 70oC, Voltage referenced to VSS = 0V)
Speed
Parameter
Symbol
Test Condition
Unit Note
33
Operating Current
ICC1
36
4
5
Burst length=4, One bank active
tRC ≥ tRC(min), IOL=0mA
240
210
mA
1
Precharge Standby Current
in Power Down Mode
ICC2P
CKE ≤ VIL(max), tCK = min
30
20
mA
Precharge Standby Current
in Non Power Down Mode
ICC2N
CKE ≥ VIH(min), CS ≥ VIH(min),
tCK = min, Input signals are changed
one time during 2clks
90
80
mA
Active Standby Current
in Power Down Mode
ICC3P
CKE ≤ VIL(max), tCK = min
35
25
mA
Active Standby Current
in Non Power Down Mode
ICC3N
CKE ≥ VIH(min), CS ≥ VIH(min),
tCK = min, Input signals are changed
one time during 2clks
130
100
mA
450
370
mA
1
270
mA
1,2
3
mA
Burst Mode Operating
Current
ICC4
tCK ≥ tCK(min), IOL=0mA
All banks active
Auto Refresh Current
ICC5
tRC ≥ tRFC(min),
All banks active
Self Refresh Current
ICC6
CKE ≤ 0.2V
Note :
1. ICC1, ICC4 and ICC5 depend on output loading and cycle rates. Specified values are measured with the output open.
2. Min. of tRFC (Auto Refresh Row Cycle Time) is shown at AC CHARACTERISTICS.
Rev. 0.1 / Jan. 2005
35
HY5DU283222AQP
AC OPERATING CONDITIONS (TA=0 to 70oC, Voltage referenced to VSS = 0V)
Parameter
Symbol
Min
Max
Input High (Logic 1) Voltage, DQ, DQS and DM signals
VIH(AC)
VREF + 0.45
Input Low (Logic 0) Voltage, DQ, DQS and DM signals
VIL(AC)
Input Differential Voltage, CK and /CK inputs
VID(AC)
Input Crossing Point Voltage, CK and /CK inputs
VIX(AC)
Unit
Note
V
VREF - 0.45
V
0.7
VDDQ + 0.6
V
1
0.5*VDDQ-0.2
0.5*VDDQ+0.2
V
2
Note :
1. VID is the magnitude of the difference between the input level on CK and the input on /CK.
2. The value of VIX is expected to equal 0.5*VDDQ of the transmitting device and must track variations in the DC level of the same.
AC OPERATING TEST CONDITIONS (TA=0 to 70oC, Voltage referenced to VSS = 0V)
Parameter
Value
Unit
Reference Voltage
VDDQ x 0.5
V
Termination Voltage
VDDQ x 0.5
V
AC Input High Level Voltage (VIH, min)
VREF + 0.45
V
AC Input Low Level Voltage (VIL, max)
VREF - 0.45
V
Input Timing Measurement Reference Level Voltage
VREF
V
Output Timing Measurement Reference Level Voltage
VTT
V
Input Signal maximum peak swing
1.5
V
Input minimum Signal Slew Rate
1
V/ns
Termination Resistor (RT)
50
Ω
Series Resistor (RS)
25
Ω
TBD
pF
Output Load Capacitance for Access Time Measurement (CL)
Rev. 0.1 / Jan. 2005
36
HY5DU283222AQP
AC Overshoot/Undershoot specifications for Address and Command pins
Parameter
Specifications
Maximum peak amplitude allowwed for overshoot
1.5 V
Maximum peak amplitude allowwed for undershoot
1.5 V
The area between the overshoot signal and VDD must be less than or equal to(See below Fig)
4.5 V-nS
The area between the overshoot signal and GND must be less than or equal to(See below Fig)
4.5 V-nS
+5
Volt
(v) + 4
Max. Amplitude = 1.5v
+3
VDD
+2
+1
0
Ground
-1
Max. area = 4.5v-nS
-2
-3
0
1
2
3
Time(nS)
4
5
6
AC Overshoot/Undershoot specifications for Data, Strobe and Mask Pins
Parameter
Specifications
Maximum peak amplitude allowwed for overshoot
1.2 V
Maximum peak amplitude allowwed for undershoot
1.2 V
The area between the overshoot signal and VDD must be less than or equal to(See below Fig)
2.4 V-nS
The area between the overshoot signal and GND must be less than or equal to(See below Fig)
2.4 V-nS
+5
Volt
(v) + 4
Max. Amplitude = 1.2v
+3
VDD
+2
+1
0
Ground
-1
Max. area = 2.4 v-nS
-2
-3
0
Rev. 0.1 / Jan. 2005
1
2
3
4
Time(nS)
5
6
37
HY5DU283222AQP
AC CHARACTERISTICS (AC operating conditions unless otherwise noted)
Parameter
Symbol
33
36
4
5
Min
Max
Min
Max
Min
Max
Min
Max
Unit Note
Row Cycle Time
tRC
49.5
-
50.4
-
52
-
50
-
ns
Auto Refresh Row Cycle Time
tRFC
56.1
-
57.6
-
60
-
60
-
ns
Row Active Time
tRAS
29.7
-
32.4
-
32
-
35
-
ns
Row Address to Column Address Delay
for Read
tRCDRD
6
-
5
-
5
-
4
-
CK
Row Address to Column Address Delay
for Write
tRCDWR
2
-
2
-
2
-
2
-
CK
Row Active to Row Active Delay
tRRD
3
-
3
-
3
-
3
-
CK
Column Address to Column Address
Delay
tCCD
1
-
1
-
1
-
1
-
CK
Row Precharge Time
tRP
6
-
5
-
5
-
4
-
CK
Last Data-In to Precharge Delay Time
(Write Recovery Time : tWR)
tDPL
3
-
3
-
3
-
2
-
CK
Last Data-In to Read Command
tDRL
2
-
2
-
2
-
2
-
CK
Auto Precharge Write Recovery +
Precharge Time
tDAL
9
-
8
-
8
-
6
-
CK
3.3
6
3.6
6
4
10
-
-
ns
-
-
-
-
-
-
5
10
ns
System Clock Cycle Time
CL = 4
CL = 3
tCK
Clock High Level Width
tCH
0.45
0.55
0.45
0.55
0.45
0.55
0.45
0.55
CK
Clock Low Level Width
tCL
0.45
0.55
0.45
0.55
0.45
0.55
0.45
0.55
CK
Data-Out edge to Clock edge Skew
tAC
0.7
-
0.7
-
0.7
-
0.7
-
ns
DQS-Out edge to Clock edge Skew
tDQSCK
0.7
-
0.7
-
0.7
-
0.7
-
ns
DQS-Out edge to Data-Out edge Skew
tDQSQ
0.4
-
0.4
-
0.4
-
0.4
-
ns
Data-Out hold time from DQS
tQH
tHPtQHS
-
tHPtQHS
-
tHPtQHS
-
tHPtQHS
-
ns
1,6
Clock Half Period
tHP
tCH/L
min
-
tCH/L
min
-
tCH/L
min
-
tCH/L
min
-
ns
1,5
Data Hold Skew Factor
tQHS
0.45
-
0.45
-
0.45
-
0.45
-
ns
6
Input Setup Time
tIS
0.75
-
0.75
-
0.75
-
0.75
-
ns
2
Input Hold Time
tIH
0.75
-
0.75
-
0.75
-
0.75
-
ns
2
Write DQS High Level Width
tDQSH
0.4
0.6
0.4
0.6
0.4
0.6
0.4
0.6
CK
Write DQS Low Level Width
tDQSL
0.4
0.6
0.4
0.6
0.4
0.6
0.4
0.6
CK
Rev. 0.1 / Jan. 2005
38
HY5DU283222AQP
Parameter
Symbol
33
36
4
5
Min
Max
Min
Max
Min
Max
Min
Max
Unit Note
Clock to First Rising edge of DQS-In
tDQSS
0.75
1.25
0.75
1.25
0.75
1.25
0.75
1.25
CK
Data-In Setup Time to DQS-In (DQ &
DM)
tDS
0.4
-
0.4
-
0.4
-
0.45
-
ns
3
Data-In Hold Time to DQS-In (DQ & DM) tDH
0.4
-
0.4
-
0.4
-
0.45
-
ns
3
DQS falling edge to CK setup time
tDSS
0.2
-
0.2
-
0.2
-
0.2
-
CK
DQS falling edge hold time from CK
tDSH
0.2
-
0.2
-
0.2
-
0.2
-
CK
Read DQS Preamble Time
tRPRE
0.8
1.1
0.8
1.1
0.8
1.1
0.8
1.1
CK
Read DQS Postamble Time
tRPST
0.4
0.6
0.4
0.6
0.4
0.6
0.4
0.6
CK
Write DQS Preamble Setup Time
tWPRES
0
-
0
-
0
-
0
-
ns
Write DQS Preamble Hold Time
tWPREH
1.5
-
1.5
-
1.5
-
1.5
-
ns
Write DQS Postamble Time
tWPST
0.4
0.8
0.4
0.8
0.4
0.8
0.4
0.8
CK
Mode Register Set Delay
tMRD
2
-
2
-
2
-
2
-
CK
Exit Self Refresh to Any Execute
Command
tXSC
200
-
200
-
200
-
200
-
CK
Average Periodic Refresh Interval
tREFI
-
7.8
-
7.8
-
7.8
-
7.8
us
4
Note :
1.
This calculation accounts for tDQSQ(max), the pulse width distortion of on-chip circuit and jitter.
2.
Data sampled at the rising edges of the clock : A0~A11, BA0~BA1, CKE, CS, RAS, CAS, WE.
3.
Data latched at both rising and falling edges of Data Strobes(DQS) : DQ, DM(0~3).
4.
Minimum of 200 cycles of stable input clocks after Self Refresh Exit command, where CKE is held high, is required to complete
Self Refresh Exit and lock the internal DLL circuit of DDR SDRAM.
5.
Min (tCL, tCH) refers to the smaller of the actual clock low time and the actual clock high time as provided to the device (i.e. this
value can be greater than the minimum specification limits for tCL and tCH).
6.
tHP = minimum half clock period for any given cycle and is defined by clock high or clock low (tCH, tCL). tQHS consists of
tDQSQmax, the pulse width distortion of on-chip clock circuits, data pin to pin skew and output pattern effects, and p-channel to
n-channel variation of the output drivers.
7.
DQS, DM and DQ input slew rate is specified to prevent double clocking of data and preserve setup and hold times. Signal transitions through the DC region must be monotonic.
Rev. 0.1 / Jan. 2005
39
HY5DU283222AQP
CAPACITANCE (TA=25oC, f=1MHz )
Parameter
Pin
Symbol
Min
Max
Unit
Input Clock Capacitance
CK, CK
CCK
1.7
2.7
pF
Input Capacitance
All other input-only pins
CIN
1.7
2.7
pF
Input / Output Capacitance
DQ, DQS, DM
CIO
3.7
4.7
pF
Note :
1. VDD = min. to max., VDDQ = 2.3V to 2.7V, VODC = VDDQ/2, VOpeak-to-peak = 0.2V
2. Pins not under test are tied to GND.
3. These values are guaranteed by design and are tested on a sample basis only.
OUTPUT LOAD CIRCUIT
VTT
VTT
RT=50Ω
RT=50Ω
Output
RS=25Ω
Zo=50Ω
VREF
CL=30pF
Rev. 0.1 / Jan. 2005
40
HY5DU283222AQP
Timing Diagram
Data Input (Write) Timing (BL=4)
tDQSL
tDQSH
DQS
tDH
tDS
DQ
DI n
tDH
tDS
DM
DI n = Data in for column n
3 subsequent elements of data in are applied in the programmed order following DI n
Don’t care
Data Output (Read) Timing (BL=4)
/CK
CK
tDQSCK max
DQS
tQH
DQ n
DQ
tDQSQ and tQH are only shown once, and are shown referenced to different edges of DQS, only for clarify of illustration.
tDQSQ and tQH both apply to each of the four relevant edges of DQS.
tQHmin = tHPmin - X where ;
tHP = minimum half clock period for any given cycle and is defined by clock high or clock low (tCH, tCL)
X consists of tDQSQmax, the pulse width distortion of on-chip clock circuits, data pin to pin skew and output pattern
effects, and p-channel to n-channel variation of the output drivers.
Rev. 0.1 / Jan. 2005
41
HY5DU283222AQP
Power Down Mode
tCH
tCK
tCL
~
~
/CK
CK
tIS
tIH
tIS
tIH
tIS
tIS
COMMAND
VALID*
NOP
VALID
tIH
~
~
tIS
NOP
~
~
~
~
CKE
ADDR
VALID
~
~
VALID
~
~
DQS
~
~
DQ
DM
Enter
Power-Down
Mode
Exit
Power-Down
Mode
Don’t Care
No column accesses are allowed to be in progress at the time Power-Down is entered.
* = If this command is a PRECHARGE (or if the device is already in the idle state) then the Power-Down
mode shown is Precharge Power Down. If this command is an ACTIVE (or if at least one row is already active)
then the Power-Down mode shown is Active Power Down.
Rev. 0.1 / Jan. 2005
42
HY5DU283222AQP
Auto Refresh Mode
tCK
tCH
tCL
tIS
tIH
CKE
VALID
~
~
~
~
VALID
PRE
NOP
NOP
AR
NOP
ACT
NOP
~
~
ADDR
NOP
AR
RA
~
~
ALL BANKS
~
~
NOP
~
~
COMMAND
~
~
tIH
~
~
tIS
~
~
CK
~
~
/CK
AP
RA
ONE BANK
~
~
tIH
~
~
tIS
BA
~
~
*Bank(s)
~
~
BA0,BA1
~
~
DQ
~
~
~
~
~
~
DQS
DM
tRP
tRFC
tRFC
Don’t Care
* = “ Don’t Care ”, if AP is High at this point ; AP must be High if more than one bank is active ( i.e., must precharge all active banks)
PRE = Precharge, ACT = Active, RA = Row Address, BA = Bank Address, AR = Autorefresh.
NOP commands are shown for ease of illustration ; other valid commands may be possible at these times.
DM, DQ and DQS signals are all “Don’t Care” / High-Z for operation shown.
Rev. 0.1 / Jan. 2005
43
HY5DU283222AQP
Self Refresh Mode
tCK
tCH
clock must be stable before
exiting Self Refresh mode
tCL
~
~
~
~
/CK
CK
tIH
tIS
tIH
tIS
tIS
~
~
tIS
NOP
AR
~
~
COMMAND
~
~
~
~
CKE
NOP
VALID
tIH
VALID
~
~
~
~
ADDR
~
~
~
~
tIS
~
~
~
~
DQS
~
~
~
~
DQ
DM
tXSNR/
tXSRD**
tRP*
Enter
Self Refresh
Mode
Exit
Self Refresh
Mode
Don’t Care
* = Device must be in the “All banks idle” state prior to entering Self Refresh mode
** = tXSNR is required before any non-READ command can be applied, and tXSRD (200 cycles of CK)
are required before a READ command can be applied.
Rev. 0.1 / Jan. 2005
44
HY5DU283222AQP
Read Without Auto Precharge
tCK
tCH
tCL
/
/CK
CK
tIS
tIH
tIS
tIH
tIH
CKE
CMD
NOP
NOP
READ
tIS
CA, RA
NOP
PRE
ACT
NOP
VALID
VALID
VALID
NOP
NOP
NOP
tIH
Col n
RA
RA
RA
tIS
tIH
ALL BANKS
AP
RA
tIS
BA0,BA1
ONE BANK
tIH
*Bank x
Bank x
Bank x
CL = 2
tRP
DM
Case 1:
tAC/tDQSCK=min
tDQSCK
min
tRPRE
tRPST
DQS
tLZ
min
tHZ
min
Do
n
DQ
tLZ
min
tAC
min
Case 2:
tAC/tDQSCK=max
tDQSCK
max
tRPRE
tRPST
DQS
tLZ
max
tHZ
max
Do
n
DQ
tLZ
max
tAC
max
Don’t Care
DO n = Data Out from column n
Burst Length = 4 in the case shown
3 subsequent elements of Data Out are provided in the programmed order following DO n
DIS AP = Disable Autoprecharge
* = “Don’t Care”, if AP is HIGH at this point
PRE = PRECHARGE, ACT = ACTIVE, RA = Row Address, BA = Bank Address
NOP commands are shown for ease of illustration ; other commands may be valid at these times
Rev. 0.1 / Jan. 2005
45
HY5DU283222AQP
Read With Auto Precharge
tCK
tCH
tCL
/CK
CK
tIS
tIH
tIH
CKE
tIS
CMD
VALID
VALID
VALID
NOP
NOP
NOP
tIH
tIS
CA, RA
NOP
NOP
READ
NOP
NOP
ACT
NOP
tIH
Col n
RA
RA
RA
EN AP
AP
RA
tIS
BA0,BA1
tIH
Bank x
Bank x
tRP
CL = 2
DM
Case 1:
tAC/tDQSCK=min
tDQSCK
min
tRPRE
tRPST
DQS
tHZ
min
tLZ
min
Do
n
DQ
tLZ
min
Case 2:
tAC/tDQSCK=max
tAC
min
tQPST
tDQSCK
max
tRPRE
tRPST
DQS
tLZ
max
tHZ
max
Do
n
DQ
tLZ
max
tAC
max
Don’t Care
DO n = Data Out from column n
Burst Length = 4 in the case shown
3 subsequent elements of Data Out are provided in the programmed order following DO n
EN AP = Enable Autoprecharge, ACT = ACTIVE, RA = Row Address
NOP commands are shown for ease of illustration ; other commands may be valid at these times
Rev. 0.1 / Jan. 2005
46
HY5DU283222AQP
Bank Read Access
tCH
tCK
tCL
/CK
CK
tIS
tIH
CKE
CMD
NOP
ACT
tIS
NOP
NOP
NOP
READ
PRE
NOP
NOP
NOP
ACT
tIH
RA, CA
RA
RA
RA
Col n
RA
RA
All Bank
tIS tIH
RA
RA
AP
tIS
BA0,BA1
tIH
Bank x
DIS AP
One Bank
Bank x
Bank x
Bank x
tRC
tRAS
tRCD
CL=2
tRP
DM
Case1:
tAC/tDQSCK=min
tDQSCK min
tRPST
tRPRE
DQS
tHZ min
tLZ min
DQ
DQ n
tAC min
tLZ min
CASE2 :
tAC/tDQSCK=max
tDQSCK max
tRPST
tRPRE
DQS
tHZ max
tLZ max
DQ
DQ n
tLZ max
tAC max
DQ n = Data out from column n
Burst length = 4 in the case shown
3 subsequent elements of Data out are provided in the programmed order following DQ n
DIS AP = Disable Autoprecharge
* = * “ Don’t Care”, if AP is high at this point
PRE = Precharge, ACT=Active, RA=Row Address, BA=Bank Address
NOP commands are shown for ease of illustration; other commands may be valid at these times
Note that tRCD > tRCD min so that the same timing applies if Autoprecharge is enabled (in which case tRAS would be limiting)
Rev. 0.1 / Jan. 2005
Don’t care
47
HY5DU283222AQP
Write Without Auto Precharge
tCH
tCK
tCL
/CK
CK
tIS
tIH
CKE
CMD
Valid
NOP
Write
tIS
RA, CA
NOP
NOP
NOP
NOP
PRE
NOP
NOP
ACT
tIH
RA
Col n
RA
RA
tIS tIH
All Bank
DIS AP
One Bank
RA
AP
tIS
BA0,BA1
tIH
Bank x
Bank x
Case 1 :
tDQSS = min
BA
tRP
tDSH
tDQSS
tDPL
tWPST
tDQSH
DQS
tWPRES
tDQSL
tWPRE
DI
n
DQ
DM
Case 2 :
tDQSS = max
tDSS
tDQSS
tDQSH
tDSS
tWPST
DQS
tWPRES
tWPRE
tDQSL
DI
n
DQ
DM
DI n = Data in for column n
Burst length = 4 in the case shown
3 subsequent elements of Data In are provided in the programmed order following DI n
DIS AP = Disable Autoprecharge
* = * “ Don’t Care”, if AP is high at this point
PRE = Precharge, ACT=Active, RA=Row Address, BA=Bank Address
Don’t care
NOP commands are shown for ease of illustration; other valid commands may be possible at these times
Rev. 0.1 / Jan. 2005
48
HY5DU283222AQP
Write With Auto Precharge
tCK
tCH
tCL
/CK
CK
tIS
tIH
CKE
VALID
CMD
WRITE
NOP
tIS
RA, CA
NOP
NOP
NOP
NOP
VALID
VALID
NOP
NOP
NOP
ACT
tIH
RA
Col n
RA
RA
EN AP
RA
AP
tIS
BA0,BA1
tIH
BA
Bank x
tDSH
Case 1 :
tDQSS = min
tDQSS
tDAL
tWPST
tDQSH
DQS
tWPRES
tDQSL
tWPRE
DI
n
DQ
DM
Case 2 :
tDQSS = max
tDSS
tDSS
tDQSS
tDQSH
tWPST
DQS
tWPRES
tWPRE
tDQSL
DI
n
DQ
DM
DI n = Data in for column n
Burst length = 4 in the case shown
3 subsequent elements of Data In are applied in the programmed order following Data In
EN AP = Enable Autoprecharge
* = * “ Don’t Care”, if AP is high at this point
ACT=Active, RA=Row Address, BA=Bank Address
Don’t care
NOP commands are shown for ease of illustration; other valid commands may be possible at these times
Rev. 0.1 / Jan. 2005
49
HY5DU283222AQP
Bank Write Access
tCK
tCH
tCL
/CK
CK
tIS
tIH
CKE
CMD
ACT
NOP
tIS
RA, CA
NOP
NOP
RA
RA
AP
RA
tIS
BA0,BA1
NOP
NOP
PRE
Col n
RA
tIS
NOP
NOP
WRITE
tIH
tIH
All Banks
One bank
DIS AP
tIH
Bank x
Bank x
Bank x
tRAS
tRCD
tDPL
tDSH
Case 1 :
tDQSS = min
tDQSS
tWPST
tDQSH
DQS
tWPRES
tDQSL
tWPRE
DQ
DI
n
DM
Case 2 :
tDQSS = max
tDSS
tDQSS
tDSS
tDQSH
tWPST
DQS
tWPRES
tWPRE
tDQSL
DI
n
DQ
DM
DI n = Data in for column n
Burst length = 4 in the case shown
3 subsequent elements of Data In are applied in the programmed order following Data In
DIS AP = Disable Autoprecharge
* = * “ Don’t Care”, if AP is high at this point
PRE=Precharge, ACT=Active, RA=Row Address
Don’t care
NOP commands are shown for ease of illustration; other valid commands may be possible at these times
Rev. 0.1 / Jan. 2005
50
HY5DU283222AQP
Write DM Operation
tCK
tCH
tCL
/CK
CK
tIS
tIH
CKE
VALID
CMD
NOP
WRITE
tIS
RA, CA
NOP
NOP
NOP
NOP
PRE
NOP
NOP
ACT
tIH
RA
Col n
RA
RA
tIS
All Banks
tIH
RA
AP
DIS AP
tIS tIH
BA0,BA1
One Bank
Bank x
Bank x
tDSH
Case 1 :
tDQSS = min
tDPL
tDQSS
BA
tRP
tWPST
tDQSH
DQS
tWPRES
tDQSL
tWPRE
DI
n
DQ
DM
Case 2 :
tDQSS = max
tDSS
tDSS
tDQSS
tDQSH
tWPST
DQS
tWPRES
tWPRE
tDQSL
DI
n
DQ
DM
Don’t care
DI n = Data in for column n
Burst length = 4 in the case shown
3 subsequent elements of Data In are applied in the programmed order following Data In
(the second element of the four is masked)
DIS AP = Enable Autoprecharge
* = * “ Don’t Care”, if AP is high at this point
PRE=Precharge, ACT=Active, RA=Row Address, BA=Bank Address
NOP commands are shown for ease of illustration; other valid commands may be possible at these times
Rev. 0.1 / Jan. 2005
51