MAX 10 FPGA Device Datasheet

MAX 10 FPGA Device Datasheet
2015.06.12
M10-DATASHEET
Subscribe
Send Feedback
This datasheet describes the electrical characteristics, switching characteristics, configuration specifications, and timing for MAX® 10 devices.
Table 1: MAX 10 Device Grades and Speed Grades Supported
Device Grade
Speed Grade Supported
Commercial
• –C7
• –C8 (slowest)
Industrial
• –I6 (fastest)
• –I7
Automotive
–A7
Note: The –I6 speed grade MAX 10 FPGA device option is not available by default in the Quartus® II software. Contact your local Altera sales
representatives for support.
Related Information
Device Ordering Information, MAX 10 FPGA Device Overview
Provides more information about the densities and packages of devices in the MAX 10.
Electrical Characteristics
The following sections describe the operating conditions and power consumption of MAX 10 devices.
© 2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent
and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera
warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without
notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are
advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.
www.altera.com
101 Innovation Drive, San Jose, CA 95134
ISO
9001:2008
Registered
2
M10-DATASHEET
2015.06.12
Operating Conditions
Operating Conditions
MAX 10 devices are rated according to a set of defined parameters. To maintain the highest possible performance and reliability of the MAX 10
devices, you must consider the operating requirements described in this section.
Absolute Maximum Ratings
This section defines the maximum operating conditions for MAX 10 devices. The values are based on experiments conducted with the devices and
theoretical modeling of breakdown and damage mechanisms. The functional operation of the device is not implied for these conditions.
Caution: Conditions outside the range listed in the absolute maximum ratings tables may cause permanent damage to the device. Additionally,
device operation at the absolute maximum ratings for extended periods of time may have adverse effects on the device.
Single Supply Devices Absolute Maximum Ratings
Table 2: Absolute Maximum Ratings for MAX 10 Single Supply Devices—Preliminary
Symbol
Parameter
Min
Max
Unit
VCC_ONE
Supply voltage for core and periphery through ondie voltage regulator
–0.5
3.9
V
VCCIO
Supply voltage for input and output buffers
–0.5
3.9
V
VCCA
Supply voltage for phase-locked loop (PLL)
regulator and analog-to-digital converter (ADC)
block (analog)
–0.5
3.9
V
Min
Max
Unit
Dual Supply Devices Absolute Maximum Ratings
Table 3: Absolute Maximum Ratings for MAX 10 Dual Supply Devices—Preliminary
Symbol
Parameter
VCC
Supply voltage for core and periphery
–0.5
1.63
V
VCCIO
Supply voltage for input and output buffers
–0.5
3.9
V
VCCA
Supply voltage for PLL regulator (analog)
–0.5
3.41
V
VCCD_PLL
Supply voltage for PLL regulator (digital)
–0.5
1.63
V
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Absolute Maximum Ratings
Symbol
Parameter
Min
Max
Unit
VCCA_ADC
Supply voltage for ADC analog block
–0.5
3.41
V
VCCINT
Supply voltage for ADC digital block
–0.5
1.63
V
Min
Max
Unit
3
Absolute Maximum Ratings
Table 4: Absolute Maximum Ratings for MAX 10 Devices—Preliminary
Symbol
Parameter
VI
DC input voltage
–0.5
4.12
V
IOUT
DC output current per pin
–25
25
mA
TSTG
Storage temperature
–65
150
°C
TJ
Operating junction temperature
–40
125
°C
Maximum Allowed Overshoot During Transitions over a 11.4-Year Time Frame
Table 5: Maximum Allowed Overshoot During Transitions over a 11.4-Year Time Frame for MAX 10 Devices
Condition (V)
Overshoot Duration as % of High Time
Unit
4.12
100.0
%
4.17
11.7
%
4.22
7.1
%
4.27
4.3
%
4.32
2.6
%
4.37
1.6
%
4.42
1.0
%
4.47
0.6
%
4.52
0.3
%
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
4
M10-DATASHEET
2015.06.12
Recommended Operating Conditions
Condition (V)
Overshoot Duration as % of High Time
Unit
4.57
0.2
%
Recommended Operating Conditions
This section lists the functional operation limits for the AC and DC parameters for MAX 10 devices. The tables list the steady-state voltage values
expected from MAX 10 devices. Power supply ramps must all be strictly monotonic, without plateaus.
Single Supply Devices Power Supplies Recommended Operating Conditions
Table 6: Power Supplies Recommended Operating Conditions for MAX 10 Single Supply Devices—Preliminary
Symbol
VCC_ONE
VCCIO(2)
VCCA
(1)
(2)
(1)
(1)
Parameter
Supply voltage for core and periphery
through on-die voltage regulator
Supply voltage for input and output
buffers
Supply voltage for PLL regulator and
ADC block (analog)
Condition
Min
Typ
Max
Unit
—
2.85/3.135
3.0/3.3
3.15/3.465
V
3.3 V
3.135
3.3
3.465
V
3.0 V
2.85
3
3.15
V
2.5 V
2.375
2.5
2.625
V
1.8 V
1.71
1.8
1.89
V
1.5 V
1.425
1.5
1.575
V
1.35 V
1.2825
1.35
1.4175
V
1.2 V
1.14
1.2
1.26
V
—
2.85/3.135
3.0/3.3
3.15/3.465
V
VCCA must be connected to VCC_ONE through a filter.
VCCIO for all I/O banks must be powered up during user mode because VCCIO I/O banks are used for the ADC and I/O functionalities.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Dual Supply Devices Power Supplies Recommended Operating Conditions
5
Dual Supply Devices Power Supplies Recommended Operating Conditions
Table 7: Power Supplies Recommended Operating Conditions for MAX 10 Dual Supply Devices—Preliminary
Symbol
VCC
VCCIO (3)
Parameter
Supply voltage for core and periphery
Supply voltage for input and output
buffers
Condition
Min
Typ
Max
Unit
—
1.15
1.2
1.25
V
3.3 V
3.135
3.3
3.465
V
3.0 V
2.85
3
3.15
V
2.5 V
2.375
2.5
2.625
V
1.8 V
1.71
1.8
1.89
V
1.5 V
1.425
1.5
1.575
V
1.35 V
1.2825
1.35
1.4175
V
1.2 V
1.14
1.2
1.26
V
VCCA(4)
Supply voltage for PLL regulator
(analog)
—
2.375
2.5
2.625
V
VCCD_PLL(5)
Supply voltage for PLL regulator
(digital)
—
1.15
1.2
1.25
V
VCCA_ADC
Supply voltage for ADC analog block
—
2.375
2.5
2.625
V
VCCINT
Supply voltage for ADC digital block
—
1.15
1.2
1.25
V
Recommended Operating Conditions
Table 8: Recommended Operating Conditions for MAX 10 Devices—Preliminary
Symbol
VI
(3)
(4)
(5)
Parameter
DC input voltage
Condition
Min
Max
Unit
—
–0.5
3.6
V
VCCIO for all I/O banks must be powered up during user mode because VCCIO I/O banks are used for the ADC and I/O functionalities.
All VCCA pins must be powered to 2.5 V (even when PLLs are not used), and must be powered up and powered down at the same time.
VCCD_PLL must always be connected to VCC through a decoupling capacitor and ferrite bead.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
6
M10-DATASHEET
2015.06.12
Programming/Erasure Specifications
Symbol
VO
Parameter
Condition
Min
Max
Unit
—
0
VCCIO
V
Commercial
0
85
°C
Industrial
–40
100
°C
Automotive
–40
125
°C
Standard POR(6)
200 μs
50 ms
—
Fast POR (7)
200 μs
3 ms
—
Instant-on
200 μs
3 ms
—
—
—
10
mA
Output voltage for I/O pins
TJ
Operating junction temperature
tRAMP
IDiode
Power supply ramp time
Magnitude of DC current across PCI clamp
diode when enabled
Programming/Erasure Specifications
Table 9: Programming/Erasure Specifications for MAX 10 Devices—Preliminary
This table shows the programming cycles and data retention duration of the user flash memory (UFM) and configuration flash memory (CFM) blocks.
Erase and reprogram cycles (E/P) (8) (Cycles/page)
Temperature (°C)
Data retention duration (Years)
10,000
85
20
10,000
100
10
DC Characteristics
I/O Pin Leakage Current
The values in the table are specified for normal device operation. The values vary during device power-up. This applies for all VCCIO settings (3.3,
3.0, 2.5, 1.8, 1.5, 1.35, and 1.2 V).
(6)
(7)
(8)
Each individual power supply should reach the recommended operating range within 50 ms.
Each individual power supply should reach the recommended operating range within 3 ms.
The number of E/P cycles applies to the smallest possible flash block that can be erased or programmed in each MAX 10 device. Each MAX 10 device
has multiple flash pages per device.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
7
Bus Hold Parameters
10 µA I/O leakage current limit is applicable when the internal clamping diode is off. A higher current can be the observed when the diode is on.
Input channel leakage of ADC I/O pins due to hot socket is up to maximum of 1.8 mA. The input channel leakage occurs when the ADC IP core is
enabled or disabled. This is applicable to all MAX 10 devices with ADC IP core, which are 10M04, 10M08, 10M16, 10M25, 10M40, and 10M50
devices. The ADC I/O pins are in Bank 1A.
Table 10: I/O Pin Leakage Current for MAX 10 Devices—Preliminary
Symbol
Parameter
Condition
Min
Max
Unit
II
Input pin leakage current
VI = 0 V to VCCIOMAX
–10
10
µA
IOZ
Tristated I/O pin leakage current
VO = 0 V to VCCIOMAX
–10
10
µA
Bus Hold Parameters
Bus hold retains the last valid logic state after the source driving it either enters the high impedance state or is removed. Each I/O pin has an option
to enable bus hold in user mode. Bus hold is always disabled in configuration mode.
Table 11: Bus Hold Parameters for MAX 10 Devices—Preliminary
VCCIO (V)
Parameter
Condition
1.2
1.5
1.8
2.5
3
3.3
Unit
Min
Max
Min
Max
Min
Max
Min
Max
Min
Max
Min
Max
Bus-hold low,
sustaining current
VIN > VIL
(maximum)
8
—
12
—
30
—
50
—
70
—
70
—
µA
Bus-hold high,
sustaining current
VIN < VIL
(minimum)
–8
—
–12
—
–30
—
–50
—
–70
—
–70
—
µA
Bus-hold low,
overdrive current
0 V < VIN <
VCCIO
—
125
—
175
—
200
—
300
—
500
—
500
µA
Bus-hold high,
overdrive current
0 V < VIN <
VCCIO
—
–125
—
–175
—
–200
—
–300
—
–500
—
–500
µA
—
0.3
0.9
0.375
1.125
0.68
1.07
0.7
1.7
0.8
2
0.8
2
V
Bus-hold trip point
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
8
M10-DATASHEET
2015.06.12
Series OCT without Calibration Specifications
Series OCT without Calibration Specifications
Table 12: Series OCT without Calibration Specifications for MAX 10 Devices—Preliminary
This table shows the variation of on-chip termination (OCT) without calibration across process, voltage, and temperature (PVT).
Description
Series OCT without calibration
Resistance Tolerance
VCCIO (V)
Unit
–C7, –I6, –I7, –A7
–C8
3.00
±35
±30
%
2.50
±35
±30
%
1.80
±40
±35
%
1.50
±40
±40
%
1.35
±40
±50
%
1.20
±45
±60
%
Series OCT with Calibration at Device Power-Up Specifications
Table 13: Series OCT with Calibration at Device Power-Up Specifications for MAX 10 Devices—Preliminary
OCT calibration is automatically performed at device power-up for OCT enabled I/Os.
Description
Series OCT with calibration at device power-up
VCCIO (V)
Calibration Accuracy
Unit
3.00
±12
%
2.50
±12
%
1.80
±12
%
1.50
±12
%
1.35
±12
%
1.20
±12
%
OCT Variation after Calibration at Device Power-Up
The OCT resistance may vary with the variation of temperature and voltage after calibration at device power-up.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
OCT Variation after Calibration at Device Power-Up
9
Use the following table and equation to determine the final OCT resistance considering the variations after calibration at device power-up.
Table 14: OCT Variation after Calibration at Device Power-Up for MAX 10 Devices—Preliminary
This table lists the change percentage of the OCT resistance with voltage and temperature.
Desccription
OCT variation after calibraiton at device power-up
Nominal Voltage
dR/dT (%/°C)
dR/dV (%/mV)
3.00
0.25
–0.027
2.50
0.245
–0.04
1.80
0.242
–0.079
1.50
0.235
–0.125
1.35
0.229
–0.16
1.20
0.197
–0.208
Figure 1: Equation for OCT Resistance after Calibration at Device Power-Up
For
For
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
10
M10-DATASHEET
2015.06.12
OCT Variation after Calibration at Device Power-Up
The definitions for equation are as follows:
•
•
•
•
•
•
•
•
•
•
•
•
T1 is the initial temperature.
T2 is the final temperature.
MF is multiplication factor.
Rinitial is initial resistance.
Rfinal is final resistance.
Subscript x refers to both V and T.
∆RV is variation of resistance with voltage.
∆RT is variation of resistance with temperature.
dR/dT is the change percentage of resistance with temperature after calibration at device power-up.
dR/dV is the change percentage of resistance with voltage after calibration at device power-up.
V1 is the initial voltage.
V2 is final voltage.
The following figure shows the example to calculate the change of 50 Ω I/O impedance from 25°C at 3.0 V to 85°C at 3.15 V.
Figure 2: Example for OCT Resistance Calculation after Calibration at Device Power-Up
B
1
B
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Pin Capacitance
11
Pin Capacitance
Table 15: Pin Capacitance for MAX 10 Devices—Preliminary
Symbol
Parameter
Value
Unit
CIOB
Input capacitance on bottom I/O pins
8
pF
CIOLRT
Input capacitance on left/right/top I/O pins
7
pF
CLVDSB
Input capacitance on bottom I/O pins with dedicated LVDS
output (9)
8
pF
CADCL
Input capacitance on left I/O pins with ADC input (10)
9
pF
CVREFLRT
Input capacitance on left/right/top dual purpose VREF pin when
used as VREF or user I/O pin (11)
48
pF
CVREFB
Input capacitance on bottom dual purpose VREF pin when used
as VREF or user I/O pin
50
pF
CCLKB
Input capacitance on bottom dual purpose clock input pins (12)
7
pF
CCLKLRT
Input capacitance on left/right/top dual purpose clock input
pins (12)
6
pF
Internal Weak Pull-Up Resistor
All I/O pins, except configuration, test, and JTAG pins, have an option to enable weak pull-up.
(9)
(10)
(11)
(12)
Dedicated LVDS output buffer is only available at bottom I/O banks.
ADC pins are only available at left I/O banks.
When VREF pin is used as regular input or output, Fmax performance is reduced due to higher pin capacitance. Using the VREF pin capacitance
specification from device datasheet, perform SI analysis on your board setup to determine the Fmax of your system.
10M40 and 10M50 devices have dual purpose clock input pins at top/bottom I/O banks.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
12
M10-DATASHEET
2015.06.12
Hot-Socketing Specifications
Table 16: Internal Weak Pull-Up Resistor for MAX 10 Devices—Preliminary
Pin pull-up resistance values may be lower if an external source drives the pin higher than VCCIO.
Symbol
R_PU
Parameter
Condition
Min
Typ
Max
Unit
VCCIO = 3.3 V ± 5%
7
12
34
kΩ
VCCIO = 3.0 V ± 5%
Value of I/O pin pull-up resistor before
and during configuration, as well as user VCCIO = 2.5 V ± 5%
mode if the programmable pull-up
VCCIO = 1.8 V ± 5%
resistor option is enabled
VCCIO = 1.5 V ± 5%
8
13
37
kΩ
10
15
46
kΩ
16
25
75
kΩ
20
36
106
kΩ
VCCIO = 1.2 V ± 5%
33
82
179
kΩ
Hot-Socketing Specifications
Table 17: Hot-Socketing Specifications for MAX 10 Devices—Preliminary
Symbol
Parameter
Maximum
IIOPIN(DC)
DC current per I/O pin
300 µA
IIOPIN(AC)
AC current per I/O pin
8 mA (13)
Hysteresis Specifications for Schmitt Trigger Input
MAX 10 devices support Schmitt trigger input on all I/O pins. A Schmitt trigger feature introduces hysteresis to the input signal for improved
noise immunity, especially for signal with slow edge rate.
(13)
The I/O ramp rate is 10 ns or more. For ramp rates faster than 10 ns, |IIOPIN| = C dv/dt, in which C is I/O pin capacitance and dv/dt is the slew rate.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Hysteresis Specifications for Schmitt Trigger Input
13
Table 18: Hysteresis Specifications for Schmitt Trigger Input for MAX 10 Devices—Preliminary
Symbol
VHYS
MAX 10 FPGA Device Datasheet
Send Feedback
Parameter
Hysteresis for Schmitt trigger input
Condition
Minimum
Unit
VCCIO = 3.3 V
180
mV
VCCIO = 2.5 V
150
mV
VCCIO = 1.8 V
120
mV
VCCIO = 1.5 V
110
mV
Altera Corporation
14
Hysteresis Specifications for Schmitt Trigger Input
M10-DATASHEET
2015.06.12
Figure 3: LVTTL/LVCMOS Input Standard Voltage Diagram
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
I/O Standards Specifications
15
Figure 4: Schmitt Trigger Input Standard Voltage Diagram
VHYS
I/O Standards Specifications
Tables in this section list input voltage (VIH and VIL), output voltage (VOH and VOL), and current drive characteristics (IOH and IOL) for various
I/O standards supported by MAX 10 devices.
For minimum voltage values, use the minimum VCCIO values. For maximum voltage values, use the maximum VCCIO values.
You must perform timing closure analysis to determine the maximum achievable frequency for general purpose I/O standards.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
16
M10-DATASHEET
2015.06.12
Single-Ended I/O Standards Specifications
Single-Ended I/O Standards Specifications
Table 19: Single-Ended I/O Standards Specifications for MAX 10 Devices—Preliminary
To meet the IOL and IOH specifications, you must set the current strength settings accordingly. For example, to meet the 3.3-V LVTTL specification (4
mA), you should set the current strength settings to 4 mA. Setting at lower current strength may not meet the IOL and IOH specifications in the datasheet.
I/O Standard
VCCIO (V)
VIL (V)
VIH (V)
VOL (V)
VOH (V)
IOL (mA)
IOH (mA)
2.4
4
–4
0.2
VCCIO –
0.2
2
–2
VCCIO +
0.3
0.45
2.4
4
–4
1.7
VCCIO +
0.3
0.2
VCCIO –
0.2
0.1
–0.1
0.7
1.7
VCCIO +
0.3
0.4
2
1
–1
–0.3
0.35 ×
VCCIO
0.65 ×
VCCIO
2.25
0.45
VCCIO –
0.45
2
–2
1.575
–0.3
0.35 ×
VCCIO
0.65 ×
VCCIO
VCCIO +
0.3
0.25 ×
VCCIO
0.75 ×
VCCIO
2
–2
1.2
1.26
–0.3
0.35 ×
VCCIO
0.65 ×
VCCIO
VCCIO +
0.3
0.25 ×
VCCIO
0.75 ×
VCCIO
2
–2
3.135
3.3
3.465
–0.3
0.8
1.7
VCCIO +
0.3
—
—
—
—
2.5 V Schmitt
Trigger
2.375
2.5
2.625
–0.3
0.7
1.7
VCCIO +
0.3
—
—
—
—
1.8 V Schmitt
Trigger
1.71
1.8
1.89
–0.3
0.35 ×
VCCIO
0.65 ×
VCCIO
VCCIO +
0.3
—
—
—
—
1.5 V Schmitt
Trigger
1.425
1.5
1.575
–0.3
0.35 ×
VCCIO
0.65 ×
VCCIO
VCCIO +
0.3
—
—
—
—
Min
Typ
Max
Min
Max
Min
Max
Max
Min
3.3 V LVTTL
3.135
3.3
3.465
–0.3
0.8
1.7
3.6
0.45
3.3 V LVCMOS
3.135
3.3
3.465
–0.3
0.8
1.7
3.6
3.0 V LVTTL
2.85
3
3.15
–0.3
0.8
1.7
3.0 V LVCMOS
2.85
3
3.15
–0.3
0.8
2.5 V LVTTL and
LVCMOS
2.375
2.5
2.625
–0.3
1.8 V LVTTL and
LVCMOS
1.71
1.8
1.89
1.5 V LVCMOS
1.425
1.5
1.2 V LVCMOS
1.14
3.3 V Schmitt
Trigger
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
I/O Standard
3.0 V PCI
Single-Ended SSTL, HSTL, and HSUL I/O Reference Voltage Specifications
VCCIO (V)
VIL (V)
VIH (V)
VOL (V)
VOH (V)
Min
Typ
Max
Min
Max
Min
Max
Max
Min
2.85
3
3.15
—
0.3 ×
VCCIO
0.5 ×
VCCIO
VCCIO +
0.3
0.1 ×
VCCIO
0.9 ×
VCCIO
IOL (mA)
IOH (mA)
1.5
–0.5
17
Single-Ended SSTL, HSTL, and HSUL I/O Reference Voltage Specifications
Table 20: Single-Ended SSTL, HSTL, and HSUL I/O Reference Voltage Specifications for MAX 10 Devices—Preliminary
I/O Standard
VCCIO (V)
VREF (V)
Typ
Max
Min
Typ
Max
Min
Typ
Max
SSTL-2 Class I,
II
2.375
2.5
2.625
1.19
1.25
1.31
VREF – 0.04
VREF
VREF + 0.04
SSTL-18 Class
I, II
1.7
1.8
1.9
0.833
0.9
0.969
VREF – 0.04
VREF
VREF + 0.04
SSTL-15 Class
I, II
1.425
1.5
1.575
0.49 ×
VCCIO
0.5 × VCCIO
0.51 ×
VCCIO
0.49 ×
VCCIO
0.5 × VCCIO
0.51 × VCCIO
SSTL-135 Class
I, II
1.283
1.35
1.45
0.49 ×
VCCIO
0.5 × VCCIO
0.51 ×
VCCIO
0.49 ×
VCCIO
0.5 × VCCIO
0.51 × VCCIO
HSTL-18 Class
I, II
1.71
1.8
1.89
0.85
0.9
0.95
0.85
0.9
0.95
HSTL-15 Class
I, II
1.425
1.5
1.575
0.71
0.75
0.79
0.71
0.75
0.79
0.48 ×
VCCIO (15)
0.5 × VCCIO (15)
0.52 ×
VCCIO (15)
0.47 ×
0.5 × VCCIO
0.53 × VCCIO
—
0.5 × VCCIO
—
1.14
1.2
1.26
VCCIO
(15)
(16)
(14)
Min
HSTL-12 Class
I, II
(14)
VTT (V)
(16)
(16)
(16)
VTT of transmitting device must track VREF of the receiving device.
Value shown refers to DC input reference voltage, VREF(DC).
Value shown refers to AC input reference voltage, VREF(AC).
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
18
M10-DATASHEET
2015.06.12
Single-Ended SSTL, HSTL, and HSUL I/O Standards Signal Specifications
VCCIO (V)
I/O Standard
HSUL-12
VREF (V)
VTT (V)
(14)
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
1.14
1.2
1.3
0.49 ×
VCCIO
0.5 × VCCIO
0.51 ×
VCCIO
—
—
—
Single-Ended SSTL, HSTL, and HSUL I/O Standards Signal Specifications
Table 21: Single-Ended SSTL, HSTL, and HSUL I/O Standards Signal Specifications for MAX 10 Devices—Preliminary
To meet the IOL and IOH specifications, you must set the current strength settings accordingly. For example, to meet the SSTL-15 Class I specification (8
mA), you should set the current strength settings to 8 mA. Setting at lower current strength may not meet the IOL and IOH specifications in the datasheet.
I/O Standard
(14)
VIL(DC) (V)
VIH(DC) (V)
VIL(AC) (V)
VIH(AC) (V)
VOL (V)
VOH (V)
IOL (mA)
IOH (mA)
VTT +
0.57
8.1
–8.1
VTT –
0.76
VTT +
0.76
16.4
–16.4
—
VTT –
0.475
VTT +
0.475
6.7
–6.7
VREF +
0.25
—
0.28
VCCIO –
0.28
13.4
–13.4
VREF –
0.175
VREF +
0.175
—
0.2 ×
VCCIO
0.8 ×
VCCIO
8
–8
—
VREF –
0.175
VREF +
0.175
—
0.2 ×
VCCIO
0.8 ×
VCCIO
16
–16
—
—
VREF –
0.16
VREF +
0.16
—
0.2 ×
VCCIO
0.8 ×
VCCIO
—
—
—
—
VREF –
0.2
VREF +
0.2
—
0.4
VCCIO –
0.4
8
–8
Min
Max
Min
Max
Min
Max
Min
Max
Max
Min
SSTL-2
Class I
—
VREF –
0.18
VREF +
0.18
—
—
VREF –
0.31
VREF +
0.31
—
VTT –
0.57
SSTL-2
Class II
—
VREF –
0.18
VREF +
0.18
—
—
VREF –
0.31
VREF +
0.31
—
SSTL-18
Class I
—
VREF –
0.125
VREF +
0.125
—
—
VREF –
0.25
VREF +
0.25
SSTL-18
Class II
—
VREF –
0.125
VREF +
0.125
—
—
VREF –
0.25
SSTL-15
Class I
—
VREF –
0.1
VREF +
0.1
—
—
SSTL-15
Class II
—
VREF –
0.1
VREF +
0.1
—
SSTL-135
—
VREF –
0.09
VREF +
0.09
HSTL-18
Class I
—
VREF –
0.1
VREF +
0.1
VTT of transmitting device must track VREF of the receiving device.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Differential SSTL I/O Standards Specifications
I/O Standard
VIL(DC) (V)
VIH(DC) (V)
VIL(AC) (V)
VIH(AC) (V)
VOL (V)
VOH (V)
IOL (mA)
IOH (mA)
VCCIO –
0.4
16
–16
0.4
VCCIO –
0.4
8
–8
—
0.4
VCCIO –
0.4
16
–16
VREF +
0.15
VCCIO +
0.24
0.25 ×
VCCIO
0.75 ×
VCCIO
8
–8
VREF –
0.15
VREF +
0.15
VCCIO +
0.24
0.25 ×
VCCIO
0.75 ×
VCCIO
14
–14
VREF –
0.22
VREF +
0.22
—
0.1 ×
VCCIO
0.9 ×
VCCIO
—
—
Min
Max
Min
Max
Min
Max
Min
Max
Max
Min
HSTL-18
Class II
—
VREF –
0.1
VREF +
0.1
—
—
VREF –
0.2
VREF +
0.2
—
0.4
HSTL-15
Class I
—
VREF –
0.1
VREF +
0.1
—
—
VREF –
0.2
VREF +
0.2
—
HSTL-15
Class II
—
VREF –
0.1
VREF +
0.1
—
—
VREF –
0.2
VREF +
0.2
HSTL-12
Class I
–0.15
VREF –
0.08
VREF +
0.08
VCCIO +
0.15
–0.24
VREF –
0.15
HSTL-12
Class II
–0.15
VREF –
0.08
VREF +
0.08
VCCIO +
0.15
–0.24
HSUL-12
—
VREF –
0.13
VREF +
0.13
—
—
19
Differential SSTL I/O Standards Specifications
Differential SSTL requires a VREF input.
Table 22: Differential SSTL I/O Standards Specifications for MAX 10 Devices—Preliminary
I/O Standard
(17)
VCCIO (V)
VSwing(DC) (V)
VX(AC) (V)
VSwing(AC) (V)
Min
Typ
Max
Min
Max(17)
Min
Typ
Max
Min
Max
SSTL-2 Class I, II
2.375
2.5
2.625
0.36
VCCIO
VCCIO/2 –
0.2
—
VCCIO/2+
0.2
0.7
VCCIO
SSTL-18 Class I, II
1.7
1.8
1.9
0.25
VCCIO
VCCIO/2 –
0.175
—
VCCIO/2+
0.175
0.5
VCCIO
SSTL-15 Class I, II
1.425
1.5
1.575
0.2
—
VCCIO/2 –
0.15
—
VCCIO/2 + 2(VIH(AC)
0.15
– VREF)
2(VIL(AC) –
VREF)
The maximum value for VSWING(DC) is not defined. However, each single-ended signal needs to be within the respective single-ended limits (VIH(DC)
and VIL(DC)).
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
20
M10-DATASHEET
2015.06.12
Differential HSTL and HSUL I/O Standards Specifications
VCCIO (V)
I/O Standard
SSTL-135
VSwing(DC) (V)
VX(AC) (V)
VSwing(AC) (V)
Min
Typ
Max
Min
Max(17)
Min
Typ
Max
Min
Max
1.283
1.35
1.45
0.18
—
VREF –
0.135
0.5 ×
VCCIO
VREF +
0.135
2(VIH(AC)
– VREF)
2(VIL(AC) –
VREF)
Differential HSTL and HSUL I/O Standards Specifications
Differential HSTL requires a VREF input.
Table 23: Differential HSTL and HSUL I/O Standards Specifications for MAX 10 Devices—Preliminary
I/O Standard
(17)
VCCIO (V)
VDIF(DC) (V)
VX(AC) (V)
VCM(DC) (V)
VDIF(AC) (V)
Min
Typ
Max
Min
Max
Min
Typ
Max
Min
Typ
Max
Min
HSTL-18 Class
I, II
1.71
1.8
1.89
0.2
—
0.85
—
0.95
0.85
—
0.95
0.4
HSTL-15 Class
I, II
1.425
1.5
1.575
0.2
—
0.71
—
0.79
0.71
—
0.79
0.4
HSTL-12 Class
I, II
1.14
1.2
1.26
0.16
VCCIO
0.48 ×
VCCIO
0.5 ×
VCCIO
0.52 ×
VCCIO
0.48 ×
VCCIO
0.5 ×
VCCIO
0.52 ×
VCCIO
0.3
HSUL-12
1.14
1.2
1.3
0.26
—
0.5 ×
VCCIO –
0.12
0.5 ×
VCCIO
0.5 ×
VCCIO +
0.12
0.4 ×
VCCIO
0.5 ×
VCCIO
0.6 ×
VCCIO
0.44
The maximum value for VSWING(DC) is not defined. However, each single-ended signal needs to be within the respective single-ended limits (VIH(DC)
and VIL(DC)).
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
21
Differential I/O Standards Specifications
Differential I/O Standards Specifications
Table 24: Differential I/O Standards Specifications for MAX 10 Devices—Preliminary
I/O Standard
LVPECL (21)
LVDS
BLVDS
(18)
(20)
(21)
(22)
(23)
Min
2.375
2.375
Typ
2.5
2.5
VID (mV)
Max
2.625
2.625
Min
100
100
Max
—
—
VICM (V)
(18)
VOD (mV)
Min
Condition
Max
0.05
DMAX ≤ 500 Mbps
1.8
0.55
500 Mbps ≤ DMAX
≤ 700 Mbps
1.8
1.05
DMAX > 700 Mbps
1.55
0.05
DMAX ≤ 500 Mbps
1.8
0.55
500 Mbps ≤ DMAX
≤ 700 Mbps
1.8
1.05
DMAX > 700 Mbps
1.55
(19)(20)
VOS (V)
(19)
Min
Typ
Max
Min
Typ
Max
—
—
—
—
—
—
247
—
600
1.125
1.25
1.375
(22)
2.375
2.5
2.625
100
—
—
—
—
—
—
—
—
—
—
mini-LVDS
2.375
2.5
2.625
—
—
—
—
—
300
—
600
1
1.2
1.4
RSDS (23)
2.375
2.5
2.625
—
—
—
—
—
100
200
600
0.5
1.2
1.5
PPDS (Row
I/Os) (23)
2.375
2.5
2.625
—
—
—
—
—
100
200
600
0.5
1.2
1.4
(23)
(19)
VCCIO (V)
VIN range: 0 V ≤ VIN ≤ 1.85 V.
RL range: 90 ≤ RL ≤ 110 Ω.
Low VOD setting is only supported for RSDS standard.
LVPECL input standard is only supported at clock input. Output standard is not supported.
No fixed VIN , VOD , and VOS specifications for Bus LVDS (BLVDS). They are dependent on the system topology.
Mini-LVDS, RSDS, and Point-to-Point Differential Signaling (PPDS) standards are only supported at the output pins for MAX 10 devices.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
22
M10-DATASHEET
2015.06.12
Switching Characteristics
I/O Standard
TMDS(24)
VCCIO (V)
Min
2.375
Typ
2.5
VID (mV)
Max
2.625
Min
100
Max
—
VICM (V)
(18)
VOD (mV)
Min
Condition
Max
0.05
DMAX ≤ 500 Mbps
1.8
0.55
500 Mbps ≤ DMAX
≤ 700 Mbps
1.8
1.05
DMAX > 700 Mbps
1.55
Max
Min
Typ
Max
—
—
—
—
—
—
0.8
0.9
1
1.8
1.89
100
—
0.55
—
1.25
(26)
SLVS
2.375
2.5
2.625
100
—
0.05
—
1.1
(26)
0.05
DMAX ≤ 500 Mbps
1.8
0.55
500 Mbps ≤ DMAX
≤ 700 Mbps
1.8
1.05
DMAX > 700 Mbps
1.55
2.375
2.5
2.625
100
—
(19)
Typ
1.71
HiSpi
VOS (V)
Min
Sub-LVDS
(25)
(19)(20)
—
—
(27)
—
—
—
—
Related Information
MAX 10 LVDS SERDES I/O Standards Support, MAX 10 High-Speed LVDS I/O User Guide
Provides the list of I/O standards supported in single supply and dual supply devices.
Switching Characteristics
This section provides the performance characteristics of MAX 10 core and periphery blocks.
(18)
(19)
(20)
(24)
(25)
(26)
(27)
VIN range: 0 V ≤ VIN ≤ 1.85 V.
RL range: 90 ≤ RL ≤ 110 Ω.
Low VOD setting is only supported for RSDS standard.
Supported with requirement of an external level shift
Sub-LVDS input buffer is using 2.5 V differential buffer.
Differential output depends on the values of the external termination resistors.
Differential output offset voltage depends on the values of the external termination resistors.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Core Performance Specifications
23
Core Performance Specifications
Clock Tree Specifications
Table 25: Clock Tree Specifications for MAX 10 Devices—Preliminary
Performance
Device
Unit
–I6
–C7
–I7
–A7
–C8
10M02
450
416
416
382
402
MHz
10M04
450
416
416
382
402
MHz
10M08
450
416
416
382
402
MHz
10M16
450
416
416
382
402
MHz
10M25
450
416
416
382
402
MHz
10M40
450
416
416
382
402
MHz
10M50
450
416
416
382
402
MHz
PLL Specifications
Table 26: PLL Specifications for MAX 10 Devices—Preliminary
VCCD_PLL should always be connected to VCCINT through decoupling capacitor and ferrite bead.
Symbol
Condition
Min
Typ
Max
Unit
Input clock frequency
—
5
—
472.5
MHz
fINPFD
Phase frequency detector (PFD) input
frequency
—
5
—
325
MHz
fVCO
PLL internal voltage-controlled
oscillator (VCO) operating range
—
600
—
1300
MHz
fIN
(28)
(29)
(28)
(29)
Parameter
This parameter is limited in the Quartus II software by the I/O maximum frequency. The maximum I/O frequency is different for each I/O standard.
The VCO frequency reported by the Quartus II software in the PLL summary section of the compilation report takes into consideration the VCO
post-scale counter K value. Therefore, if the counter K has a value of 2, the frequency reported can be lower than the fVCO specification.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
24
Symbol
Parameter
fINDUTY
Input clock duty cycle
tINJITTER_CCJ
Input clock cycle-to-cycle jitter
fOUT_EXT
PLL output frequency for external clock
output
(30)
(28)
fOUT
PLL output frequency to global clock
Min
Typ
Max
Unit
—
40
—
60
%
FINPFD ≥ 100 MHz
—
—
0.15
UI
FINPFD < 100 MHz
—
—
750
ps
—
—
—
472.5
MHz
–6 speed grade
—
—
472.5
MHz
–7 speed grade
—
—
450
MHz
–8 speed grade
—
—
402.5
MHz
Duty cycle set to 50%
45
50
55
%
Duty cycle for external clock output
tLOCK
Time required to lock from end of
device configuration
—
—
—
1
ms
tDLOCK
Time required to lock dynamically
After switchover,
reconfiguring any nonpost-scale counters or
delays, or when areset is
deasserted
—
—
1
ms
FOUT ≥ 100 MHz
—
—
650
ps
FOUT < 100 MHz
—
—
75
mUI
FOUT ≥ 100 MHz
—
—
650
ps
FOUT < 100 MHz
—
—
75
mUI
PERIOD_IO
(31)
tOUTJITTER_CCJ_
IO
(31)
Condition
tOUTDUTY
tOUTJITTER_
(30)
M10-DATASHEET
2015.06.12
PLL Specifications
(31)
Regular I/O period jitter
Regular I/O cycle-to-cycle jitter
tPLL_PSERR
Accuracy of PLL phase shift
—
—
—
±50
ps
tARESET
Minimum pulse width on areset signal.
—
10
—
—
ns
A high input jitter directly affects the PLL output jitter. To have low PLL output clock jitter, you must provide a clean clock source, which is less than
200 ps.
Peak-to-peak jitter with a probability level of 10–12 (14 sigma, 99.99999999974404% confidence level). The output jitter specification applies to the
intrinsic jitter of the PLL, when an input jitter of 30 ps is applied.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
PLL Specifications
Symbol
Parameter
Condition
Min
tCONFIGPLL
Time required to reconfigure scan
chains for PLLs
—
—
fSCANCLK
scanclk frequency
—
—
Typ
3.5
(32)
—
Max
Unit
—
SCANCLK cycles
100
MHz
25
Table 27: PLL Specifications for MAX 10 Single Supply Devices—Preliminary
For V36 package, the PLL specification is based on single supply devices.
Symbol
tOUTJITTER_PERIOD_
DEDCLK
(31)
tOUTJITTER_CCJ_
DEDCLK
(31)
Parameter
Dedicated clock output period jitter
Dedicated clock output cycle-to-cycle jitter
Condition
Max
Unit
FOUT ≥ 100 MHz
660
ps
FOUT < 100 MHz
66
mUI
FOUT ≥ 100 MHz
660
ps
FOUT < 100 MHz
66
mUI
Condition
Max
Unit
FOUT ≥ 100 MHz
300
ps
FOUT < 100 MHz
30
mUI
FOUT ≥ 100 MHz
300
ps
FOUT < 100 MHz
30
mUI
Table 28: PLL Specifications for MAX 10 Dual Supply Devices—Preliminary
Symbol
tOUTJITTER_PERIOD_
DEDCLK
(31)
tOUTJITTER_CCJ_
DEDCLK
(32)
(31)
Parameter
Dedicated clock output period jitter
Dedicated clock output cycle-to-cycle jitter
With 100 MHz scanclk frequency.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
26
M10-DATASHEET
2015.06.12
Embedded Multiplier Specifications
Embedded Multiplier Specifications
Table 29: Embedded Multiplier Specifications for MAX 10 Devices—Preliminary
Mode
Number of Multipliers
9 × 9-bit multiplier
1
18 × 18-bit multiplier
1
Power Supply Mode
Performance
Unit
–I6
–C7, –I7, –A7
–C8
Single supply mode
198
183
160
MHz
Dual supply mode
234
212
180
MHz
Single supply mode
198
183
160
MHz
Dual supply mode
234
212
180
MHz
Memory Block Performance Specifications
Table 30: Memory Block Performance Specifications for MAX 10 Devices—Preliminary
Resources Used
Memory
M9K Block
Altera Corporation
Mode
LEs
M9K
Memory
FIFO 256 × 36
47
1
Single-port 256 × 36
0
1
Simple dual-port
256 × 36 CLK
0
1
True dual port
512 × 18 single CLK
0
1
Performance
Power Supply Mode
–I6
–C7, –I7, –A7
–C8
Unit
Single supply mode
232
219
204
MHz
Dual supply mode
284
260
238
MHz
Single supply mode
232
219
204
MHz
Dual supply mode
284
260
238
MHz
Single supply mode
232
219
204
MHz
Dual supply mode
284
260
238
MHz
Single supply mode
232
219
204
MHz
Dual supply mode
284
260
238
MHz
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Internal Oscillator Specifications
27
Internal Oscillator Specifications
Table 31: Internal Oscillator Frequencies for MAX 10 Devices—Preliminary
You can access to the internal oscillator frequencies in this table. The duty cycle of internal oscillator is approximately 45%–55%.
Device
Operating Frequency
Unit
55 – 116
MHz
35 – 77
MHz
10M02
10M04
10M08
10M16
10M25
10M40
10M50
UFM Performance Specifications
Table 32: UFM Performance Specifications for MAX 10 Devices—Preliminary
Block
UFM
Mode
Avalon-MM slave
Performance
Interface
Unit
–I6, –C7, –I7, –A7, –C8
Parallel
116
MHz
Serial
7.25
MHz
ADC Performance Specifications
Single Supply Devices ADC Performance Specifications
Table 33: ADC Performance Specifications for MAX 10 Single Supply Devices—Preliminary
Parameter
ADC resolution
MAX 10 FPGA Device Datasheet
Send Feedback
Symbol
Condition
Min
Typ
Max
Unit
—
—
—
—
12
bits
Altera Corporation
28
M10-DATASHEET
2015.06.12
Single Supply Devices ADC Performance Specifications
Parameter
Symbol
Condition
Min
Typ
Max
Unit
VCC_ONE
—
2.85
3.0/3.3
3.465
V
VREF
—
VCC_ONE –
0.5
—
VCC_ONE
V
Sampling rate
FS
Accumulative sampling
rate
—
—
1
MSPS
Operating junction temperature range
TJ
—
–40
25
125
°C
Prescalar disabled
0
—
VREF
V
Prescalar enabled (33)
0
—
3.6
V
—
kΩ
ADC supply voltage
External reference voltage
Analog input voltage
VIN
Input resistance
RIN
—
—
(34)
Input capcitance
CIN
—
—
(34)
—
pF
Prescalar disabled
–0.2
—
0.2
%FS
Prescalar enabled
–0.5
—
0.5
%FS
Prescalar disabled
–0.5
—
0.5
%FS
Prescalar enabled
–0.75
—
0.75
%FS
External VREF, no
missing code
–0.9
—
0.9
LSB
Internal VREF, no
missing code
–1
—
1.7
LSB
—
–2
—
2
LSB
Offset error and drift
Eoffset
Gain error and drift
Egain
DC Accuracy
(33)
(34)
Differential non linearity
DNL
Integral non linearity
INL
Prescalar function divides the analog input voltage by half. The analog input handles up to 3.6 V for the MAX 10 single supply devices.
Download the SPICE models for simulation.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Single Supply Devices ADC Performance Specifications
Parameter
AC Accuracy
Symbol
Condition
Min
Typ
Max
Unit
Total harmonic distortion
THD
FIN = 50 kHz, FS = 1
MHz, PLL
–65 (35)
—
—
dB
Signal-to-noise ratio
SNR
FIN = 50 kHz, FS = 1
MHz, PLL
54 (36)
—
—
dB
SINAD
FIN = 50 kHz, FS = 1
MHz, PLL
53 (37)
—
—
dB
Temperature sampling rate
TS
—
—
—
50
kSPS
Absolute accuracy
—
–40 to 125°C,
—
—
±10
°C
Single measurement
—
—
1
Cycle
Continuous
measurement
—
—
1
Cycle
Temperature
measurement
—
—
1
Cycle
Signal-to-noise and distortion
On-Chip Tempera‐
ture Sensor
29
with 64 samples
averaging
(38)
Conversion Rate (39)
Conversion time
—
Related Information
SPICE Models for Altera Devices
(35)
(36)
(37)
(38)
(39)
THD with prescalar enabled is 6dB less than the specification.
SNR with prescalar enabled is 6dB less than the specification.
SINAD with prescalar enabled is 6dB less than the specification.
For the Quartus II software version 15.0 and later, Altera Modular ADC and Altera Modular Dual ADC IP cores handle the 64 samples averaging.
For the Quartus II software versions prior to 14.1, you need to implement your own averaging calculation.
For more detailed description, refer to Timing section in the MAX 10 Analog-to-Digital Converter User Guide.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
30
M10-DATASHEET
2015.06.12
Dual Supply Devices ADC Performance Specifications
Dual Supply Devices ADC Performance Specifications
Table 34: ADC Performance Specifications for MAX 10 Dual Supply Devices—Preliminary
Parameter
Symbol
Condition
Min
Typ
Max
Unit
—
—
—
—
12
bits
Analog supply voltage
VCCA_ADC
—
2.375
2.5
2.625
V
Digital supply voltage
VCCINT
—
1.15
1.2
1.25
V
VREF
—
VCCA_ADC
– 0.5
—
VCCA_ADC
V
Sampling rate
FS
Accumulative sampling
rate
—
—
1
MSPS
Operating junction temperature range
TJ
—
–40
25
125
°C
0
—
VREF
V
0
—
3
V
275
450
µA
ADC resolution
External reference voltage
Analog input voltage
(40)
(41)
VIN
Prescalar disabled
Prescalar enabled
(40)
Analog supply current (DC)
IACC_ADC
Average current
—
Digital supply current (DC)
ICCINT
Average current
—
65
150
µA
Input resistance
RIN
—
—
(41)
—
kΩ
Input capcitance
CIN
—
—
(41)
—
pF
Prescalar function divides the analog input voltage by half. The analog input handles up to 3 V input for the MAX 10 dual supply devices.
Download the SPICE models for simulation.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Dual Supply Devices ADC Performance Specifications
Parameter
Symbol
Offset error and drift
Eoffset
Gain error and drift
Egain
DC Accuracy
AC Accuracy
Min
Typ
Max
Unit
Prescalar disabled
–0.2
—
0.2
%FS
Prescalar enabled
–0.5
—
0.5
%FS
Prescalar disabled
–0.5
—
0.5
%FS
Prescalar enabled
–0.75
—
0.75
%FS
External VREF, no
missing code
–0.9
—
0.9
LSB
Internal VREF, no
missing code
–1
—
1.7
LSB
Differential non linearity
DNL
Integral non linearity
INL
—
–2
—
2
LSB
Total harmonic distortion
THD
FIN = 50 kHz, FS = 1
MHz, PLL
–70 (42)(43)
—
—
dB
Signal-to-noise ratio
SNR
FIN = 50 kHz, FS = 1
MHz, PLL
62 (45)(46)(44)
—
—
dB
SINAD
FIN = 50 kHz, FS = 1
MHz, PLL
61.5 (47)
—
—
dB
Temperature sampling rate
TS
—
—
—
50
kSPS
Absolute accuracy
—
–40 to 125°C,
—
—
±5
°C
Signal-to-noise and distortion
On-Chip Tempera‐
ture Sensor
Condition
(44)
(48)(44)
31
with 64 samples
averaging
(49)
(42)
(43)
(44)
(45)
(46)
(47)
(48)
Total harmonic distortion is –65 dB for dual function pin.
THD with prescalar enabled is 6dB less than the specification.
When using internal VREF, THD = 66 dB, SNR = 58 dB and SINAD = 57.5 dB for dedicated ADC input channels.
Signal-to-noise ratio is 54 dB for dual function pin.
SNR with prescalar enabled is 6dB less than the specification.
Signal-to-noise and distortion is 53 dB for dual function pin.
SINAD with prescalar enabled is 6dB less than the specification.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
32
M10-DATASHEET
2015.06.12
Periphery Performance Specifications
Parameter
Conversion Rate (50)
Conversion time
Symbol
—
Condition
Min
Typ
Max
Unit
Single measurement
—
—
1
Cycle
Continuous
measurement
—
—
1
Cycle
Temperature
measurement
—
—
1
Cycle
Related Information
SPICE Models for Altera Devices
Periphery Performance Specifications
This section describes the periphery performance, high-speed I/O, and external memory interface.
Actual achievable frequency depends on design and system specific factors. Ensure proper timing closure in your design and perform HSPICE/
IBIS simulations based on your specific design and system setup to determine the maximum achievable frequency in your system.
High-Speed I/O Specifications
For more information about the high-speed and low-speed I/O performance pins, refer to the respective device pin-out files.
Related Information
Documentation: Pin-Out Files for Altera Devices
(49)
(50)
For the Quartus II software version 15.0 and later, Altera Modular ADC and Altera Modular Dual ADC IP cores handle the 64 samples averaging.
For the Quartus II software versions prior to 14.1, you need to implement your own averaging calculation.
For more detailed description, refer to Timing section in the MAX 10 Analog-to-Digital Converter User Guide.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications
33
True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications
Table 35: True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
True PPDS transmitter is only supported at bottom I/O banks. Emulated PPDS transmitter is supported at the output pin of all I/O banks.
Symbol
fHSCLK
Parameter
Input clock
frequency (highspeed I/O
performance pin)
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Input clock
frequency (lowspeed I/O
performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
155
5
—
155
5
—
155
MHz
×8
5
—
155
5
—
155
5
—
155
MHz
×7
5
—
155
5
—
155
5
—
155
MHz
×4
5
—
155
5
—
155
5
—
155
MHz
×2
5
—
155
5
—
155
5
—
155
MHz
×1
5
—
310
5
—
310
5
—
310
MHz
×10
100
—
310
100
—
310
100
—
310
Mbps
×8
80
—
310
80
—
310
80
—
310
Mbps
×7
70
—
310
70
—
310
70
—
310
Mbps
×4
40
—
310
40
—
310
40
—
310
Mbps
×2
20
—
310
20
—
310
20
—
310
Mbps
×1
10
—
310
10
—
310
10
—
310
Mbps
×10
5
—
150
5
—
150
5
—
150
MHz
×8
5
—
150
5
—
150
5
—
150
MHz
×7
5
—
150
5
—
150
5
—
150
MHz
×4
5
—
150
5
—
150
5
—
150
MHz
×2
5
—
150
5
—
150
5
—
150
MHz
×1
5
—
300
5
—
300
5
—
300
MHz
Altera Corporation
34
M10-DATASHEET
2015.06.12
True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications
Symbol
Parameter
Data rate (lowHSIODR speed I/O
performance pin)
(51)
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
300
100
—
300
100
—
300
Mbps
×8
80
—
300
80
—
300
80
—
300
Mbps
×7
70
—
300
70
—
300
70
—
300
Mbps
×4
40
—
300
40
—
300
40
—
300
Mbps
×2
20
—
300
20
—
300
20
—
300
Mbps
×1
10
—
300
10
—
300
10
—
300
Mbps
tDUTY
Duty cycle on
transmitter output
clock
—
45
—
55
45
—
55
45
—
55
%
TCCS(51)
Transmitter
channel-to-channel
skew
—
—
—
410
—
—
410
—
—
410
ps
Output jitter (highspeed I/O
performance pin)
—
—
—
425
—
—
425
—
—
425
ps
Output jitter (lowspeed I/O
performance pin)
—
—
—
470
—
—
470
—
—
470
ps
tx Jitter(52)
(52)
Mode
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications
Symbol
tLOCK
Parameter
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
Mode
—
–I6, –C7, –I7
–A7
–C8
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
1
—
—
1
—
—
1
35
Unit
ms
True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications
Table 36: True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
True RSDS transmitter is only supported at bottom I/O banks. Emulated RSDS transmitter is supported at the output pin of all I/O banks.
Symbol
fHSCLK
Parameter
Input clock
frequency (highspeed I/O
performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
155
5
—
155
5
—
155
MHz
×8
5
—
155
5
—
155
5
—
155
MHz
×7
5
—
155
5
—
155
5
—
155
MHz
×4
5
—
155
5
—
155
5
—
155
MHz
×2
5
—
155
5
—
155
5
—
155
MHz
×1
5
—
310
5
—
310
5
—
310
MHz
Altera Corporation
36
M10-DATASHEET
2015.06.12
True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications
Symbol
Parameter
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Input clock
frequency (lowspeed I/O
performance pin)
Data rate (lowHSIODR speed I/O
performance pin)
tDUTY
Altera Corporation
Duty cycle on
transmitter output
clock
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
310
100
—
310
100
—
310
Mbps
×8
80
—
310
80
—
310
80
—
310
Mbps
×7
70
—
310
70
—
310
70
—
310
Mbps
×4
40
—
310
40
—
310
40
—
310
Mbps
×2
20
—
310
20
—
310
20
—
310
Mbps
×1
10
—
310
10
—
310
10
—
310
Mbps
×10
5
—
150
5
—
150
5
—
150
MHz
×8
5
—
150
5
—
150
5
—
150
MHz
×7
5
—
150
5
—
150
5
—
150
MHz
×4
5
—
150
5
—
150
5
—
150
MHz
×2
5
—
150
5
—
150
5
—
150
MHz
×1
5
—
300
5
—
300
5
—
300
MHz
×10
100
—
300
100
—
300
100
—
300
Mbps
×8
80
—
300
80
—
300
80
—
300
Mbps
×7
70
—
300
70
—
300
70
—
300
Mbps
×4
40
—
300
40
—
300
40
—
300
Mbps
×2
20
—
300
20
—
300
20
—
300
Mbps
×1
10
—
300
10
—
300
10
—
300
Mbps
—
45
—
55
45
—
55
45
—
55
%
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications
Symbol
TCCS(53)
tx Jitter(54)
(53)
(54)
Parameter
Mode
Transmitter
channel-to-channel
skew
–I6, –C7, –I7
–A7
–C8
37
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
—
410
—
—
410
—
—
410
ps
Output jitter (highspeed I/O
performance pin)
—
—
—
425
—
—
425
—
—
425
ps
Output jitter (lowspeed I/O
performance pin)
—
—
—
470
—
—
470
—
—
470
ps
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tLOCK
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
—
—
—
1
—
—
1
—
—
1
ms
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
38
M10-DATASHEET
2015.06.12
Emulated RSDS_E_1R Transmitter Timing Specifications
Emulated RSDS_E_1R Transmitter Timing Specifications
Table 37: Emulated RSDS_E_1R Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
Emulated RSDS_E_1R transmitter is supported at the output pin of all I/O banks.
Symbol
fHSCLK
Parameter
Input clock
frequency (highspeed I/O
performance pin)
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Altera Corporation
Input clock
frequency (lowspeed I/O
performance pin)
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
85
5
—
85
5
—
85
MHz
×8
5
—
85
5
—
85
5
—
85
MHz
×7
5
—
85
5
—
85
5
—
85
MHz
×4
5
—
85
5
—
85
5
—
85
MHz
×2
5
—
85
5
—
85
5
—
85
MHz
×1
5
—
170
5
—
170
5
—
170
MHz
×10
100
—
170
100
—
170
100
—
170
Mbps
×8
80
—
170
80
—
170
80
—
170
Mbps
×7
70
—
170
70
—
170
70
—
170
Mbps
×4
40
—
170
40
—
170
40
—
170
Mbps
×2
20
—
170
20
—
170
20
—
170
Mbps
×1
10
—
170
10
—
170
10
—
170
Mbps
×10
5
—
85
5
—
85
5
—
85
MHz
×8
5
—
85
5
—
85
5
—
85
MHz
×7
5
—
85
5
—
85
5
—
85
MHz
×4
5
—
85
5
—
85
5
—
85
MHz
×2
5
—
85
5
—
85
5
—
85
MHz
×1
5
—
170
5
—
170
5
—
170
MHz
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Symbol
Parameter
Data rate (lowHSIODR speed I/O
performance pin)
(55)
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
170
100
—
170
100
—
170
Mbps
×8
80
—
170
80
—
170
80
—
170
Mbps
×7
70
—
170
70
—
170
70
—
170
Mbps
×4
40
—
170
40
—
170
40
—
170
Mbps
×2
20
—
170
20
—
170
20
—
170
Mbps
×1
10
—
170
10
—
170
10
—
170
Mbps
tDUTY
Duty cycle on
transmitter output
clock
—
45
—
55
45
—
55
45
—
55
%
TCCS(55)
Transmitter
channel-to-channel
skew
—
—
—
410
—
—
410
—
—
410
ps
Output jitter (highspeed I/O
performance pin)
—
—
—
425
—
—
425
—
—
425
ps
Output jitter (lowspeed I/O
performance pin)
—
—
—
470
—
—
470
—
—
470
ps
tx Jitter(56)
(56)
39
Emulated RSDS_E_1R Transmitter Timing Specifications
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
40
M10-DATASHEET
2015.06.12
True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing...
Symbol
tLOCK
Parameter
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
Mode
—
–I6, –C7, –I7
–A7
–C8
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
1
—
—
1
—
—
1
Unit
ms
True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing Specifications
Table 38: True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
True mini-LVDS transmitter is only supported at the bottom I/O banks. Emulated mini-LVDS_E_3R transmitter is supported at the output pin of all I/O
banks.
Symbol
fHSCLK
Altera Corporation
Parameter
Input clock
frequency (highspeed I/O
performance pin)
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
155
5
—
155
5
—
155
MHz
×8
5
—
155
5
—
155
5
—
155
MHz
×7
5
—
155
5
—
155
5
—
155
MHz
×4
5
—
155
5
—
155
5
—
155
MHz
×2
5
—
155
5
—
155
5
—
155
MHz
×1
5
—
310
5
—
310
5
—
310
MHz
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Symbol
Parameter
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Input clock
frequency (lowspeed I/O
performance pin)
Data rate (lowHSIODR speed I/O
performance pin)
tDUTY
41
True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing...
Duty cycle on
transmitter output
clock
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
310
100
—
310
100
—
310
Mbps
×8
80
—
310
80
—
310
80
—
310
Mbps
×7
70
—
310
70
—
310
70
—
310
Mbps
×4
40
—
310
40
—
310
40
—
310
Mbps
×2
20
—
310
20
—
310
20
—
310
Mbps
×1
10
—
310
10
—
310
10
—
310
Mbps
×10
5
—
150
5
—
150
5
—
150
MHz
×8
5
—
150
5
—
150
5
—
150
MHz
×7
5
—
150
5
—
150
5
—
150
MHz
×4
5
—
150
5
—
150
5
—
150
MHz
×2
5
—
150
5
—
150
5
—
150
MHz
×1
5
—
300
5
—
300
5
—
300
MHz
×10
100
—
300
100
—
300
100
—
300
Mbps
×8
80
—
300
80
—
300
80
—
300
Mbps
×7
70
—
300
70
—
300
70
—
300
Mbps
×4
40
—
300
40
—
300
40
—
300
Mbps
×2
20
—
300
20
—
300
20
—
300
Mbps
×1
10
—
300
10
—
300
10
—
300
Mbps
—
45
—
55
45
—
55
45
—
55
%
Altera Corporation
42
M10-DATASHEET
2015.06.12
True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing...
Symbol
TCCS(57)
tx Jitter(58)
(57)
(58)
Parameter
Mode
Transmitter
channel-to-channel
skew
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
—
410
—
—
410
—
—
410
ps
Output jitter (highspeed I/O
performance pin)
—
—
—
425
—
—
425
—
—
425
ps
Output jitter (lowspeed I/O
performance pin)
—
—
—
470
—
—
470
—
—
470
ps
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tLOCK
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
—
—
—
1
—
—
1
—
—
1
ms
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
43
True LVDS Transmitter Timing
True LVDS Transmitter Timing
Single Supply Devices True LVDS Transmitter Timing Specifications
Table 39: True LVDS Transmitter Timing Specifications for MAX 10 Single Supply Devices—Preliminary
True LVDS transmitter is only supported at the bottom I/O banks.
Symbol
fHSCLK
HSIODR
(59)
Parameter
Input clock
frequency
Data rate
Mode
–C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
145
5
—
100
5
—
100
MHz
×8
5
—
145
5
—
100
5
—
100
MHz
×7
5
—
145
5
—
100
5
—
100
MHz
×4
5
—
145
5
—
100
5
—
100
MHz
×2
5
—
145
5
—
100
5
—
100
MHz
×1
5
—
290
5
—
200
5
—
200
MHz
×10
100
—
290
100
—
200
100
—
200
Mbps
×8
80
—
290
80
—
200
80
—
200
Mbps
×7
70
—
290
70
—
200
70
—
200
Mbps
×4
40
—
290
40
—
200
40
—
200
Mbps
×2
20
—
290
20
—
200
20
—
200
Mbps
×1
10
—
290
10
—
200
10
—
200
Mbps
tDUTY
Duty cycle on
transmitter output
clock
—
45
—
55
45
—
55
45
—
55
%
TCCS(59)
Transmitter
channel-tochannel skew
—
—
—
410
—
—
410
—
—
410
ps
TCCS specifications apply to I/O banks from the same side only.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
44
Symbol
(60)
M10-DATASHEET
2015.06.12
Single Supply Devices True LVDS Transmitter Timing Specifications
Parameter
Mode
–C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
—
1,000
—
—
1,000
—
—
1,000
ps
tx Jitter(60)
Output jitter
tRISE
Rise time
20 – 80%, CLOAD
= 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%, CLOAD
= 5 pF
—
500
—
—
500
—
—
500
—
ps
tLOCK
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
—
—
—
1
—
—
1
—
—
1
ms
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
45
Dual Supply Devices True LVDS Transmitter Timing Specifications
Dual Supply Devices True LVDS Transmitter Timing Specifications
Table 40: True LVDS Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
True LVDS transmitter is only supported at the bottom I/O banks.
Symbol
fHSCLK
HSIODR
tDUTY
(61)
(62)
Parameter
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
360 (61),
335 (62)
5
—
310
5
—
300
MHz
×8
5
—
360
5
—
320
5
—
320
MHz
×7
5
—
360 (61),
335 (62)
5
—
310
5
—
300
MHz
×4
5
—
360
5
—
320
5
—
320
MHz
×2
5
—
360
5
—
320
5
—
320
MHz
×1
5
—
360
5
—
320
5
—
320
MHz
×10
100
—
720 (61),
670 (62)
100
—
620
100
—
600
Mbps
×8
80
—
720
80
—
640
80
—
640
Mbps
×7
70
—
720 (61),
670 (62)
70
—
620
70
—
600
Mbps
×4
40
—
720
40
—
640
40
—
640
Mbps
×2
20
—
720
20
—
640
20
—
640
Mbps
×1
10
—
360
10
—
320
10
—
320
Mbps
—
45
—
55
45
—
55
45
—
55
%
Input clock
frequency
Data rate
Duty cycle on
transmitter output
clock
Applicable to –I6 speed grade.
Applicable to –C7 and –I7 speed grades.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
46
Symbol
(63)
(64)
M10-DATASHEET
2015.06.12
Dual Supply Devices True LVDS Transmitter Timing Specifications
Parameter
Mode
–I6, –C7, –I7
–A7
–C8
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
Unit
TCCS(63)
Transmitter
channel-tochannel skew
—
—
—
410
—
—
410
—
—
410
ps
tx Jitter(64)
Output jitter
—
—
—
380
—
—
380
—
—
380
ps
tRISE
Rise time
20 – 80%, CLOAD
= 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%, CLOAD
= 5 pF
—
500
—
—
500
—
—
500
—
ps
tLOCK
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
—
—
—
1
—
—
1
—
—
1
ms
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications
47
Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications
Single Supply Devices Emulated LVDS_E_3R Transmitter Timing Specifications
Table 41: Emulated LVDS_E_3R Transmitter Timing Specifications for MAX 10 Single Supply Devices—Preliminary
Emulated LVDS_E_3R transmitters are supported at the output pin of all I/O banks.
Symbol
fHSCLK
Parameter
Input clock
frequency (highspeed I/O
performance pin)
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Input clock
frequency (lowspeed I/O
performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
142.5
5
—
100
5
—
100
MHz
×8
5
—
142.5
5
—
100
5
—
100
MHz
×7
5
—
142.5
5
—
100
5
—
100
MHz
×4
5
—
142.5
5
—
100
5
—
100
MHz
×2
5
—
142.5
5
—
100
5
—
100
MHz
×1
5
—
285
5
—
200
5
—
200
MHz
×10
100
—
285
100
—
200
100
—
200
Mbps
×8
80
—
285
80
—
200
80
—
200
Mbps
×7
70
—
285
70
—
200
70
—
200
Mbps
×4
40
—
285
40
—
200
40
—
200
Mbps
×2
20
—
285
20
—
200
20
—
200
Mbps
×1
10
—
285
10
—
200
10
—
200
Mbps
×10
5
—
100
5
—
100
5
—
100
MHz
×8
5
—
100
5
—
100
5
—
100
MHz
×7
5
—
100
5
—
100
5
—
100
MHz
×4
5
—
100
5
—
100
5
—
100
MHz
×2
5
—
100
5
—
100
5
—
100
MHz
×1
5
—
200
5
—
200
5
—
200
MHz
Altera Corporation
48
M10-DATASHEET
2015.06.12
Single Supply Devices Emulated LVDS_E_3R Transmitter Timing...
Symbol
Parameter
Data rate (lowHSIODR speed I/O
performance pin)
(65)
(66)
Mode
–C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
200
100
—
200
100
—
200
Mbps
×8
80
—
200
80
—
200
80
—
200
Mbps
×7
70
—
200
70
—
200
70
—
200
Mbps
×4
40
—
200
40
—
200
40
—
200
Mbps
×2
20
—
200
20
—
200
20
—
200
Mbps
×1
10
—
200
10
—
200
10
—
200
Mbps
tDUTY
Duty cycle on
transmitter output
clock
—
45
—
55
45
—
55
45
—
55
%
TCCS(65)
Transmitter
channel-to-channel
skew
—
—
—
410
—
—
410
—
—
410
ps
tx Jitter(66)
Output jitter
—
—
—
1,000
—
—
1,000
—
—
1,000
ps
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tLOCK
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
—
—
—
1
—
—
1
—
—
1
ms
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Dual Supply Devices Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter...
49
Dual Supply Devices Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications
Table 42: Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
Emulated LVDS_E_3R, SLVS, and Sub-LVDS transmitters are supported at the output pin of all I/O banks.
Symbol
fHSCLK
Parameter
Input clock
frequency (highspeed I/O
performance pin)
Data rate (highHSIODR speed I/O
performance pin)
fHSCLK
Input clock
frequency (lowspeed I/O
performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
5
—
300
5
—
275
5
—
275
MHz
×8
5
—
300
5
—
275
5
—
275
MHz
×7
5
—
300
5
—
275
5
—
275
MHz
×4
5
—
300
5
—
275
5
—
275
MHz
×2
5
—
300
5
—
275
5
—
275
MHz
×1
5
—
300
5
—
275
5
—
275
MHz
×10
100
—
600
100
—
550
100
—
550
Mbps
×8
80
—
600
80
—
550
80
—
550
Mbps
×7
70
—
600
70
—
550
70
—
550
Mbps
×4
40
—
600
40
—
550
40
—
550
Mbps
×2
20
—
600
20
—
550
20
—
550
Mbps
×1
10
—
300
10
—
275
10
—
275
Mbps
×10
5
—
150
5
—
150
5
—
150
MHz
×8
5
—
150
5
—
150
5
—
150
MHz
×7
5
—
150
5
—
150
5
—
150
MHz
×4
5
—
150
5
—
150
5
—
150
MHz
×2
5
—
150
5
—
150
5
—
150
MHz
×1
5
—
300
5
—
300
5
—
300
MHz
Altera Corporation
50
M10-DATASHEET
2015.06.12
Dual Supply Devices Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter...
Symbol
Parameter
Data rate (lowHSIODR speed I/O
performance pin)
(67)
–I6, –C7, –I7
–A7
–C8
Unit
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
×10
100
—
300
100
—
300
100
—
300
Mbps
×8
80
—
300
80
—
300
80
—
300
Mbps
×7
70
—
300
70
—
300
70
—
300
Mbps
×4
40
—
300
40
—
300
40
—
300
Mbps
×2
20
—
300
20
—
300
20
—
300
Mbps
×1
10
—
300
10
—
300
10
—
300
Mbps
tDUTY
Duty cycle on
transmitter output
clock
—
45
—
55
45
—
55
45
—
55
%
TCCS(67)
Transmitter
channel-to-channel
skew
—
—
—
410
—
—
410
—
—
410
ps
Output jitter (highspeed I/O
performance pin)
—
—
—
425
—
—
425
—
—
425
ps
Output jitter (lowspeed I/O
performance pin)
—
—
—
470
—
—
470
—
—
470
ps
tx Jitter(68)
(68)
Mode
tRISE
Rise time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
tFALL
Fall time
20 – 80%,
CLOAD = 5 pF
—
500
—
—
500
—
—
500
—
ps
TCCS specifications apply to I/O banks from the same side only.
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver Timing Specifications
Symbol
tLOCK
Parameter
–I6, –C7, –I7
Mode
Time required for
the PLL to lock,
after CONF_DONE
signal goes high,
indicating the
completion of
device
configuration
–A7
–C8
Min
Typ
Max
Min
Typ
Max
Min
Typ
Max
—
—
1
—
—
1
—
—
1
—
51
Unit
ms
LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver Timing Specifications
Single Supply Devices LVDS Receiver Timing Specifications
Table 43: LVDS Receiver Timing Specifications for MAX 10 Single Supply Devices—Preliminary
LVDS receivers are supported at all banks.
Symbol
fHSCLK
Parameter
Input clock frequency
(high-speed I/O
performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
Mode
–C7, –I7
–A7
–C8
Unit
Min
Max
Min
Max
Min
Max
×10
5
145
5
100
5
100
MHz
×8
5
145
5
100
5
100
MHz
×7
5
145
5
100
5
100
MHz
×4
5
145
5
100
5
100
MHz
×2
5
145
5
100
5
100
MHz
×1
5
290
5
200
5
200
MHz
Altera Corporation
52
M10-DATASHEET
2015.06.12
Single Supply Devices LVDS Receiver Timing Specifications
Symbol
HSIODR
fHSCLK
HSIODR
SW
Altera Corporation
Parameter
Mode
–C7, –I7
–A7
–C8
Unit
Min
Max
Min
Max
Min
Max
×10
100
290
100
200
100
200
Mbps
×8
80
290
80
200
80
200
Mbps
×7
70
290
70
200
70
200
Mbps
×4
40
290
40
200
40
200
Mbps
×2
20
290
20
200
20
200
Mbps
×1
10
290
10
200
10
200
Mbps
×10
5
100
5
100
5
100
MHz
×8
5
100
5
100
5
100
MHz
×7
5
100
5
100
5
100
MHz
×4
5
100
5
100
5
100
MHz
×2
5
100
5
100
5
100
MHz
×1
5
200
5
200
5
200
MHz
×10
100
200
100
200
100
200
Mbps
×8
80
200
80
200
80
200
Mbps
×7
70
200
70
200
70
200
Mbps
×4
40
200
40
200
40
200
Mbps
×2
20
200
20
200
20
200
Mbps
×1
10
200
10
200
10
200
Mbps
Sampling window
(high-speed I/O
performance pin)
—
—
800
—
800
—
800
ps
Sampling window (lowspeed I/O performance
pin)
—
—
1,000
—
1,000
—
1,000
ps
Data rate (high-speed I/
O performance pin)
Input clock frequency
(low-speed I/O
performance pin)
Data rate (low-speed I/
O performance pin)
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Dual Supply Devices LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver...
Symbol
Parameter
Mode
–C7, –I7
–A7
–C8
Min
Max
Min
Max
Min
Max
53
Unit
tx Jitter(69)
Input jitter
—
—
1,000
—
1,000
—
1,000
ps
tLOCK
Time required for the
PLL to lock, after CONF_
DONE signal goes high,
indicating the
completion of device
configuration
—
—
1
—
1
—
1
ms
Dual Supply Devices LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver Timing Specifications
Table 44: LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver Timing Specifications for MAX 10 Dual Supply Devices—Preliminary
LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS receivers are supported at all banks.
Symbol
fHSCLK
(69)
Parameter
Input clock frequency
(high-speed I/O
performance pin)
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Max
Min
Max
Min
Max
×10
5
360
5
320
5
320
MHz
×8
5
360
5
320
5
320
MHz
×7
5
360
5
320
5
320
MHz
×4
5
360
5
320
5
320
MHz
×2
5
360
5
320
5
320
MHz
×1
5
360
5
320
5
320
MHz
TX jitter is the jitter induced from core noise and I/O switching noise.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
54
Symbol
HSIODR
fHSCLK
HSIODR
SW
tx Jitter
(70)
M10-DATASHEET
2015.06.12
Dual Supply Devices LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver...
(70)
Parameter
Mode
–I6, –C7, –I7
–A7
–C8
Unit
Min
Max
Min
Max
Min
Max
×10
100
720
100
640
100
640
Mbps
×8
80
720
80
640
80
640
Mbps
×7
70
720
70
640
70
640
Mbps
×4
40
720
40
640
40
640
Mbps
×2
20
720
20
640
20
640
Mbps
×1
10
360
10
320
10
320
Mbps
×10
5
150
5
150
5
150
MHz
×8
5
150
5
150
5
150
MHz
×7
5
150
5
150
5
150
MHz
×4
5
150
5
150
5
150
MHz
×2
5
150
5
150
5
150
MHz
×1
5
300
5
300
5
300
MHz
×10
100
300
100
300
100
300
Mbps
×8
80
300
80
300
80
300
Mbps
×7
70
300
70
300
70
300
Mbps
×4
40
300
40
300
40
300
Mbps
×2
20
300
20
300
20
300
Mbps
×1
10
300
10
300
10
300
Mbps
Sampling window
—
—
400
—
400
—
400
ps
Input jitter
—
—
500
—
500
—
500
ps
Data rate (high-speed I/
O performance pin)
Input clock frequency
(low-speed I/O
performance pin)
Data rate (low-speed I/
O performance pin)
TX jitter is the jitter induced from core noise and I/O switching noise.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Memory Output Clock Jitter Specifications
Symbol
tLOCK
Parameter
Mode
Time required for the
PLL to lock, after CONF_
DONE signal goes high,
indicating the
completion of device
configuration
—
–I6, –C7, –I7
–A7
–C8
Min
Max
Min
Max
Min
Max
—
1
—
1
—
1
55
Unit
ms
Memory Output Clock Jitter Specifications
MAX 10 devices support external memory interfaces up to 303 MHz. The external memory interfaces for MAX 10 devices calibrate automatically.
The memory output clock jitter measurements are for 200 consecutive clock cycles.
The clock jitter specification applies to memory output clock pins generated using DDIO circuits clocked by a PLL output routed on a PHY clock
network.
DDR3 and LPDDR2 SDRAM memory interfaces are only supported on the fast speed grade device.
Table 45: Memory Output Clock Jitter Specifications for MAX 10 Devices—Preliminary
Parameter
Symbol
–6 Speed Grade
–7 Speed Grade
Min
Max
Min
Max
Unit
Clock period jitter
tJIT(per)
–127
127
–215
215
ps
Cycle-to-cycle period jitter
tJIT(cc)
—
242
—
360
ps
Related Information
Literature: External Memory Interfaces
Provides more information about external memory system performance specifications, board design guidelines, timing analysis, simulation, and
debugging information.
Configuration Specifications
This section provides configuration specifications and timing for MAX 10 devices.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
56
M10-DATASHEET
2015.06.12
JTAG Timing Parameters
JTAG Timing Parameters
Table 46: JTAG Timing Parameters for MAX 10 Devices—Preliminary
The values are based on CL = 10 pF of TDO .
The affected Boundary Scan Test (BST) instructions are SAMPLE/PRELOAD, EXTEST, INTEST, and CHECK_STATUS.
Symbol
Parameter
Non-BST and non-CONFIG_IO Operation
BST and CONFIG_IO Operation
Minimum
Maximum
Minimum
Maximum
Unit
tJCP
TCK clock period
40
—
50
—
ns
tJCH
TCK clock high time
20
—
25
—
ns
tJCL
TCK clock low time
20
—
25
—
ns
tJPSU_TDI
JTAG port setup time
2
—
2
—
ns
tJPSU_TMS
JTAG port setup time
3
—
3
—
ns
tJPH
JTAG port hold time
10
—
10
—
ns
tJPCO
JTAG port clock to
output
—
• 15 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 17 (for VCCIO = 1.8
and 1.5 V)
—
• 18 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 20 (for VCCIO = 1.8
and 1.5 V)
ns
tJPZX
JTAG port high
impedance to valid
output
—
• 15 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 17 (for VCCIO = 1.8
and 1.5 V)
—
• 15 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 17 (for VCCIO = 1.8
and 1.5 V)
ns
tJPXZ
JTAG port valid output
to high impedance
—
• 15 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 17 (for VCCIO = 1.8
and 1.5 V)
—
• 15 (for VCCIO = 3.3,
3.0, and 2.5 V)
• 17 (for VCCIO = 1.8
and 1.5 V)
ns
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
POR Specifications
57
POR Specifications
Table 47: POR Delay Specifications for MAX 10 Devices—Preliminary
POR Delay
Condition
Minimum
Maximum
Don’t Care
Instant-on enabled
Fast
Instant-on disabled
3
9
ms
Standard
Instant-on disabled
50
200
ms
No delay
Unit
—
Remote System Upgrade Circuitry Timing Specifications
Table 48: Remote System Upgrade Circuitry Timing Specifications for MAX 10 Devices—Preliminary
Parameter
Device
Minimum
Maximum
Unit
All
—
40
MHz
10M02, 10M04, 10M08, 10M16, 10M25
250
—
ns
10M40, 10M50
350
—
ns
10M02, 10M04, 10M08, 10M16, 10M25
300
—
ns
10M40, 10M50
500
—
ns
tMAX_RU_CLK
tRU_nCONFIG
tRU_nRSTIMER
User Watchdog Internal Circuitry Timing Specifications
Table 49: User Watchdog Timer Specifications for MAX 10 Devices—Preliminary
The specifications are subject to PVT changes.
Parameter
User watchdog frequency
MAX 10 FPGA Device Datasheet
Send Feedback
Device
Minimum
Typical
Maximum
Unit
10M02, 10M04, 10M08,
10M16, 10M25
3.4
5.1
7.3
MHz
10M40, 10M50
2.2
3.3
4.8
MHz
Altera Corporation
58
M10-DATASHEET
2015.06.12
Uncompressed Raw Binary File (.rbf) Sizes
Uncompressed Raw Binary File (.rbf) Sizes
Table 50: Uncompressed .rbf Sizes and Internal Configuration Time for MAX 10 Devices—Preliminary
The internal configuration time is based on the uncompressed, unencrypted, and without memory initialization files. Turn on instant-on feature to
measure the internal configuration time. The internal configuration time measurement is from the minimum value of VCC_ONE (for single supply devices)
or VCC (for dual supply devices) to user mode entry.
Device
CFM Data Size (bits)
Internal Configuration Time (ms)
Without Memory Initialization
With Memory Initialization
10M02
554,000
676,000
3
10M04
1,540,000
1,880,000
4
10M08
1,540,000
1,880,000
4
10M16
2,800,000
3,430,000
5
10M25
4,140,000
4,780,000
5
10M40
7,840,000
9,670,000
9
10M50
7,840,000
9,670,000
9
Related Information
Instant-on, MAX 10 FPGA Configuration User Guide
Provides more information about instant-on feature.
I/O Timing
The data is typically used prior to designing the FPGA to get an estimate of the timing budget as part of the link timing analysis.
The Quartus II Timing Analyzer provides a more accurate and precise I/O timing data based on the specific device and design after you complete
place-and-route.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Programmable IOE Delay
59
Table 51: I/O Timing for MAX 10 Devices—Preliminary
These I/O timing parameters are for the 3.3-V LVTTL I/O standard with the maximum drive strength and fast slew rate for 10M08DAF484 device.
Symbol
Parameter
–C7, –I7
–C8
Unit
Tsu
Global clock setup time
–0.750
–0.808
ns
Th
Global clock hold time
1.180
1.215
ns
Tco
Global clock to output delay
5.131
5.575
ns
Tpd
Best case pin-to-pin propagation delay through one LUT
4.907
5.467
ns
Programmable IOE Delay
Programmable IOE Delay On Row Pins
Table 52: IOE Programmable Delay on Row Pins for MAX 10 Devices—Preliminary
The incremental values for the settings are generally linear. For exact values of each setting, refer to the Assignment Name column in the latest version of
the Quartus II software.
The minimum and maximum offset timing numbers are in reference to setting ‘0’ as available in the Quartus II software.
Maximum Offset
Number
of
Settings
Minimum
Offset
Input delay from pin Pad to I/O
to internal cells
dataout to core
7
Input delay from pin Pad to I/O
to input register
input register
Delay from output
I/O output
register to output pin register to pad
Parameter
MAX 10 FPGA Device Datasheet
Send Feedback
Paths Affected
Fast Corner
Slow Corner
Unit
–I7
–C8
–C7
–C8
–I7
–A7
0
0.782
0.838
1.738
1.799
1.796
1.673
ns
8
0
0.887
0.953
1.973
2.040
2.042
1.902
ns
2
0
0.460
0.493
1.027
1.073
1.061
0.977
ns
Altera Corporation
60
M10-DATASHEET
2015.06.12
Programmable IOE Delay for Column Pins
Programmable IOE Delay for Column Pins
Table 53: IOE Programmable Delay on Column Pins for MAX 10 Devices—Preliminary
The incremental values for the settings are generally linear. For exact values of each setting, refer to the Assignment Name column in the latest version of
the Quartus II software.
The minimum and maximum offset timing numbers are in reference to setting ‘0’ as available in the Quartus II software.
Maximum Offset
Number
of
Settings
Minimum
Offset
Input delay from pin Pad to I/O
to internal cells
dataout to core
7
Input delay from pin Pad to I/O
to input register
input register
Delay from output
I/O output
register to output pin register to pad
Parameter
Paths Affected
Fast Corner
Slow Corner
Unit
–I7
–C8
–C7
–C8
–I7
–A7
0
0.777
0.833
1.73
1.79
1.788
1.666
ns
8
0
0.877
0.942
1.951
2.017
2.018
1.882
ns
2
0
0.417
0.447
0.931
0.973
0.961
0.887
ns
Glossary
Table 54: Glossary
Term
Preliminary
Definition
Some tables show the designation as “Preliminary”. Preliminary characteristics are created using simulation
results, process data, and other known parameters.
Final numbers are based on actual silicon characterization and testing. The numbers reflect the actual perform‐
ance of the device under worst-case silicon process, voltage, and junction temperature conditions. There are no
preliminary designations on finalized tables.
RL
Altera Corporation
Receiver differential input discrete resistor (external to MAX 10 devices).
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Glossary
Term
61
Definition
RSKM (Receiver input skew
margin)
HIGH-SPEED I/O block: The total margin left after accounting for the sampling window and TCCS. RSKM =
(TUI – SW – TCCS) / 2.
Sampling window (SW)
HIGH-SPEED I/O Block: The period of time during which the data must be valid to capture it correctly. The
setup and hold times determine the ideal strobe position in the sampling window.
Single-ended voltage referenced The AC input signal values indicate the voltage levels at which the receiver must meet its timing specifications.
I/O standard
The DC input signal values indicate the voltage levels at which the final logic state of the receiver is unambigu‐
ously defined. After the receiver input crosses the AC value, the receiver changes to the new logic state.
The new logic state is then maintained as long as the input stays beyond the DC threshold. This approach is
intended to provide predictable receiver timing in the presence of input waveform ringing.
tC
High-speed receiver/transmitter input and output clock period.
TCCS (Channelto- channelskew)
HIGH-SPEED I/O block: The timing difference between the fastest and slowest output edges, including tCO
variation and clock skew. The clock is included in the TCCS measurement.
tcin
Delay from clock pad to I/O input register.
tCO
Delay from clock pad to I/O output.
tcout
Delay from clock pad to I/O output register.
tDUTY
HIGH-SPEED I/O Block: Duty cycle on high-speed transmitter output clock.
tFALL
Signal high-to-low transition time (80–20%).
tH
Input register hold time.
Timing Unit Interval (TUI)
HIGH-SPEED I/O block: The timing budget allowed for skew, propagation delays, and data sampling window.
(TUI = 1/(Receiver Input Clock Frequency Multiplication Factor) = tC/w).
tINJITTER
Period jitter on PLL clock input.
tOUTJITTER_DEDCLK
Period jitter on dedicated clock output driven by a PLL.
tOUTJITTER_IO
Period jitter on general purpose I/O driven by a PLL.
tpllcin
Delay from PLL inclk pad to I/O input register.
tpllcout
Delay from PLL inclk pad to I/O output register.
tRISE
Signal low-to-high transition time (20–80%).
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
62
M10-DATASHEET
2015.06.12
Glossary
Term
Definition
tSU
Input register setup time.
VCM(DC)
DC common mode input voltage.
VDIF(AC)
AC differential input voltage: The minimum AC input differential voltage required for switching.
VDIF(DC)
DC differential input voltage: The minimum DC input differential voltage required for switching.
VHYS
Hysteresis for Schmitt trigger input.
VICM
Input common mode voltage: The common mode of the differential signal at the receiver.
VID
Input differential Voltage Swing: The difference in voltage between the positive and complementary conductors
of a differential transmission at the receiver.
VIH
Voltage input high: The minimum positive voltage applied to the input which is accepted by the device as a logic
high.
VIH(AC)
High-level AC input voltage.
VIH(DC)
High-level DC input voltage.
VIL
Voltage input low: The maximum positive voltage applied to the input which is accepted by the device as a logic
low.
VIL (AC)
Low-level AC input voltage.
VIL (DC)
Low-level DC input voltage.
VIN
DC input voltage.
VOCM
Output common mode voltage: The common mode of the differential signal at the transmitter.
VOD
Output differential voltage swing: The difference in voltage between the positive and complementary conductors
of a differential transmission at the transmitter. VOD = VOH – VOL.
VOH
Voltage output high: The maximum positive voltage from an output which the device considers is accepted as the
minimum positive high level.
VOL
Voltage output low: The maximum positive voltage from an output which the device considers is accepted as the
maximum positive low level.
VOS
Output offset voltage: VOS = (VOH + VOL) / 2.
VOX (AC)
AC differential Output cross point voltage: The voltage at which the differential output signals must cross.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Term
63
Definition
VREF
Reference voltage for SSTL, HSTL, and HSUL I/O Standards.
VREF(AC)
AC input reference voltage for SSTL, HSTL, and HSUL I/O Standards. VREF(AC) = VREF(DC) + noise. The peak-topeak AC noise on VREF should not exceed 2% of VREF(DC).
VREF(DC)
DC input reference voltage for SSTL, HSTL, and HSUL I/O Standards.
VSWING (AC)
AC differential input voltage: AC Input differential voltage required for switching.
VSWING (DC)
DC differential input voltage: DC Input differential voltage required for switching.
VTT
Termination voltage for SSTL, HSTL, and HSUL I/O Standards.
VX (AC)
AC differential Input cross point voltage: The voltage at which the differential input signals must cross.
Document Revision History for MAX 10 FPGA Device Datasheet
Date
June 2015
MAX 10 FPGA Device Datasheet
Send Feedback
Version
2015.06.12
Changes
• Updated the maximum values in Internal Weak Pull-Up Resistor for MAX 10 Devices table.
• Removed Internal Weak Pull-Up Resistor equation.
• Updated the note for input resistance and input capacitance parameters in the ADC Performance Specifica‐
tions table for both single supply and dual supply devices. Note: Download the SPICE models for
simulation.
• Added a note to AC Accuracy - THD, SNR, and SINAD parameters in the ADC Performance Specifications
for MAX 10 Dual Supply Devices table. Note: When using internal VREF, THD = 66 dB, SNR = 58 dB and
SINAD = 57.5 dB for dedicated ADC input channels.
• Updated clock period jitter and cycle-to-cycle period jitter parameters in the Memory Output Clock Jitter
Specifications for MAX 10 Devices table.
Altera Corporation
64
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Date
May 2015
Version
2015.05.04
Changes
• Updated a note to VCCIO for both single supply and dual supply power supplies recommended operating
conditions tables. Note updated: VCCIO for all I/O banks must be powered up during user mode because
VCCIO I/O banks are used for the ADC and I/O functionalities.
• Updated Example for OCT Resistance Calculation after Calibration at Device Power-Up.
• Removed a note to BLVDS in Differential I/O Standards Specifications for MAX 10 Devices table. BLVDS is
now supported in MAX 10 single supply devices. Note removed: BLVDS TX is not supported in single
supply devices.
• Updated ADC Performance Specifications for both single supply and dual supply devices.
•
•
•
•
•
Changed the symbol for Operating junction temperature range parameter from TA to TJ.
Edited sampling rate maximum value from 1000 kSPS to 1 MSPS.
Added a note to analog input voltage parameter.
Removed input frequency, fIN specification.
Updated the condition for DNL specification: External VREF, no missing code. Added DNL specification
for condition: Internal VREF, no missing code.
• Added notes to AC accuracy specifications that the value with prescalar enabled is 6dB less than the
specification.
• Added a note to On-Chip Temperature Sensor (absolute accuracy) parameter about the averaging
calculation.
• Updated ADC Performance Specifications for MAX 10 Single Supply Devices table.
• Added condition for On-Chip Temperature Sensor (absolute accuracy) parameter: with 64 samples
averaging.
• Updated ADC Performance Specifications for MAX 10 Dual Supply Devices table.
• Updated Digital Supply Voltage minimum value from 1.14 V to 1.15 V and maximum value from 1.26 V
to 1.25 V.
Altera Corporation
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Date
Version
65
Changes
• Updated fHSCLK and HSIODR specifications for –A7 speed grade in the following tables:
• True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
• True LVDS Transmitter Timing Specifications for MAX 10 Single Supply Devices
• True LVDS Transmitter Timing Specifications for MAX 10 Dual Supply Devices
• Emulated LVDS_E_3R Transmitter Timing Specifications for MAX 10 Single Supply Devices
• Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
• LVDS Receiver Timing Specifications for MAX 10 Single Supply Devices
• LVDS, TMDS, HiSpi, SLVS, and Sub-LVDS Receiver Timing Specifications for MAX 10 Dual Supply
Devices
• Updated TCCS specifications in the following tables:
• True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• Emulated RSDS_E_1R Transmitter Timing Specifications for MAX 10 Dual Supply Devices
• True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
• True LVDS Transmitter Timing Specifications for MAX 10 Single Supply Devices
• True LVDS Transmitter Timing Specifications for MAX 10 Dual Supply Devices
• Emulated LVDS_E_3R Transmitter Timing Specifications for MAX 10 Single Supply Devices
• Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
66
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Date
Version
Changes
• Updated tx Jitter specifications in the following tables:
•
•
•
•
• True PPDS and Emulated PPDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• True RSDS and Emulated RSDS_E_3R Transmitter Timing Specifications for MAX 10 Dual Supply
Devices
• Emulated RSDS_E_1R Transmitter Timing Specifications for MAX 10 Dual Supply Devices
• True Mini-LVDS and Emulated Mini-LVDS_E_3R Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
• True LVDS Transmitter Timing Specifications for MAX 10 Dual Supply Devices
• Emulated LVDS_E_3R, SLVS, and Sub-LVDS Transmitter Timing Specifications for MAX 10 Dual
Supply Devices
Updated SW specifications in LVDS Receiver Timing Specifications for MAX 10 Single Supply Devices
table.
Added a note to tx Jitter for all LVDS tables. Note: TX jitter is the jitter induced from core noise and I/O
switching noise.
Updated the description for tLOCK for all LVDS tables: Time required for the PLL to lock, after CONF_DONE
signal goes high, indicating the completion of device configuration.
Updated Memory Output Clock Jitter Specifications section.
• Updated maximum external memory interfaces frequency from 300 MHz to 303 MHz.
• Updated PLL output routing from global clock network to PHY clock network.
• Added I/O Timing for MAX 10 Devices table.
• Added VHYS in the Glossary table.
January 2015
Altera Corporation
2015.01.23
• Removed a note to VCCA in Power Supplies Recommended Operating Conditions for MAX 10 Dual Supply
Devices table. This note is not valid: All VCCA pins must be connected together for EQFP package.
• Corrected the maximum value for tOUTJITTER_CCJ_ IO (FOUT ≥ 100 MHz) from 60 ps to 650 ps in PLL Specifi‐
cations for MAX 10 Devices table.
MAX 10 FPGA Device Datasheet
Send Feedback
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Date
Version
December 2014
2014.12.15
67
Changes
• Restructured Programming/Erasure Specifications for MAX 10 Devices table to add temperature specifica‐
tions that affect the data retention duration.
• Added statements in the I/O Pin Leakage Current section: Input channel leakage of ADC I/O pins due to
hot socket is up to maximum of 1.8 mA. The input channel leakage occurs when the ADC IP core is enabled
or disabled. This is applicable to all MAX 10 devices with ADC IP core, which are 10M04, 10M08, 10M16,
10M25, 10M40, and 10M50 devices. The ADC I/O pins are in Bank 1A.
• Added a statement in the I/O Standards Specifications section: You must perform timing closure analysis to
determine the maximum achievable frequency for general purpose I/O standards.
• Updated SSTL-2 Class I and II I/O standard specifications for JEDEC compliance as follows:
•
•
•
•
•
•
• VIL(AC) Max: Updated from VREF – 0.35 to VREF – 0.31
• VIH(AC) Min: Updated from VREF + 0.35 to VREF + 0.31
Added a note to BLVDS in Differential I/O Standards Specifications for MAX 10 Devices table: BLVDS TX
is not supported in single supply devices.
Added a link to MAX 10 High-Speed LVDS I/O User Guide for the list of I/O standards supported in single
supply and dual supply devices.
Added a statement in PLL Specifications for MAX 10 Single Supply Device table: For V36 package, the PLL
specification is based on single supply devices.
Added Internal Oscillator Specifications from MAX 10 Clocking and PLL User Guide.
Added UFM specifications for serial interface.
Updated total harmonic distortion (THD) specifications as follows:
• Single supply devices: Updated from 65 dB to –65 dB
• Dual supply devices: Updated from 70 dB to –70 dB (updated from 65 dB to –65 dB for dual function
pin)
• Added condition for On-Chip Temperature Sensor—Absolute accuracy parameter in ADC Performance
Specifications for MAX 10 Dual Supply Devices table. The condition is: with 64 samples averaging.
• Updated the description in Periphery Performance Specifications to mention that proper timing closure is
required in design.
• Updated HSIODR and fHSCLK specifications for x10 and x7 modes in True LVDS Transmitter Timing
Specifications for MAX 10 Dual Supply Devices.
MAX 10 FPGA Device Datasheet
Send Feedback
Altera Corporation
68
M10-DATASHEET
2015.06.12
Document Revision History for MAX 10 FPGA Device Datasheet
Date
Version
Changes
• Added specifications for low-speed I/O performance pin sampling window in LVDS Receiver Timing
Specifications for MAX 10 Single Supply Devices table: Max = 900 ps for –C7, –I7, –A7, and –C8 speed
grades.
• Added tRU_nCONFIG and tRU_nRSTIMER specifications for different devices in Remote System Upgrade
Circuitry Timing Specifications for MAX 10 Devices table.
• Removed the word "internal oscillator" in User Watchdog Timer Specifications for MAX 10 Devices table to
avoid confusion.
• Added IOE programmable delay specifications.
September 2014
Altera Corporation
2014.09.22
Initial release.
MAX 10 FPGA Device Datasheet
Send Feedback