TI TMS320VC5509

TMS320VC5509 Fixed-Point
Digital Signal Processor
Data Manual
Literature Number: SPRS163G
April 2001 − Revised September 2004
PRODUCTION DATA information is current as of publication date.
Products conform to specifications per the terms of Texas Instruments
standard warranty. Production processing does not necessarily include
testing of all parameters.
IMPORTANT NOTICE
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications,
enhancements, improvements, and other changes to its products and services at any time and to discontinue
any product or service without notice. Customers should obtain the latest relevant information before placing
orders and should verify that such information is current and complete. All products are sold subject to TI’s terms
and conditions of sale supplied at the time of order acknowledgment.
TI warrants performance of its hardware products to the specifications applicable at the time of sale in
accordance with TI’s standard warranty. Testing and other quality control techniques are used to the extent TI
deems necessary to support this warranty. Except where mandated by government requirements, testing of all
parameters of each product is not necessarily performed.
TI assumes no liability for applications assistance or customer product design. Customers are responsible for
their products and applications using TI components. To minimize the risks associated with customer products
and applications, customers should provide adequate design and operating safeguards.
TI does not warrant or represent that any license, either express or implied, is granted under any TI patent right,
copyright, mask work right, or other TI intellectual property right relating to any combination, machine, or process
in which TI products or services are used. Information published by TI regarding third-party products or services
does not constitute a license from TI to use such products or services or a warranty or endorsement thereof.
Use of such information may require a license from a third party under the patents or other intellectual property
of the third party, or a license from TI under the patents or other intellectual property of TI.
Reproduction of information in TI data books or data sheets is permissible only if reproduction is without
alteration and is accompanied by all associated warranties, conditions, limitations, and notices. Reproduction
of this information with alteration is an unfair and deceptive business practice. TI is not responsible or liable for
such altered documentation.
Resale of TI products or services with statements different from or beyond the parameters stated by TI for that
product or service voids all express and any implied warranties for the associated TI product or service and
is an unfair and deceptive business practice. TI is not responsible or liable for any such statements.
Following are URLs where you can obtain information on other Texas Instruments products and application
solutions:
Products
Applications
Amplifiers
amplifier.ti.com
Audio
www.ti.com/audio
Data Converters
dataconverter.ti.com
Automotive
www.ti.com/automotive
DSP
dsp.ti.com
Broadband
www.ti.com/broadband
Interface
interface.ti.com
Digital Control
www.ti.com/digitalcontrol
Logic
logic.ti.com
Military
www.ti.com/military
Power Mgmt
power.ti.com
Optical Networking
www.ti.com/opticalnetwork
Microcontrollers
microcontroller.ti.com
Security
www.ti.com/security
Telephony
www.ti.com/telephony
Video & Imaging
www.ti.com/video
Wireless
www.ti.com/wireless
Mailing Address:
Texas Instruments
Post Office Box 655303 Dallas, Texas 75265
Copyright  2004, Texas Instruments Incorporated
Revision History
REVISION HISTORY
This revision history highlights the technical changes made to SPRS163F to generate SPRS163G.
Scope: Deleted Section 6.1 (Ball Grid Array Mechanical Data) and Section 6.2 (Low-Profile Quad Flatpack
Mechanical Data). Mechanical drawings of the 179-terminal GHH package and the 144-pin PGE package will be
appended to this document via an automated process.
PAGE(S)
NO.
ADDITIONS/CHANGES/DELETIONS
17
Table 2−3, Signal Descriptions:
− updated FUNCTION column of McBSP2.FSX:
− changed “McBSP1.FSX is selected when the External Bus Selection Register has 00 in ...” to “McBSP2.FSX is
selected when the External Bus Selection Register has 00 in ...”
37
Section 3.3, Direct Memory Access (DMA) Controller:
− updated “The 5509 DMA controller allows transfers to be synchronized ...” paragraph:
− changed “The 5509 supports 21 separate sync events ...” to “The 5509 supports 19 separate sync events ...”
62
Table 3−33, USB Module Registers:
− deleted 0x67A0 row (HOSTCTL, Host DMA Control Register)
− deleted 0x67A1 row (HOSTEP, Host DMA Endpoint Register)
− deleted 0x67A2 row (HOST, Host DMA Status)
66
Figure 3−17, IFR0 and IER0 Bit Locations:
− added reset values
68
Section 3.10.3.1, Waking Up From IDLE With Oscillator Disabled:
− updated title of SPRA078
69
Section 4, Documentation Support:
− added “Disabling the Internal Oscillator on the TMS320VC5507/5509/5509A DSP application report (literature
number SPRA078)”
70
Updated Section 4.1, Device and Development-Support Tool Nomenclature
77
Section 5.6.1, Internal System Oscillator With External Crystal:
− “The internal oscillator is always enabled following a device reset ...” paragraph:
− changed “The oscillator requires an external crystal or ceramic resonator connected across the X1 and X2/CLKIN
pins.” to “The oscillator requires an external crystal connected across the X1 and X2/CLKIN pins.”
98
Table 5−25, McBSP Transmit and Receive Timing Requirements:
− updated footnote about “P” value
99
Table 5−26, McBSP Transmit and Receive Switching Characteristics:
− updated footnote about “P” value
101
Table 5−27, McBSP General-Purpose I/O Timing Requirements:
− updated footnote about “P” value
102
Table 5−29, McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 0):
− updated footnote about “P” value
April 2001 − Revised September 2004
SPRS163G
3
Revision History
PAGE(S)
NO.
4
ADDITIONS/CHANGES/DELETIONS
102
Table 5−30, McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 0):
− updated footnote about “P” value
104
Table 5−31, McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 0):
− updated footnote about “P” value
104
Table 5−32, McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 0):
− updated footnote about “P” value
105
Table 5−33, McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 1):
− updated footnote about “P” value
105
Table 5−34, McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 1):
− updated footnote about “P” value
106
Table 5−35, McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 1):
− updated footnote about “P” value
106
Table 5−36, McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 1):
− updated footnote about “P” value
120
Section 6, Mechanical Data:
− deleted Section 6.1, Ball Grid Array Mechanical Data
− deleted Section 6.2, Low-Profile Quad Flatpack Mechanical Data
Mechanical drawings of the 179-terminal GHH package and 144-pin PGE package will be appended to this document via an
automated process.
SPRS163G
April 2001 − Revised September 2004
Contents
Contents
Section
Page
1
TMS320VC5509 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
2
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.1
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.2
Pin Assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.2.1
Terminal Assignments for the GHH Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.2.2
Pin Assignments for the PGE Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.3
Signal Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
12
12
13
13
15
17
3
Functional Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1
Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.1
On-Chip Dual-Access RAM (DARAM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.2
On-Chip Single-Access RAM (SARAM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.3
On-Chip Read-Only Memory (ROM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.4
Secure ROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.5
Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.1.6
Boot Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.2
Peripherals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.3
Direct Memory Access (DMA) Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.3.1
DMA Channel Control Register (DMA_CCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2
3.4
I C Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5
Configurable External Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5.1
External Bus Selection Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5.2
Parallel Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5.3
Parallel Port Signal Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.5.4
Serial Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.6
General-Purpose Input/Output (GPIO) Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.6.1
Dedicated General-Purpose I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.6.2
Address Bus General-Purpose I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.6.3
EHPI General-Purpose I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.7
System Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.8
Memory-Mapped Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.9
Peripheral Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.10
Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.10.1
IFR and IER Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.10.2
Interrupt Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.10.3
Waking Up From IDLE Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3.10.4
Idling Clock Domain When External Parallel Bus Operating in EHPI Mode . . . . . .
30
31
31
31
32
32
33
36
37
37
38
39
40
40
42
43
44
45
45
46
48
49
50
52
65
66
67
68
68
4
Documentation Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.1
Device and Development-Support Tool Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2
TMS320VC5509 Device Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
69
70
71
April 2001 − Revised September 2004
SPRS163G
5
Contents
Section
Page
5
Electrical Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.1
Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.2
Recommended Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.3
Electrical Characteristics Over Recommended Operating Case Temperature Range . . . . . . .
5.4
Package Thermal Resistance Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.5
Timing Parameter Symbology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6
Clock Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.1
Internal System Oscillator With External Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.2
Layout Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.3
Clock Generation in Bypass Mode (DPLL Disabled) . . . . . . . . . . . . . . . . . . . . . . . . . .
5.6.4
Clock Generation in Lock Mode (DPLL Synthesis Enabled) . . . . . . . . . . . . . . . . . . .
5.6.5
Real-Time Clock Oscillator With External Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.7
Memory Interface Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.7.1
Asynchronous Memory Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.7.2
Synchronous DRAM (SDRAM) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.8
Reset Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.8.1
Power-Up Reset (On-Chip Oscillator Active) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.8.2
Power-Up Reset (On-Chip Oscillator Inactive) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.8.3
Warm Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.9
External Interrupt Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.10
Wake-Up From IDLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.11
XF Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.12
General-Purpose Input/Output (GPIOx) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.13
TIN/TOUT Timings (Timer0 Only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.14
Multichannel Buffered Serial Port (McBSP) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.14.1
McBSP Transmit and Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.14.2
McBSP General-Purpose I/O Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.14.3
McBSP as SPI Master or Slave Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.15
Enhanced Host-Port Interface (EHPI) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.16
I2C Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.17
MultiMedia Card (MMC) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.18
Secure Digital (SD) Card Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.19
Universal Serial Bus (USB) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5.20
ADC Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
72
72
72
74
75
76
77
77
78
78
79
80
81
81
84
92
92
92
93
94
94
95
96
97
98
98
101
102
107
113
115
116
117
119
6
Mechanical Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
120
6
SPRS163G
April 2001 − Revised September 2004
Figures
List of Figures
Figure
Page
2−1
2−2
179-Terminal GHH Ball Grid Array (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
144-Pin PGE Low-Profile Quad Flatpack (Top View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
13
15
3−1
3−2
3−3
3−4
3−5
3−6
3−7
3−8
3−9
3−10
3−11
3−12
3−13
3−14
3−15
3−16
3−17
3−18
Block Diagram of the TMS320VC5509 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Secure ROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TMS320VC5509 Memory Map (PGE Package) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TMS320VC5509 Memory Map (GHH Package) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DMA_CCR Bit Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Bus Selection Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Parallel Port Signal Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Parallel Port (EMIF) Signal Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O Direction Register (IODIR) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O Data Register (IODATA) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Enable Register (AGPIOEN) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Direction Register (AGPIODIR) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Data Register (AGPIODATA) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Enable Register (EHPIGPIOEN) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Direction Register (EHPIGPIODIR) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Data Register (EHPIGPIODATA) Bit Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IFR0 and IER0 Bit Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IFR1 and IER1 Bit Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
30
32
34
35
38
40
43
44
45
46
46
47
47
48
48
49
66
67
4−1
Device Nomenclature for the TMS320VC5509 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
71
5−1
5−2
5−3
5−4
5−5
5−6
5−7
5−8
5−9
5−10
5−11
5−12
5−13
5−14
5−15
5−16
3.3-V Test Load Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Internal System Oscillator With External Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Bypass Mode Clock Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Multiply-by-N Clock Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Real-Time Clock Oscillator With External Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Asynchronous Memory Read Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Asynchronous Memory Write Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Three SDRAM Read Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Three SDRAM WRT Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SDRAM ACTV Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SDRAM DCAB Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SDRAM REFR Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SDRAM MRS Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Power-Up Reset (On-Chip Oscillator Active) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Power-Up Reset (On-Chip Oscillator Inactive) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Reset Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
75
77
79
80
80
82
83
86
87
88
89
90
91
92
92
93
April 2001 − Revised September 2004
SPRS163G
7
Figures
Figure
5−17
5−18
5−19
5−20
5−21
5−22
5−23
5−24
5−25
5−26
5−27
5−28
5−29
5−30
5−31
5−32
5−33
5−34
5−35
5−36
5−37
5−38
5−39
5−40
8
Page
External Interrupt Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Wake-Up From IDLE Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
XF Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
General-Purpose Input/Output (IOx) Signal Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TIN/TOUT Timings When Configured as Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TIN/TOUT Timings When Configured as Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP Transmit Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP General-Purpose I/O Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP Timings as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . .
McBSP Timings as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . .
McBSP Timings as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . .
McBSP Timings as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . .
EHPI Nonmultiplexed Read/Write Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI Multiplexed Memory (HPID) Access Read/Write Timings Without Autoincrement . . . . . . . . .
EHPI Multiplexed Memory (HPID) Access Read Timings With Autoincrement . . . . . . . . . . . . . . . . .
EHPI Multiplexed Memory (HPID) Access Write Timings With Autoincrement . . . . . . . . . . . . . . . . .
EHPI Multiplexed Register Access Read/Write Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I2C Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I2C Transmit Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MultiMedia Card (MMC) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Secure Digital (SD) Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
USB Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Full-Speed Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPRS163G
94
94
95
96
97
97
100
100
101
103
104
105
106
108
109
110
111
112
113
114
115
116
117
118
April 2001 − Revised September 2004
Tables
List of Tables
Table
Page
2−1
2−2
2−3
Pin Assignments for the GHH Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Pin Assignments for the PGE Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Signal Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
14
16
17
3−1
3−2
3−3
3−4
3−5
3−6
3−7
3−8
3−9
3−10
3−11
3−12
3−13
3−14
3−15
3−16
3−17
3−18
3−19
3−20
3−21
3−22
3−23
3−24
3−25
3−26
3−27
3−28
3−29
3−30
3−31
3−32
3−33
3−34
3−35
3−36
3−37
3−38
3−39
DARAM Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SARAM Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Boot Configuration Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Synchronization Control Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Bus Selection Register Bit Field Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TMS320VC5509 Parallel Port Signal Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TMS320VC5509 Serial Port1 Signal Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TMS320VC5509 Serial Port2 Signal Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O Direction Register (IODIR) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O Data Register (IODATA) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Enable Register (AGPIOEN) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Direction Register (AGPIODIR) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Address/GPIO Data Register (AGPIODATA) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Enable Register (EHPIGPIOEN) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Direction Register (EHPIGPIODIR) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI GPIO Data Register (EHPIGPIODATA) Bit Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CPU Memory-Mapped Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Idle Control, Status, and System Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Memory Interface Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DMA Configuration Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Real-Time Clock Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Clock Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Timers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Multichannel Serial Port #0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Multichannel Serial Port #1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Multichannel Serial Port #2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
GPIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Device Revision ID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I2C Module Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Watchdog Timer Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MMC/SD1 Module Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MMC/SD2 Module Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
USB Module Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Analog-to-Digital Controller (ADC) Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Bus Selection Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Secure ROM Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Interrupt Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IFR0 and IER0 Register Bit Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IFR1 and IER1 Register Bit Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
31
31
36
38
40
42
44
44
45
46
46
47
47
48
48
49
50
52
52
53
56
56
56
57
58
59
60
60
60
61
61
62
62
64
64
64
65
66
67
April 2001 − Revised September 2004
SPRS163G
9
Tables
Table
5−1
5−2
5−3
5−4
5−5
5−6
5−7
5−8
5−9
5−10
5−11
5−12
5−13
5−14
5−15
5−16
5−17
5−18
5−19
5−20
5−21
5−22
5−23
5−24
5−25
5−26
5−27
5−28
5−29
5−30
5−31
5−32
5−33
5−34
5−35
5−36
5−37
5−38
5−39
5−40
5−41
5−42
5−43
5−44
5−45
5−46
10
Page
Thermal Resistance Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Recommended Crystal Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLKIN Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CLKOUT Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Multiply-By-N Clock Option Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Multiply-By-N Clock Option Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Asynchronous Memory Cycle Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Asynchronous Memory Cycle Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Synchronous DRAM Cycle Timing Requirements
[SDRAM Clock = 1X, (1/4)X, and (1/8)X of CPU Clock] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Synchronous DRAM Cycle Switching Characteristics
[SDRAM Clock = 1X, (1/4)X, and (1/8)X of CPU Clock] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Synchronous DRAM Cycle Timing Requirements [SDRAM Clock = (1/2)X of CPU Clock] . . . . . .
Synchronous DRAM Cycle Switching Characteristics [SDRAM Clock = (1/2)X of CPU Clock] . .
Power-Up Reset (On-Chip Oscillator Active) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . .
Power-Up Reset (On-Chip Oscillator Inactive) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . .
Power-Up Reset (On-Chip Oscillator Inactive) Switching Characteristics . . . . . . . . . . . . . . . . . . . .
Reset Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Reset Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
External Interrupt Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Wake-Up From IDLE Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
XF Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
GPIO Pins Configured as Inputs Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
GPIO Pins Configured as Outputs Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TIN/TOUT Pins Configured as Inputs Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
TIN/TOUT Pins Configured as Outputs Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP Transmit and Receive Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP Transmit and Receive Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP General-Purpose I/O Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP General-Purpose I/O Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 0) . . . . . . . . . .
McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 0) . . . . . .
McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 0) . . . . . . . . . .
McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 0) . . . . . . .
McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 1) . . . . . . . . . .
McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 1) . . . . . .
McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 1) . . . . . . . . . .
McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 1) . . . . . . .
EHPI Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
EHPI Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I2C Signals (SDA and SCL) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I2C Signals (SDA and SCL) Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MultiMedia Card (MMC) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
MultiMedia Card (MMC) Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Secure Digital (SD) Card Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Secure Digital (SD) Card Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Universal Serial Bus (USB) Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ADC Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SPRS163G
75
77
78
78
79
79
81
81
84
84
85
85
92
92
92
93
93
94
94
95
96
96
97
97
98
99
101
101
102
102
104
104
105
105
106
106
107
107
113
114
115
115
116
116
117
119
April 2001 − Revised September 2004
Features
1
TMS320VC5509 Features
D High-Performance, Low-Power, Fixed-Point
D
D
D
D
D
D
TMS320C55x Digital Signal Processor
− 6.94-ns Instruction Cycle Time for
144-MHz Clock Rate at 1.6 V
− One/Two Instruction(s) Executed per
Cycle
− Dual Multipliers [Up to 288 Million
Multiply-Accumulates per Second
(MMACS)]
− Two Arithmetic/Logic Units (ALUs)
− Three Internal Data/Operand Read Buses
and Two Internal Data/Operand Write
Buses
128K x 16-Bit On-Chip RAM, Composed of:
− 64K Bytes of Dual-Access RAM (DARAM)
8 Blocks of 4K × 16-Bit
− 192K Bytes of Single-Access RAM
(SARAM) 24 Blocks of 4K × 16-Bit
64K Bytes of One-Wait-State On-Chip ROM
(32K × 16-Bit)
8M × 16-Bit Maximum Addressable External
Memory Space (Synchronous DRAM)
16-Bit External Parallel Bus Memory
Supporting Either:
− External Memory Interface (EMIF) With
GPIO Capabilities and Glueless Interface
to:
− Asynchronous Static RAM (SRAM)
− Asynchronous EPROM
− Synchronous DRAM (SDRAM)
− 16-Bit Parallel Enhanced Host-Port
Interface (EHPI) With GPIO Capabilities
Programmable Low-Power Control of Six
Device Functional Domains
On-Chip Scan-Based Emulation Logic
D On-Chip Peripherals
D
D
D
D
− Two 20-Bit Timers
− Watchdog Timer
− Six-Channel Direct Memory Access
(DMA) Controller
− Three Serial Ports Supporting a
Combination of:
− Up to 3 Multichannel Buffered Serial
Ports (McBSPs)
− Up to 2 MultiMedia/Secure Digital Card
Interfaces
− Programmable Digital Phase-Locked
Loop (DPLL) Clock Generator
− Seven (LQFP) or Eight (BGA) GeneralPurpose I/O (GPIO) Pins and a GeneralPurpose Output Pin (XF)
− USB Full-Speed (12 Mbps) Slave Port
Supporting Bulk, Interrupt and
Isochronous Transfers
− Inter-Integrated Circuit (I2C) Multi-Master
and Slave Interface
− Real-Time Clock (RTC) With Crystal
Input, Separate Clock Domain, Separate
Power Supply
− 4-Channel (BGA) or 2-Channel (LQFP)
10-Bit Successive Approximation A/D
IEEE Std 1149.1† (JTAG) Boundary Scan
Logic
Packages:
− 144-Terminal Low-Profile Quad Flatpack
(LQFP) (PGE Suffix)
− 179-Terminal MicroStar BGA (Ball Grid
Array) (GHH Suffix)
2.7-V – 3.6-V I/O Supply Voltage
1.6-V Core Supply Voltage
TMS320C55x and MicroStar BGA are trademarks of Texas Instruments.
All trademarks are the property of their respective owners.
† IEEE Standard 1149.1-1990 Standard-Test-Access Port and Boundary Scan Architecture.
April 2001 − Revised September 2004
SPRS163G
11
Introduction
2
Introduction
This section describes the main features of the TMS320VC5509, lists the pin assignments, and describes the
function of each pin. This data manual also provides a detailed description section, electrical specifications,
parameter measurement information, and mechanical data about the available packaging.
NOTE: This data manual is designed to be used in conjunction with theTMS320C55x DSP Functional
Overview (literature number SPRU312), the TMS320C55x DSP CPU Reference Guide (literature
number SPRU371), and the TMS320C55x DSP Peripherals Overview Reference Guide (literature
number SPRU317).
2.1
Description
The TMS320VC5509 fixed-point digital signal processor (DSP) is based on the TMS320C55x DSP generation
CPU processor core. The C55x DSP architecture achieves high performance and low power through
increased parallelism and total focus on reduction in power dissipation. The CPU supports an internal bus
structure that is composed of one program bus, three data read buses, two data write buses, and additional
buses dedicated to peripheral and DMA activity. These buses provide the ability to perform up to three data
reads and two data writes in a single cycle. In parallel, the DMA controller can perform up to two data transfers
per cycle independent of the CPU activity.
The C55x CPU provides two multiply-accumulate (MAC) units, each capable of 17-bit x 17-bit multiplication
in a single cycle. A central 40-bit arithmetic/logic unit (ALU) is supported by an additional 16-bit ALU. Use of
the ALUs is under instruction set control, providing the ability to optimize parallel activity and power
consumption. These resources are managed in the Address Unit (AU) and Data Unit (DU) of the C55x CPU.
The C55x DSP generation supports a variable byte width instruction set for improved code density. The
Instruction Unit (IU) performs 32-bit program fetches from internal or external memory and queues instructions
for the Program Unit (PU). The Program Unit decodes the instructions, directs tasks to AU and DU resources,
and manages the fully protected pipeline. Predictive branching capability avoids pipeline flushes on execution
of conditional instructions.
The general-purpose input and output functions and the10-bit A/D provide sufficient pins for status, interrupts,
and bit I/O for LCDs, keyboards, and media interfaces. The parallel interface operates in two modes, either
as a slave to a microcontroller using the HPI port or as a parallel media interface using the asynchronous EMIF.
Serial media is supported through two MultiMedia Card/Secure Digital (MMC/SD) peripherals and three
McBSPs.
The 5509 peripheral set includes an external memory interface (EMIF) that provides glueless access to
asynchronous memories like EPROM and SRAM, as well as to high-speed, high-density memories such as
synchronous DRAM. Additional peripherals include Universal Serial Bus (USB), real-time clock, watchdog
timer, I2C multi-master and slave interface, and a unique device ID. Three full-duplex multichannel buffered
serial ports (McBSPs) provide glueless interface to a variety of industry-standard serial devices, and
multichannel communication with up to 128 separately enabled channels. The enhanced host-port interface
(HPI) is a 16-bit parallel interface used to provide host processor access to 32K bytes of internal memory on
the 5509. The HPI can be configured in either multiplexed or non-multiplexed mode to provide glueless
interface to a wide variety of host processors. The DMA controller provides data movement for six independent
channel contexts without CPU intervention, providing DMA throughput of up to two 16-bit words per cycle. Two
general-purpose timers, up to eight dedicated general-purpose I/O (GPIO) pins, and digital phase-locked loop
(DPLL) clock generation are also included.
The 5509 is supported by the industry’s award-winning eXpressDSP, Code Composer Studio Integrated
Development Environment (IDE), DSP/BIOS, Texas Instruments’ algorithm standard, and the industry’s
largest third-party network. The Code Composer Studio IDE features code generation tools including a
C Compiler and Visual Linker, simulator, RTDX, XDS510 emulation device drivers, and evaluation
modules. The 5509 is also supported by the C55x DSP Library which features more than 50 foundational
software kernels (FIR filters, IIR filters, FFTs, and various math functions) as well as chip and board support
libraries.
C55x, eXpressDSP, Code Composer Studio, DSP/BIOS, RTDX, and XDS510 are trademarks of Texas Instruments.
12
SPRS163G
April 2001 − Revised September 2004
Introduction
The TMS320C55x DSP core was created with an open architecture that allows the addition of
application-specific hardware to boost performance on specific algorithms. The hardware extensions on the
5509 strike the perfect balance of fixed function performance with programmable flexibility, while achieving
low-power consumption, and cost that traditionally has been difficult to find in the video-processor market. The
extensions allow the 5509 to deliver exceptional video codec performance with more than half its bandwidth
available for performing additional functions such as color space conversion, user-interface operations,
security, TCP/IP, voice recognition, and text-to-speech conversion. As a result, a single 5509 DSP can power
most portable digital video applications with processing headroom to spare. For more information, see the
TMS320C55x Hardware Extensions for Image/Video Applications Programmer’s Reference (literature
number SPRU098). For more information on using the the DSP Image Processing Library, see the
TMS320C55x Image/Video Processing Library Programmer’s Reference (literature number SPRU037).
2.2
Pin Assignments
Figure 2−1 illustrates the ball locations for the 179-pin ball grid array (BGA) package and is used in conjunction
with Table 2−1 to locate signal names and ball grid numbers. DVDD is the power supply for the I/O pins while
CVDD is the power supply for the core CPU. VSS is the ground for both the I/O pins and the core CPU.
2.2.1 Terminal Assignments for the GHH Package
P
N
M
L
K
J
H
G
F
E
D
C
B
A
1 2 3 4 5 6 7 8 9 10 11 12 13 14
Figure 2−1. 179-Terminal GHH Ball Grid Array (Bottom View)
April 2001 − Revised September 2004
SPRS163G
13
Introduction
Table 2−1. Pin Assignments for the GHH Package
SIGNAL
NAME
BALL #
SIGNAL
NAME
BALL #
SIGNAL
NAME
BALL #
A2
VSS
D5
GPIO5
H2
DVDD
L13
D15
A3
GPIO4
D6
DR0
H3
A19
L14
CVDD
A4
DVDD
D7
S10
H4
C4
M1
C10
A5
FSR0
D8
S11
H5
C5
M2
C13
A6
CVDD
D9
DVDD
H10
DVDD
M3
VSS
A7
S12
D10
S25
H11
A’[0]
M4
CVDD
A8
DVDD
D11
VSS
H12
RESET
M5
VSS
A9
S20
D12
AIN2
H13
SDA
M6
A5
A10
S21
D13
AIN1
H14
SCL
M7
A1
BALL #
14
SIGNAL
NAME
A11
S23
D14
AIN0
J1
C6
M8
A15
A12
RTCINX1
E1
GPIO1
J2
DVDD
M9
D3
A13
RDVDD
E2
GPIO2
J3
C7
M10
D6
A14
RDVDD
E3
DVDD
J4
C8
M11
CVDD
B1
VSS
E4
VSS
J5
CVDD
M12
DVDD
B2
CVDD
E5
VSS
J10
RVDD
M13
VSS
B3
GPIO3
E6
DVDD
J11
CVDD
M14
D12
B4
TIN/TOUT0
E7
DX0
J12
TRST
N1
VSS
B5
CLKR0
E8
S15
J13
TCK
N2
VSS
B6
FSX0
E9
S13
J14
TMS
N3
A13
B7
CVDD
E10
NC
K1
A18
N4
A10
B8
CVDD
E11
AIN3
K2
C9
N5
A7
DVDD
B9
VSS
E12
ADVSS
K3
C11
N6
B10
S24
E13
VSS
K4
VSS
N7
RVDD
B11
VSS
E14
XF
K5
VSS
N8
CVDD
B12
RTCINX2
F1
X1
K6
A3
N9
VSS
B13
RDVDD
F2
X2/CLKIN
K7
A2
N10
VSS
B14
AVSS
F3
GPIO0
K8
D1
N11
D8
C1
PU
F4
VSS
K9
A14
N12
D11
C2
VSS
F5
CLKOUT
K10
DVDD
N13
DVDD
C3
NC
F10
ADVDD
K11
EMU0
N14
VSS
C4
GPIO6
F11
VSS
K12
EMU1/OFF
P1
VSS
C5
VSS
F12
INT4
K13
TDO
P2
VSS
C6
CLKX0
F13
DVDD
K14
TDI
P3
A12
C7
VSS
F14
INT3
L1
RVDD
P4
A9
C8
S14
G1
CVDD
L2
C14
P5
A17
C9
S22
G2
C1
L3
C12
P6
A4
C10
CVDD
G3
A20
L4
A11
P7
A16
C11
VSS
G4
C2
L5
A8
P8
DVDD
C12
RCVDD
G5
C0
L6
A6
P9
D2
C13
AVSS
G10
INT2
L7
A0
P10
D5
C14
AVDD
G11
CVDD
L8
D0
P11
D7
D1
GPIO7
G12
VSS
L9
D4
P12
D10
D2
USBVDD
G13
INT1
L10
D9
P13
DVDD
D3
DN
G14
INT0
L11
D13
P14
DVDD
D4
DP
H1
C3
L12
D14
SPRS163G
April 2001 − Revised September 2004
Introduction
2.2.2 Pin Assignments for the PGE Package
The TMS320VC5509PGE 144-pin low-profile quad flatpack (LQFP) pin assignments are shown in Figure 2−2
and is used in conjunction with Table 2−2 to locate signal names and pin numbers. DVDD is the power supply
for the I/O pins while CVDD is the power supply for the core CPU. VSS is the ground for both the I/O pins and
the core CPU.
108
73
109
72
144
37
1
36
Figure 2−2. 144-Pin PGE Low-Profile Quad Flatpack (Top View)
April 2001 − Revised September 2004
SPRS163G
15
Introduction
Table 2−2. Pin Assignments for the PGE Package
16
PIN NO.
SIGNAL NAME
PIN NO.
SIGNAL NAME
PIN NO.
SIGNAL NAME
PIN NO.
SIGNAL NAME
1
VSS
2
PU
37
VSS
38
A13
73
VSS
109
RDVDD
74
D12
110
3
DP
39
RCVDD
A12
75
D13
111
RTCINX2
4
DN
5
USBVDD
40
A11
76
D14
112
RTCINX1
41
CVDD
77
D15
113
VSS
6
7
GPIO7
42
A10
78
CVDD
114
VSS
VSS
43
A9
79
EMU0
115
VSS
8
DVDD
44
A8
80
EMU1/OFF
116
S23
9
GPIO2
45
VSS
81
TDO
117
S25
10
GPIO1
46
A7
82
TDI
118
CVDD
11
VSS
47
A6
83
CVDD
119
S24
12
GPIO0
48
A5
84
TRST
120
S21
13
X2/CLKIN
49
DVDD
85
TCK
121
S22
14
X1
50
A4
86
TMS
122
VSS
15
CLKOUT
51
A3
87
RVDD
123
S20
16
C0
52
A2
88
DVDD
124
S13
17
C1
53
RVDD
89
SDA
125
S15
18
CVDD
54
A1
90
SCL
126
DVDD
19
C2
55
A0
91
RESET
127
S14
20
C3
56
DVDD
92
VSS
128
S11
21
C4
57
D0
93
INT0
129
S12
22
C5
58
D1
94
INT1
130
S10
23
C6
59
D2
95
CVDD
131
DX0
24
DVDD
60
VSS
96
INT2
132
CVDD
25
C7
61
D3
97
INT3
133
FSX0
26
C8
62
D4
98
DVDD
134
CLKX0
27
C9
63
D5
99
INT4
135
DR0
28
C11
64
VSS
100
VSS
136
FSR0
29
CVDD
65
D6
101
XF
137
CLKR0
30
RVDD
66
D7
102
VSS
138
VSS
31
C14
67
D8
103
ADVSS
139
DVDD
32
C12
68
CVDD
104
ADVDD
140
TIN/TOUT0
33
VSS
69
D9
105
AIN0
141
GPIO6
34
C10
70
D10
106
AIN1
142
GPIO4
35
C13
71
D11
107
AVDD
143
GPIO3
36
VSS
72
DVDD
108
AVSS
144
VSS
SPRS163G
April 2001 − Revised September 2004
Introduction
2.3
Signal Descriptions
Table 2−3 lists each signal, function, and operating mode(s) grouped by function. See Section 2.2 for pin
locations based on package type.
Table 2−3. Signal Descriptions
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
I/O/Z
A subset of the parallel address bus A13−A0 of the C55x DSP core
bonded to external pins. These pins serve in one of three functions: HPI
address bus (HPI.HA[13:0]), EMIF address bus (EMIF.A[13:0]), or
general-purpose I/O (GPIO.A[13:0]). The initial state of these pins
depends on the GPIO0 pin. See Section 3.5.1 for more information.
BK‡
RESET
CONDITION
PARALLEL BUS
A[13:0]
The address bus has a bus holder feature that eliminates passive
component requirement and the power dissipation associated with them.
The bus holders keep the address bus at the previous logic level when the
bus goes into a high-impedance state.
GPIO0 = 1:
HPI address bus. HPI.HA[13:0] is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is 10. This setting enables the
HPI in non-multiplexed mode.
HPI.HA[13:0]
I
HPI.HA[13:0] provides DSP internal memory access to host. In
non-multiplexed mode, these signals are driven by an external host as
address lines.
O/Z
EMIF address bus. EMIF.A[13:0] is selected when the Parallel Port Mode
bit field of the External Bus Selection Register is 01. This setting enables
the full EMIF mode and the EMIF drives the parallel port address bus. The
internal A[14] address is exclusive-ORed with internal A[0] address and
the result is routed to the A[0] pin.
GPIO.A[13:0]
I/O/Z
General-purpose I/O address bus. GPIO.A[13:0] is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is 11.
This setting enables the HPI in multiplexed mode with the Parallel Port
GPIO register controlling the parallel port address bus. GPIO is also
selected when the Parallel Port Mode bit field is 00, enabling the Data
EMIF mode.
EMIF.A′[0]
O/Z
EMIF address bus A′[0]. This pin is not multiplexed with EMIF.A[14] and is
used as the least significant external address pin on the BGA package.
EMIF.A[13:0]
A′[0]
(BGA only)
†
‡
Output,
EMIF.A[13:0]
BK
GPIO0 = 0:
Input,
HPI.HA[13:0]
Output
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
17
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
I/O/Z
A subset of the parallel address bus A15−A14 of the C55x DSP core
bonded to external pins. These pins serve in one of two functions: EMIF
address bus (EMIF.A[15:14]), or general-purpose I/O (GPIO.A[15:14]).
The initial state of these pins depends on the GPIO0 pin. See Section 3.5.1
for more information.
RESET
CONDITION
PARALLEL BUS (CONTINUED)
A[15:14]
(BGA only)
The address bus has a bus holder feature that eliminates passive
component requirement and the power dissipation associated with them.
The bus holders keep the address bus at the previous logic level when the
bus goes into a high-impedance state.
EMIF.A[15:14]
GPIO.A[15:14]
O/Z
EMIF address bus. EMIF.A[15:14] is selected when the Parallel Port Mode
bit field of the External Bus Selection Register is 01. This setting enables
the full EMIF mode and the EMIF drives the parallel port address bus.
I/O/Z
General-purpose I/O address bus. GPIO.A[15:14] is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is 11.
This setting enables the HPI in multiplexed mode with the Parallel Port
GPIO register controlling the parallel port address bus. GPIO is also
selected when the Parallel Port Mode bit field is 00, enabling the Data
EMIF mode.
GPIO0 = 1:
Output,
EMIF.A[15:14]
BK
GPIO0 = 0:
Input,
GPIO.A[15:14]
EMIF address bus. At reset, these address pins are set as output.
A[20:16]
(BGA only)
EMIF.A[20:16]
O/Z
NOTE:
Output
These pins only function as EMIF address pins and they are not
multiplexed for any other function.
A subset of the parallel bidirectional data bus D31−D0 of the C55x DSP
core. These pins serve in one of two functions: EMIF data bus
(EMIF.D[15:0]) or HPI data bus (HPI.HD[15:0]). The initial state of these
pins depends on the GPIO0 pin. See Section 3.5.1 for more information.
D[15:0]
†
‡
18
I/O/Z
The data bus includes bus keepers to reduce the static power dissipation
caused by floating, unused pins. This eliminates the need for external bias
resistors on unused pins. When the data bus is not being driven by the
CPU, the bus keepers keep the pins at the logic level that was most
recently driven. (The data bus keepers are disabled at reset, and can be
enabled/disabled under software control.)
EMIF.D[15:0]
I/O/Z
EMIF data bus. EMIF.D[15:0] is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is 00 or 01.
HPI.HD[15:0]
I/O/Z
HPI data bus. HPI.HD[15:0] is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is 10 or 11.
GPIO0 = 1:
Input,
EMIF.D[15:0]
BK
GPIO0 = 0:
Input,
HPI.HD[15:0]
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
I/O/Z
EMIF asynchronous memory read enable or general-purpose IO8. This
pin serves in one of two functions: EMIF asynchronous memory read
enable (EMIF.ARE) or general-purpose IO8 (GPIO8). The initial state of
this pin depends on the GPIO0 pin. See Section 3.5.1 for more information.
EMIF.ARE
O/Z
Active-low EMIF asynchronous memory read enable. EMIF.ARE is
selected when the Parallel Port Mode bit field of the External Bus Selection
Register is 00 or 01.
GPIO8
I/O/Z
General-purpose IO8. GPIO8 is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is set to 10 or 11.
O/Z
EMIF asynchronous memory output enable or HPI interrupt output. This
pin serves in one of two functions: EMIF asynchronous memory output
enable (EMIF.AOE) or HPI interrupt output (HPI.HINT). The initial state of
this pin depends on the GPIO0 pin. See Section 3.5.1 for more information.
EMIF.AOE
O/Z
Active-low asynchronous memory output enable. EMIF.AOE is selected
when the Parallel Port Mode bit field of the External Bus Selection Register
is 00 or 01.
HPI.HINT
O/Z
Active-low HPI interrupt output. HPI.HINT is selected when the Parallel
Port Mode bit field of the External Bus Selection Register is 10 or 11.
I/O/Z
EMIF asynchronous memory write enable or HPI read/write. This pin
serves in one of two functions: EMIF asynchronous memory write enable
(EMIF.AWE) or HPI read/write (HPI.HR/W). The initial state of this pin
depends on the GPIO0 pin. See Section 3.5.1 for more information.
EMIF.AWE
O/Z
Active-low EMIF asynchronous memory write enable. EMIF.AWE is
selected when the Parallel Port Mode bit field of the External Bus Selection
Register is 00 or 01.
HPI.HR/W
I
HPI read/write. HPI.HR/W is selected when the Parallel Port Mode bit field
of the External Bus Selection Register is 10 or 11. HPI.HR/W controls the
direction of the HPI transfer.
I/O/Z
EMIF data ready input or HPI ready output. This pin serves in one of two
functions: EMIF data ready input (EMIF.ARDY) or HPI ready output
(HPI.HRDY). The initial state of this pin depends on the GPIO0 pin. See
Section 3.5.1 for more information.
BK‡
RESET
CONDITION
PARALLEL BUS (CONTINUED)
C0
C1
C2
C3
EMIF.ARDY
I
EMIF data ready input. Used to insert wait states for slow memories.
EMIF.ARDY is selected when the Parallel Port Mode bit field of the
External Bus Selection Register is 00 or 01.
NOTE: With the buskeeper being active after reset, a strong 2.2K pullup is
necessary on this signal.
HPI.HRDY
†
‡
O/Z
GPIO0 = 1:
Output,
EMIF.ARE
BK
GPIO0 = 0:
Input,
GPIO8
GPIO0 = 1:
Output,
EMIF.AOE
GPIO0 = 0:
Output,
HPI.HINT
GPIO0 = 1:
Output,
EMIF.AWE
BK
GPIO0 = 0:
Input,
HPI.HR/W
GPIO0 = 1:
Input,
EMIF.ARDY
BK
GPIO0 = 0:
Output,
HPI.HRDY
HPI ready output. HPI.HRDY is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is 10 or 11.
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
19
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
BK‡
FUNCTION
RESET
CONDITION
PARALLEL BUS (CONTINUED)
I/O/Z
EMIF chip select for memory space CE0 or general-purpose IO9. This pin
serves in one of two functions: EMIF chip select for memory space CE0
(EMIF.CE0) or general-purpose IO9 (GPIO9). The initial state of this pin
depends on the GPIO0 pin. See Section 3.5.1 for more information.
EMIF.CE0
O/Z
Active-low EMIF chip select for memory space CE0. EMIF.CE0 is selected
when the Parallel Port Mode bit field of the External Bus Selection Register
is set to 00 or 01.
GPIO9
I/O/Z
General-purpose IO9. GPIO9 is selected when the Parallel Port Mode bit
field of the External Bus Selection Register is set to 10 or 11.
I/O/Z
EMIF chip select for memory space CE1 or general-purpose IO10. This pin
serves in one of two functions: EMIF chip-select for memory space CE1
(EMIF.CE1) or general-purpose IO10 (GPIO10). The initial state of this pin
depends on the GPIO0 pin. See Section 3.5.1 for more information.
EMIF.CE1
O/Z
Active-low EMIF chip select for memory space CE1. EMIF.CE1 is selected
when the Parallel Port Mode bit field of the External Bus Selection Register
is set to 00 or 01.
GPIO10
I/O/Z
General-purpose IO10. GPIO10 is selected when the Parallel Port Mode
bit field of the External Bus Selection Register is set to 10 or 11.
I/O/Z
EMIF chip select for memory space CE2 or HPI control input 0. This pin
serves in one of two functions: EMIF chip-select for memory space CE2
(EMIF.CE2) or HPI control input 0 (HPI.HCNTL0). The initial state of this
pin depends on the GPIO0 pin. See Section 3.5.1 for more information.
C4
C5
C6
EMIF.CE2
HPI.HCNTL0
C7
EMIF.CE3
GPIO11
HPI.HCNTL1
†
‡
20
O/Z
Active-low EMIF chip select for memory space CE2. EMIF.CE2 is selected
when the Parallel Port Mode bit field of the External Bus Selection Register
is set to 00 or 01.
I
HPI control input 0. This pin, in conjunction with HPI.HCNTL1, selects a
host access to one of the three HPI registers. HPI.HCNTL0 is selected
when the Parallel Port Mode bit field of the External Bus Selection Register
is set to 10 or 11.
I/O/Z
EMIF chip select for memory space CE3, general-purpose IO11, or HPI
control input 1. This pin serves in one of three functions: EMIF chip-select
for memory space CE3 (EMIF.CE3), general-purpose IO11 (GPIO11), or
HPI control input 1 (HPI.HCNTL1). The initial state of this pin depends on
the GPIO0 pin. See Section 3.5.1 for more information.
O/Z
Active-low EMIF chip select for memory space CE3. EMIF.CE3 is selected
when the Parallel Port Mode bit field is of the External Bus Selection
Register set to 00 or 01.
I/O/Z
General-purpose IO11. GPIO11 is selected when the Parallel Port Mode
bit field is set to 10.
I
HPI control input 1. This pin, in conjunction with HPI.HCNTL0, selects a
host access to one of the three HPI registers. The HPI.HCNTL1 mode is
selected when the Parallel Port Mode bit field is set to 11.
GPIO0 = 1:
Output,
EMIF.CE0
BK
GPIO0 = 0:
Input,
GPIO9
GPIO0 = 1:
Output,
EMIF.CE1
BK
GPIO0 = 0:
Input,
GPIO10
GPIO0 = 1:
Output,
EMIF.CE2
BK
GPIO0 = 0:
Input,
HPI.HCNTL0
GPIO0 = 1:
Output,
EMIF.CE3
BK
GPIO0 = 0:
Input,
HPI.HCNTL1
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
I/O/Z
EMIF byte enable 0 control or HPI byte identification. This pin serves in one
of two functions: EMIF byte enable 0 control (EMIF.BE0) or HPI byte
identification (HPI.HBE0). The initial state of this pin depends on the
GPIO0 pin. See Section 3.5.1 for more information.
O/Z
Active-low EMIF byte enable 0 control. EMIF.BE0 is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is set to
00 or 01.
BK‡
RESET
CONDITION
PARALLEL BUS (CONTINUED)
C8
EMIF.BE0
HPI byte identification. This pin, in conjunction with HPI.HBE1, identifies
the first or second byte of the transfer. HPI.HBE0 is selected when the
Parallel Port Mode bit field is set to 10 or 11.
HPI.HBE0
C9
EMIF.BE1
I
NOTE:
I/O/Z
EMIF byte enable 1 control or HPI byte identification. This pin serves in one
of two functions: EMIF byte enable 1 control (EMIF.BE1) or HPI byte
identification (HPI.HBE1). The initial state of this pin depends on the
GPIO0 pin. See Section 3.5.1 for more information.
O/Z
Active-low EMIF byte enable 1 control. EMIF.BE1 is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is set to
00 or 01.
†
‡
I
NOTE:
BK
GPIO0 = 0:
Input,
HPI.HBE0
As of Revision 3.1 of the silicon, the byte-enable function on the
HPI will no longer be supported. HPI.HBE0 and HPI.HBE1 must
be pulled down by external resistors or driven low by the host
processor.
HPI byte identification. This pin, in conjunction with HPI.HBE0, identifies
the first or second byte of the transfer. HPI.HBE1 is selected when the
Parallel Port Mode bit field is set to 10 or 11.
HPI.HBE1
GPIO0 = 1:
Output,
EMIF.BE0
GPIO0 = 1:
Output,
EMIF.BE1
BK
GPIO0 = 0:
Input,
HPI.HBE1
As of Revision 3.1 of the silicon, the byte-enable function on the
HPI will no longer be supported. HPI.HBE0 and HPI.HBE1 must
be pulled down by external resistors or driven low by the host
processor.
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
21
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
C10
I/O/Z
HPI.HAS
I
Active-low HPI address strobe. This signal latches the address in the HPIA
register in the HPI Multiplexed mode. HPI.HAS is selected when the
Parallel Port Mode bit field is set to 11.
GPIO12
I/O/Z
General-purpose IO12. GPIO12 is selected when the Parallel Port Mode
bit field is set to 10.
I/O/Z
EMIF SDRAM column strobe or HPI chip select input. This pin serves in
one of two functions: EMIF SDRAM column strobe (EMIF.SDCAS) or HPI
chip select input (HPI.HCS). The initial state of this pin depends on the
GPIO0 pin. See Section 3.5.1 for more information.
O/Z
Active-low EMIF SDRAM column strobe. EMIF.SDCAS is selected when
the Parallel Port Mode bit field of the External Bus Selection Register is set
to 00 or 01.
I
HPI Chip Select Input. HPI.HCS is the select input for the HPI and must be
driven low during accesses. HPI.HCS is selected when the Parallel Port
Mode bit field is set to 10 or 11.
I/O/Z
EMIF SDRAM write enable or HPI Data Strobe 1 input. This pin serves in
one of two functions: EMIF SDRAM write enable (EMIF.SDWE) or HPI
data strobe 1 (HPI.HDS1). The initial state of this pin depends on the
GPIO0 pin. See Section 3.5.1 for more information.
O/Z
EMIF SDRAM write enable. EMIF. SDWE is selected when the Parallel
Port Mode bit field of the External Bus Selection Register is set to 00 or 01.
I
HPI Data Strobe 1 Input. HPI.HDS1 is driven by the host read or write
strobes to control the transfer. HPI.HDS1 is selected when the Parallel
Port Mode bit field is set to 10 or 11.
EMIF.SDCAS
HPI.HCS
C12
EMIF.SDWE
HPI.HDS1
22
PARALLEL BUS (CONTINUED)
EMIF SDRAM row strobe, HPI address strobe, or general-purpose IO12.
This pin serves in one of three functions: EMIF SDRAM row strobe
(EMIF.SDRAS), HPI address strobe (HPI.HAS), or general-purpose IO12
(GPIO12). The initial state of this pin depends on the GPIO0 pin. See
Section 3.5.1 for more information.
Active-low EMIF SDRAM row strobe. EMIF.SDRAS is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is set to
00 or 01.
C11
‡
BK‡
FUNCTION
O/Z
EMIF.SDRAS
†
I/O/Z†
RESET
CONDITION
GPIO0 = 1:
Output,
EMIF.SDRAS
BK
GPIO0 = 0:
Input,
HPI.HAS
GPIO0 = 1:
Output,
EMIF.SDCAS
BK
GPIO0 = 0:
Input,
HPI.HCS
GPIO0 = 1:
Output,
EMIF.SDWE
BK
GPIO0 = 0:
Input,
HPI.HDS1
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
I/O/Z
SDRAM A10 address line or general-purpose IO13. This pin serves in one
of two functions: SDRAM A10 address line (EMIF.SDA10) or
general-purpose IO13 (GPIO13). The initial state of this pin depends on
the GPIO0 pin. See Section 3.5.1 for more information.
BK‡
RESET
CONDITION
PARALLEL BUS (CONTINUED)
C13
EMIF.SDA10
O/Z
SDRAM A10 address line. Address line/autoprecharge disable for
SDRAM memory. Serves as a row address bit (logically equivalent to A12)
during ACTV commands and also disables the autoprecharging function
of SDRAM during read or write operations. EMIF.SDA10 is selected when
the Parallel Port Mode bit field of the External Bus Selection Register is set
to 00 or 01.
GPIO13
I/O/Z
General-purpose IO13. GPIO13 is selected when the Parallel Port Mode
bit field is set to 10 or 11.
I/O/Z
Memory interface clock for SDRAM, HPI Data Strobe 2 input, or
general-purpose IO14. This pin serves in one of two functions: memory
interface clock for SDRAM (EMIF.CLKMEM) or HPI data strobe 2
(HPI.HDS2). The initial state of this pin depends on the GPIO0 pin. See
Section 3.5.1 for more information.
O/Z
Memory interface clock for SDRAM. EMIF.CLKMEM is selected when the
Parallel Port Mode bit field of the External Bus Selection Register is set to
00 or 01.
I
HPI Data Strobe 2 Input. HPI.HDS2 is driven by the host read or write
strobes to control the transfer. HPI.HDS2 is selected when the Parallel
Port Mode bit field is set to 10 or 11.
C14
EMIF.CLKMEM
HPI.HDS2
GPIO0 = 1:
Output,
EMIF.SDA10
BK
GPIO0 = 0:
Input,
GPIO13
GPIO0 = 1:
Output,
EMIF.CLKMEM
BK
GPIO0 = 0:
Input,
HPI.HDS2
INTERRUPT AND RESET PINS
INT[4:0]
RESET
†
‡
I
Active-low external user interrupt inputs. INT[4:0] are maskable and are
prioritized by the interrupt enable register (IER) and the interrupt mode bit.
H
Input
I
Active-low reset. RESET causes the digital signal processor (DSP) to
terminate execution and forces the program counter to FF8000h. When
RESET is brought to a high level, execution begins at location FF8000h of
program memory. RESET affects various registers and status bits. Use an
external pullup resistor on this pin.
H
Input
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
23
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
BK‡
FUNCTION
RESET
CONDITION
BIT I/O SIGNALS
I/O/Z
7-bit (LQFP package) or 8-bit (BGA package) Input/Output lines that can
be individually configured as inputs or outputs, and also individually set or BK
reset when configured as outputs. At reset, these pins are configured as (GPIO5
inputs. After reset, the on-chip bootloader sample GPIO[3:0] to determine only)
the boot mode selected.
O/Z
External flag. XF is set high by the BSET XF instruction, set low by BCLR
XF instruction or by loading ST1. XF is used for signaling other processors
in multiprocessor configurations or used as a general-purpose output pin.
XF goes into the high-impedance state when OFF is low, and is set high
following reset.
O/Z
DSP clock output signal. CLKOUT cycles at the machine-cycle rate of the
CPU. CLKOUT goes into high-impedance state when OFF is low.
GPIO[7:6,4:0] (LQFP)
GPIO[7:0] (BGA)
XF
Input
Output
OSCILLATOR/CLOCK SIGNALS
CLKOUT
Output
System clock/oscillator input. If the internal oscillator is not being used,
X2/CLKIN functions as the clock input.
X2/CLKIN
I/O
NOTE: The USB module requires a 48 MHz clock. Since this input clock
is used by both the CPU PLL and the USB module PLL, it must
be a factor of 48 MHz in order for the programmable PLL to
produce the required 48 MHz USB module clock.
Oscillator
Input
In CLKGEN domain idle (oscillator idle) mode, this pin becomes
output and is driven low to stop external crystals (if used) from
oscillating or an external clock source from driving the DSP’s
internal logic.
X1
O
Output pin from the internal system oscillator for the crystal. If the internal
oscillator is not used, X1 should be left unconnected. X1 does not go into
the high-impedance state when OFF is low.
Oscillator
Output
TIMER SIGNALS
TIN/TOUT0
I/O/Z
Timer0 Input/Output. When output, TIN/TOUT0 signals a pulse or a
change of state when the on-chip timer counts down past zero. When
input, TIN/TOUT0 provides the clock source for the internal timer module.
At reset, this pin is configured as an input.
Input
NOTE: Only the Timer0 signal is brought out. The Timer1 signal is
terminated internally and is not available for external use.
REAL-TIME CLOCK
RTCINX1
I
Real-Time Clock Oscillator input
RTCINX2
O
Real-Time Clock Oscillator output
Input
Output
I2C
SDA
I/O/Z
I2C
SCL
I/O/Z
I2C (bidirectional) clock. At reset, this pin is in high-impedance mode.
(bidirectional) data. At reset, this pin is in high-impedance mode.
Hi-Z
Hi-Z
†
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
‡ BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
24
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
RESET
CONDITION
H
Hi-Z
MULTICHANNEL BUFFERED SERIAL PORTS SIGNALS
CLKR0
I/O/Z
DR0
I
FSR0
McBSP0 receive clock. CLKR0 serves as the serial shift clock for the serial
port receiver. At reset, this pin is in high-impedance mode.
McBSP0 receive data
Input
I/O/Z
McBSP0 receive frame synchronization. The FSR0 pulse initiates the data
receive process over DR0. At reset, this pin is in high-impedance mode.
Hi-Z
CLKX0
I/O/Z
McBSP0 transmit clock. CLKX0 serves as the serial shift clock for the
serial port transmitter. The CLKX0 pin is configured as input after reset.
DX0
O/Z
McBSP0 transmit data. DX0 is placed in the high-impedance state when
not transmitting, when RESET is asserted, or when OFF is low.
Hi-Z
FSX0
I/O/Z
McBSP0 transmit frame synchronization. The FSX0 pulse initiates the
data transmit process over DX0. Configured as an input following reset.
Input
S10
I/O/Z
McBSP1 receive clock or MultiMedia Card/Secure Digital1
command/response. At reset, this pin is configured as McBSP1.CLKR.
I/Z
McBSP1 receive clock. McBSP1.CLKR serves as the serial shift clock for
the serial port receiver. McBSP1.CLKR is selected when the External Bus
Selection Register has 00 in the Serial Port1 Mode bit field or following
reset.
I/O/Z
MMC1 or SD1 command/response is selected when the External Bus
Selection Register has 10 in the Serial Port1 Mode bit field.
I/O/Z
McBSP1 data receive or Secure Digital1 data1. At reset, this pin is
configured as McBSP1.DR.
I/Z
McBSP1 serial data receive. McBSP1.DR is selected when the External
Bus Selection Register has 00 in the Serial Port1 Mode bit field or following
reset.
I/O/Z
SD1 data1 is selected when the External Bus Selection Register has 10 in
the Serial Port1 Mode bit field.
I/O/Z
McBSP1 receive frame synchronization or Secure Digital1 data2. At reset,
this pin is configured as McBSP1.FSR.
I/Z
McBSP1 receive frame synchronization. The McBSP1.FSR pulse initiates
the data receive process over McBSP1.DR.
I/O/Z
SD1 data2 is selected when the External Bus Selection Register has 10 in
the Serial Port1 Mode bit field.
O/Z
McBSP1 serial data transmit or MultiMedia Card/Secure Digital1 serial
clock. At reset, this pin is configured as McBSP1.DX.
McBSP1.DX
O/Z
McBSP1 serial data transmit. McBSP1.DX is placed in the
high-impedance state when not transmitting, when RESET is asserted, or
when OFF is low. McBSP1.DX is selected when the External Bus
Selection Register has 00 in the Serial Port1 Mode bit field or following
reset.
MMC1.CLK
SD1.CLK
O
MMC1 or SD1 serial clock is selected when the External Bus Selection
Register has 10 in the Serial Port1 Mode bit field.
McBSP1.CLKR
MMC1.CMD
SD1.CMD
S11
McBSP1.DR
SD1.DAT1
S12
McBSP1.FSR
SD1.DAT2
S13
†
‡
H
H
Input
Input
Input
Input
BK
Hi-Z
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
25
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
RESET
CONDITION
H
Input
MULTICHANNEL BUFFERED SERIAL PORTS SIGNALS (CONTINUED)
I/O/Z
McBSP1 transmit clock or MultiMedia Card/Secure Digital1 data0. At
reset, this pin is configured as McBSP1.CLKX.
McBSP1.CLKX
I/O/Z
McBSP1 transmit clock. McBSP1.CLKX serves as the serial shift clock for
the serial port transmitter. The McBSP1.CLKX pin is configured as input
after reset. McBSP1.CLKX is selected when the External Bus Selection
Register has 00 in the Serial Port1 Mode bit field or following reset.
MMC1.DAT
SD1.DAT0
I/O/Z
MMC1 or SD1 data0 is selected when the External Bus Selection Register
has 10 in the Serial Port1 Mode Bit field.
I/O/Z
McBSP1 transmit frame synchronization or Secure Digital1 data3. At
reset, this pin is configured as McBSP1.FSX.
McBSP1.FSX
I/O/Z
McBSP1 transmit frame synchronization. The McBSP1.FSX pulse
initiates the data transmit process over McBSP1.DX. Configured as an
input following reset. McBSP1.FSX is selected when the External Bus
Selection Register has 00 in the Serial Port1 Mode bit field or following
reset.
SD1.DAT3
I/O/Z
SD1 data3 is selected when the External Bus Selection Register has 10 in
the Serial Port1 Mode bit field.
I/O/Z
McBSP2 receive clock or MultiMedia Card/Secure Digital2
command/response. At reset, this pin is configured as McBSP2.CLKR.
I
McBSP2 receive clock. McBSP2.CLKR serves as the serial shift clock for
the serial port receiver. McBSP2.CLKR is selected when the External Bus
Selection Register has 00 in the Serial Port2 Mode bit field or following
reset.
I/O/Z
MMC2 or SD2 command/response is selected when the External Bus
Selection Register has 10 in the Serial Port2 Mode bit field.
I/O/Z
McBSP2 data receive or Secure Digital2 data1. At reset, this pin is
configured as McBSP2.DR.
I
McBSP2 serial data receive. McBSP2.DR is selected when the External
Bus Selection Register has 00 in the Serial Port2 Mode bit field or following
reset.
I/O/Z
SD2 data1 is selected when the External Bus Selection Register has 10 in
the Serial Port2 Mode bit field.
I/O/Z
McBSP2 receive frame synchronization or Secure Digital2 data2. At reset,
this pin is configured as McBSP2.FSR.
I
McBSP2 receive frame synchronization. The McBSP2.FSR pulse initiates
the data receive process over McBSP2.DR.
I/O/Z
SD2 data2 is selected when the External Bus Selection Register has 10 in
the Serial Port2 Mode bit field.
S14
S15
S20
McBSP2.CLKR
MMC2.CMD
SD2.CMD
S21
McBSP2.DR
SD2.DAT1
S22
McBSP2.FSR
SD2.DAT2
†
‡
26
Input
H
Input
Input
Input
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
RESET
CONDITION
BK
Hi-Z
H
Input
MULTICHANNEL BUFFERED SERIAL PORTS SIGNALS (CONTINUED)
O/Z
McBSP2 data transmit or MultiMedia Card/Secure Digital2 serial clock. At
reset, this pin is configured as McBSP2.DX.
McBSP2.DX
O/Z
McBSP2 serial data transmit. McBSP2.DX is placed in the
high-impedance state when not transmitting, when RESET is asserted, or
when OFF is low. McBSP2.DX is selected when the External Bus
Selection Register has 00 in the Serial Port2 Mode bit field or following
reset.
MMC2.CLK
SD2.CLK
O
MMC2 or SD2 serial clock is selected when the External Bus Selection
Register has 10 in the Serial Port2 Mode bit field.
I/O/Z
McBSP2 transmit clock or MultiMedia Card/Secure Digital2 data0. At
reset, this pin is configured as McBSP2.CLKX.
McBSP2.CLKX
I/O/Z
McBSP2 transmit clock. McBSP2.CLKX serves as the serial shift clock for
the serial port transmitter. The McBSP2.CLKX pin is configured as input
after reset. McBSP2.CLKX is selected when the External Bus Selection
Register has 00 in the Serial Port2 Mode bit field or following reset.
MMC2.DAT
SD2.DAT0
I/O/Z
MMC2 or SD2 data0 pin is selected when the External Bus Selection
Register has 10 in the Serial Port2 Mode bit field.
I/O/Z
McBSP2 transmit frame synchronization or Secure Digital2 data3. At
reset, this pin is configured as McBSP2.FSX.
McBSP2.FSX
I/O/Z
McBSP2 frame synchronization. The McBSP2.FSX pulse initiates the
data transmit process over McBSP2.DX. McBSP2.FSX is configured as
an input following reset. McBSP2.FSX is selected when the External Bus
Selection Register has 00 in the Serial Port2 Mode bit field or following
reset.
SD2.DAT3
I/O/Z
SD2 data3 is selected when the External Bus Selection Register has 10 in
the Serial Port2 Mode bit field.
S23
S24
S25
Input
USB
DP
I/O/Z
Differential (positive) receive/transmit. At reset, this pin is configured as
input.
Input
DN
I/O/Z
Differential (negative) receive/transmit. At reset, this pin is configured as
input.
Input
PU
O/Z
Pullup output. This pin is used to pull up the detection resistor required by
the USB specification. The pin is internally connected to USBVDD via a
software controllable switch (CONN bit of the USBCTL register).
Output
A/D
AIN0
I
Analog Input Channel 0
Input
AIN1
I
Analog Input Channel 1
Input
AIN2 (BGA only)
I
Analog Input Channel 2. (BGA package only)
Input
AIN3 (BGA only)
I
Analog Input Channel 3. (BGA package only)
Input
†
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
‡ BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
27
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
I
IEEE standard 1149.1 test clock. TCK is normally a free-running clock
signal with a 50% duty cycle. The changes on test access port (TAP) of
input signals TMS and TDI are clocked into the TAP controller, instruction
register, or selected test data register on the rising edge of TCK. Changes
at the TAP output signal (TDO) occur on the falling edge of TCK.
RESET
CONDITION
TEST/EMULATION PINS
TCK
PU
Input
H
I
IEEE standard 1149.1 test data input. Pin with internal pullup device. TDI is
clocked into the selected register (instruction or data) on a rising edge of
TCK.
TDO
O/Z
IEEE standard 1149.1 test data output. The contents of the selected
register (instruction or data) are shifted out of TDO on the falling edge of
TCK. TDO is in the high-impedance state except when the scanning of
data is in progress.
TMS
I
IEEE standard 1149.1 test mode select. Pin with internal pullup device.
This serial control input is clocked into the TAP controller on the rising edge
of TCK.
PU
Input
I
IEEE standard 1149.1 test reset. TRST, when high, gives the IEEE
standard 1149.1 scan system control of the operations of the device. If
TRST is not connected or driven low, the device operates in its functional
mode, and the IEEE standard 1149.1 signals are ignored. This pin has an
internal pulldown.
PD
Input
I/O/Z
Emulator 0 pin. When TRST is driven low, EMU0 must be high for
activation of the OFF condition. When TRST is driven high, EMU0 is used
as an interrupt to or from the emulator system and is defined as I/O by way
of the IEEE standard 1149.1 scan system.
PU
Input
I/O/Z
Emulator 1 pin/disable all outputs. When TRST is driven high, EMU1/OFF
is used as an interrupt to or from the emulator system and is defined as I/O
by way of IEEE standard 1149.1 scan system. When TRST is driven low,
EMU1/OFF is configured as OFF. The EMU1/OFF signal, when
active-low, puts all output drivers into the high-impedance state. Note that
OFF is used exclusively for testing and emulation purposes (not for
multiprocessing applications). Therefore, for the OFF condition, the
following apply: TRST = low, EMU0 = high, EMU1/OFF = low
PU
Input
TDI
TRST
EMU0
EMU1/OFF
†
‡
28
PU
Input
Hi-Z
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
SPRS163G
April 2001 − Revised September 2004
Introduction
Table 2−3. Signal Descriptions (Continued)
TERMINAL
NAME
MULTIPLEXED
SIGNAL NAME
I/O/Z†
FUNCTION
BK‡
RESET
CONDITION
SUPPLY PINS
CVDD
S
Digital Power, + VDD. Dedicated power supply for the core CPU.
RVDD
S
Digital Power, + VDD. Dedicated power supply for on-chip memory.
DVDD
S
Digital Power, + VDD. Dedicated power supply for the I/O pins.
USBVDD
S
Digital Power, + VDD. Dedicated power supply for the I/O of the USB
module (DP, DN , and PU)
RDVDD
S
Digital Power, + VDD. Dedicated power supply for the I/O pins of the RTC
module.
RCVDD
S
Digital Power, + VDD. Dedicated power supply for the RTC module
AVDD
S
Analog Power, + VDD. Dedicated power supply for the 10-bit A/D.
ADVDD
S
Analog Digital Power, + VDD. Dedicated power supply for the digital portion
of the 10-bit A/D.
VSS
S
Digital Ground. Dedicated ground for the I/O and core pins.
AVSS
S
Analog Ground. Dedicated ground for the 10-bit A/D.
ADVSS
S
Analog Digital Ground. Dedicated ground for the digital portion of the10-bit
A/D.
MISCELLANEOUS
NC
†
‡
No connection
I = Input, O = Output, S = Supply, Hi-Z = High-impedance
BK = bus keeper (the bus keeper maintains the previous voltage level during reset or while the output pin is not driven), PU = pullup,
PD = pulldown, H = hysteresis input buffer
April 2001 − Revised September 2004
SPRS163G
29
Functional Overview
3
Functional Overview
The following functional overview is based on the block diagram in Figure 3−1.
USB PLL
†
7/8 †
†
5
†
Number of pins determined by package type.
Figure 3−1. Block Diagram of the TMS320VC5509
30
SPRS163G
April 2001 − Revised September 2004
Functional Overview
3.1
Memory
The 5509 supports a unified memory map (program and data accesses are made to the same physical space).
The total on-chip memory is 320K bytes (128K 16-bit words of RAM and 32K 16-bit words of ROM).
3.1.1 On-Chip Dual-Access RAM (DARAM)
The DARAM is located in the byte address range 000000h−00FFFFh and is composed of eight blocks of
8K bytes each (see Table 3−1). Each DARAM block can perform two accesses per cycle (two reads, two
writes, or a read and a write). DARAM can be accessed by the internal program, data, or DMA buses. The
HPI can only access the first four (32K bytes) DARAM blocks.
Table 3−1. DARAM Blocks
†
BYTE ADDRESS RANGE
MEMORY BLOCK
000000h − 001FFFh
DARAM 0 (HPI accessible)†
002000h − 003FFFh
DARAM 1 (HPI accessible)
004000h − 005FFFh
DARAM 2 (HPI accessible)
006000h − 007FFFh
DARAM 3 (HPI accessible)
008000h − 009FFFh
DARAM 4
00A000h − 00BFFFh
DARAM 5
00C000h − 00DFFFh
DARAM 6
00E000h − 00FFFFh
DARAM 7
First 192 bytes are reserved for Memory-Mapped Registers (MMRs).
3.1.2 On-Chip Single-Access RAM (SARAM)
The SARAM is located at the byte address range 010000h−03FFFFh and is composed of 24 blocks of 8K bytes
each (see Table 3−2). Each SARAM block can perform one access per cycle (one read or one write). SARAM
can be accessed by the internal program, data, or DMA buses.
Table 3−2. SARAM Blocks
BYTE ADDRESS RANGE
MEMORY BLOCK
BYTE ADDRESS RANGE
MEMORY BLOCK
010000h − 011FFFh
SARAM 0
028000h − 029FFFh
SARAM 12
012000h − 013FFFh
SARAM 1
02A000h − 02BFFFh
SARAM 13
014000h − 015FFFh
SARAM 2
02C000h − 02DFFFh
SARAM 14
016000h − 017FFFh
SARAM 3
02E000h − 02FFFFh
SARAM 15
018000h − 019FFFh
SARAM 4
030000h − 031FFFh
SARAM 16
01A000h − 01BFFFh
SARAM 5
032000h − 033FFFh
SARAM 17
01C000h − 01DFFFh
SARAM 6
034000h − 035FFFh
SARAM 18
01E000h − 01FFFFh
SARAM 7
036000h − 037FFFh
SARAM 19
020000h − 021FFFh
SARAM 8
038000h − 039FFFh
SARAM 20
022000h − 023FFFh
SARAM 9
03A000h − 03BFFFh
SARAM 21
024000h − 025FFFh
SARAM 10
03C000h − 03DFFFh
SARAM 22
026000h − 027FFFh
SARAM 11
03E000h − 03FFFFh
SARAM 23
April 2001 − Revised September 2004
SPRS163G
31
Functional Overview
3.1.3 On-Chip Read-Only Memory (ROM)
The one-wait-state ROM is located at the byte address range FF0000h−FFFFFFh. The ROM is composed
of one block of 32K bytes and two 16K-byte blocks, for a total of 64K bytes of ROM. The ROM address space
can be mapped by software to the external memory or to the internal ROM. The 16K ROM blocks at FFC000
to FFFFFF can be configured as secure ROM. (See Section 3.1.4.)
NOTE: Customers can arrange to have the 5509 ROM programmed with contents unique to
any particular application. Contact your local Texas Instruments representative for more
information on custom ROM programming.
The standard 5509 device includes a bootloader program resident in the ROM. When the MPNMC bit field
of the ST3 status register is set through software, the on-chip ROM is disabled and not present in the memory
map, and byte address range FF0000h−FFFFFFh is directed to external memory space. A hardware reset
always clears the MPNMC bit, so it is not possible to disable the ROM at reset. However, the software reset
instruction does not affect the MPNMC bit. All three ROM blocks can be accessed by the program, data, or
DMA buses. The first 16-bit word access to ROM requires three cycles. Subsequent accesses require two
cycles per 16-bit word.
3.1.4 Secure ROM
Included in this 64K-byte ROM is a 16K-byte secure ROM (SROM) that is mapped into the memory space at
reset. This 16K-byte SROM is mapped out of the memory space by writing a “1” to the SROM disable bit field
of the Secure ROM Register (0x7C00) as shown in Figure 3−2. When the SROM disable bit is set, its setting
cannot be changed and the CPU or peripherals cannot access the on-chip SROM memory space. This ROM
block is not programmed on standard 5509 devices, but can be used to implement a custom, secure bootload
feature. Contact your local Texas Instruments representative for more information on custom ROM
programming.
Byte
Address
Byte
Address
Byte
Address
FF0000h
FF0000h
FF0000h
External − CE3
(If MPNMC=1)
(32K Bytes)
FF8000h
FFC000h
FFFFFFh
External − CE3
(If MPNMC=1)
(16K Bytes)
External − CE3
(If MPNMC=1)
(16K Bytes)
ROM
(If MPNMC=0)
(32K Bytes)
FF8000h
FFC000h
FFFFFFh
ROM
(If MPNMC=0)
(32K Bytes)
ROM
(If MPNMC=0)
(16K Bytes)
FF8000h
SROM
(If SROM= 0 & MPNMC=0)
(16K Bytes)
FFC000h
FFFFFFh
ROM
(If MPNMC=0)
(16K Bytes)
ÉÉÉÉÉÉÉÉ
ÉÉÉÉÉÉÉÉ
ÉÉÉÉÉÉÉÉ
No access
(If SROM=1 & MPNMC=0)
(16K Bytes)
SROM=1
SROM=0
15
Secure ROM Register
1
0
SROM
Figure 3−2. Secure ROM
32
SPRS163G
April 2001 − Revised September 2004
Functional Overview
3.1.5 Memory Map
The 5509 provides 16M bytes of total memory space composed of on-cip RAM, on-chip ROM, and external
memory space supporting a variety of memory types. The on-chip, dual-access RAM allows two accesses
to a given block during the same cycle. The 5509 supports 8 blocks of 8K bytes of dual-access RAM. The
on-chip, single-access RAM allows one access to a given block per clock cycle. The 5509 supports
24 blocks of 8K byte of single-access RAM.
The remainder of the memory map is external space that is divided into four spaces. Each space has a chip
enable decode signal (called CE) that indicates an access to the selected space. The External Memory
Interface (EMIF) supports access to asynchronous memories such as SRAM and Flash, and synchronous
DRAM.
April 2001 − Revised September 2004
SPRS163G
33
Functional Overview
3.1.5.1
PGE Package Memory Map
The PGE package features 14 address bits representing 16K-byte linear address for asynchronous memories
per CE space. Due to address row/column multiplexing, address reach for SDRAM devices is 4M bytes for
each CE space. The largest SDRAM device that can be used with the 5509 in a PGE package is 128M-bit
SDRAM.
Byte Address
(Hex)†
000000
Memory Blocks
Block Size
MMR (Reserved)
0000C0
DARAM / HPI Access
(32K − 192) Bytes
008000
DARAM‡
32K Bytes
SARAM§
192K Bytes
010000
040000
External¶ − CE0
16K Bytes − Asynchronous
4M Bytes − 256K Bytes SDRAM#
External¶ − CE1
16K Bytes − Asynchronous
4M Bytes − SDRAM
External¶ − CE2
16K Bytes − Asynchronous
4M Bytes − SDRAM
External¶ − CE3
16K Bytes − Asynchronous
4M Bytes − SDRAM (MPNMC = 1)
4M Bytes − 64K Bytes if internal ROM selected (MPNMC = 0)
400000
800000
C00000
FF0000
ROM||
(if MPNMC=0)
External¶ − CE3
(if MPNMC=1)
32K Bytes
ROM||
(if MPNMC=0)
External¶ − CE3
(if MPNMC=1)
16K Bytes
External¶ − CE3
(if MPNMC=1)
16K Bytes
FF8000
FFC000
FFFFFF
SROM||
(if SROM=0 &
MPNMC=0)
†
Address shown represents the first byte address in each block.
Dual-access RAM (DARAM): two accesses per cycle per block, 8 blocks of 8K bytes.
§ Single-access RAM (SARAM): one access per cycle per block, 24 blocks of 8K bytes.
¶ External memory spaces are selected by the chip-enable signal shown (CE[0:3]). Supported memory types include: asynchronous static
RAM (SRAM) and synchronous DRAM (SDRAM).
# The minus 256K bytes consists of 32K-byte DARAM/HPI access, 32K-byte DARAM, and 192K-byte SARAM.
|| Read-only memory (ROM): one access every two cycles, two blocks of 32K bytes.
‡
Figure 3−3. TMS320VC5509 Memory Map (PGE Package)
34
SPRS163G
April 2001 − Revised September 2004
Functional Overview
3.1.5.2
GHH Package Memory Map
The GHH package features 21 address bits representing 2M-byte linear address for asynchronous memories
per CE space. Due to address row/column multiplexing, address reach for SDRAM devices is 4M bytes for
each CE space. The largest SDRAM device that can be used with the 5509 in a GHH package is 128M-bit
SDRAM.
Byte Address
(Hex)†
000000
Memory Blocks
Block Size
MMR (Reserved)
0000C0
DARAM / HPI Access
(32K − 192) Bytes
008000
DARAM‡
32K Bytes
SARAM§
192K Bytes
010000
040000
External¶ − CE0
2M Bytes − Asynchronous
4M Bytes − 256K Bytes SDRAM#
External¶ − CE1
2M Bytes − Asynchronous
4M Bytes − SDRAM
External¶ − CE2
2M Bytes − Asynchronous
4M Bytes − SDRAM
External¶ − CE3
2M Bytes − Asynchronous
4M Bytes − SDRAM (MPNMC = 1)
4M Bytes − 64K Bytes if internal ROM selected (MPNMC = 0)
400000
800000
C00000
FF0000
ROM||
(if MPNMC=0)
External¶ − CE3
(if MPNMC=1)
32K Bytes
ROM||
(if MPNMC=0)
External¶ − CE3
(if MPNMC=1)
16K Bytes
External¶ − CE3
(if MPNMC=1)
16K Bytes
FF8000
FFC000
FFFFFF
SROM||
(if SROM=0 &
MPNMC=0)
†
Address shown represents the first byte address in each block.
Dual-access RAM (DARAM): two accesses per cycle per block, 8 blocks of 8K bytes.
§ Single-access RAM (SARAM): one access per cycle per block, 24 blocks of 8K bytes.
¶ External memory spaces are selected by the chip-enable signal shown (CE[0:3]). Supported memory types include: asynchronous static
RAM (SRAM) and synchronous DRAM (SDRAM).
# The minus 256K bytes consists of 32K-byte DARAM/HPI access, 32K-byte DARAM, and 192K-byte SARAM.
|| Read-only memory (ROM): one access every two cycles, two blocks of 32K bytes.
‡
Figure 3−4. TMS320VC5509 Memory Map (GHH Package)
April 2001 − Revised September 2004
SPRS163G
35
Functional Overview
3.1.6 Boot Configuration
The on-chip bootloader provides a method to transfer application code and tables from an external source to
the on-chip RAM memory at power up. These options include:
•
•
•
•
•
•
Enhanced host-port interface (HPI) in multiplexed or nonmultiplexed mode
External 16-bit-wide asynchronous memory boot (via the EMIF)
Serial port boot (from McBSP0) with 8-bit or 16-bit element length
Serial EPROM boot (from McBSP0) supporting EPROMs with 16-bit or 24-bit address
USB boot
Direct execution from external 16-bit-wide asynchronous memory
External pins select the boot configuration. The values of GPIO[3:0] are sampled, following reset, upon
execution of the on-chip bootloader code. It is not possible to disable the bootloader at reset because the 5509
always starts execution from the on-chip ROM following a hardware reset. A summary of boot configurations
is shown in Table 3−3. For more information on using the bootloader, see the Using the
TMS320C5509/C5509A Bootloader application report (literature number SPRA375).
Table 3−3. Boot Configuration Summary
36
GPIO0
GPIO3
GPIO2
GPIO1
0
0
0
0
Reserved
0
0
0
1
Serial (SPI) EPROM Boot (24-bit address) via McBSP0
0
0
1
0
USB
0
0
1
1
Reserved
0
1
0
0
Reserved
0
1
0
1
HPI – multiplexed mode
0
1
1
0
HPI – nonmultiplexed mode
0
1
1
1
Reserved
1
0
0
0
Execute from 16-bit-wide asynchronous memory (on CE1 space)
1
0
0
1
Serial (SPI) EPROM Boot (16-bit address) via McBSP0
1
0
1
0
Reserved
1
0
1
1
16-bit asynchronous memory (on CE1 space)
1
1
0
0
Reserved
1
1
0
1
Reserved
1
1
1
0
Standard serial boot via McBSP0 (16-bit data)
1
1
1
1
Standard serial boot via McBSP0 (8-bit data)
SPRS163G
BOOT MODE PROCESS
April 2001 − Revised September 2004
Functional Overview
3.2
Peripherals
The 5509 supports the following peripherals:
•
A Configurable Parallel External Interface supporting either:
−
−
•
•
•
•
•
A six-channel direct memory access (DMA) controller
A programmable digital phase-locked loop (DPLL) clock generator
Two 20-bit timers
Watchdog Timer
Three serial ports supporting a combination of:
−
−
•
•
up to three multichannel buffered serial ports (McBSPs)
up to two MultiMedia/Secure Digital Card Interfaces
Seven (LQFP) or Eight (BGA) configurable general-purpose I/O pins
USB full-speed slave interface supporting:
−
−
−
•
•
•
16-bit external memory interface (EMIF) for asynchronous memory and/or SDRAM
16-bit enhanced host-port interface (HPI)
Bulk
Interrupt
Isochronous
I2C multi-master and slave interface (I2C compatible except, no fail-safe I/O buffers)
Real-time clock with crystal input, separate clock domain and supply pins
4-channel (BGA) or 2-channel (LQFP)10-bit Successive Approximation A/D
For detailed information on the C55x DSP peripherals, see the following documents:
•
•
3.3
TMS320C55x DSP Functional Overview (literature number SPRU312)
TMS320C55x DSP Peripherals Overview Reference Guide (literature number SPRU317)
Direct Memory Access (DMA) Controller
The 5509 DMA provides the following features:
•
•
•
•
•
•
•
•
Four standard ports, one for each of the following data resources: DARAM, SARAM, Peripherals and
External Memory
Six channels, which allow the DMA controller to track the context of six independent DMA channels
Programmable low/high priority for each DMA channel
One interrupt for each DMA channel
Event synchronization. DMA transfers in each channel can be dependent on the occurrence of selected
events.
Programmable address modification for source and destination addresses
Dedicated Idle Domain allows the DMA controller to be placed in a low-power (idle) state under software
control.
Dedicated DMA channel used by the HPI to access internal memory (DARAM)
The 5509 DMA controller allows transfers to be synchronized to selected events. The 5509 supports
19 separate sync events and each channel can be tied to separate sync events independent of the other
channels. Sync events are selected by programming the SYNC field in the channel-specific DMA Channel
Control Register (DMA_CCR).
April 2001 − Revised September 2004
SPRS163G
37
Functional Overview
3.3.1 DMA Channel Control Register (DMA_CCR)
The channel control register (DMA_CCR) bit layouts are shown in Figure 3−5.
15
14
13
12
11
10
9
8
DST AMODE
SRC AMODE
END PROG
Reserved
REPEAT
AUTO INIT
R/W, 00
R/W, 00
R/W, 0
R, 0
R/W, 0
R/W, 0
7
6
5
EN
PRIO
FS
4
SYNC
0
R/W, 0
R/W, 0
R/W, 0
R/W, 00000
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−5. DMA_CCR Bit Locations
The SYNC[4:0] bits specify the event that can initiate the DMA transfer for the corresponding DMA channel.
The five bits allow several configurations as listed in Table 3−4. The bits are set to zero upon reset. For those
synchronization modes with more than one peripheral listed, the Serial Port Mode bit field of the External Bus
Selection Register dictates which peripheral event is actually connected to the DMA input.
Table 3−4. Synchronization Control Function
SYNC FIELD IN
DMA_CCR
SYNCHRONIZATION MODE
00000b
No event synchronized
00001b
McBSP 0 Receive Event (REVT0)
00010b
McBSP 0 Transmit Event (XEVT0)
00011b
Reserved. These bits should always be written with 0.
00100b
Reserved. These bits should always be written with 0.
McBSP1/MMC−SD1 Receive Event
00101b
Serial Port 1 Mode:
00 = McBSP1 Receive Event (REVT1)
01 = MMC/SD1 Receive Event (RMMCEVT1)
10 = Reserved
11 = Reserved
McBSP1/MMC−SD1 Transmit Event
00110b
Serial Port 1 Mode:
00 = McBSP1 Transmit Event (XEVT1)
01 = MMC/SD1 Transmit Event (XMMCEVT1)
10 = Reserved
11 = reserved
00111b
Reserved. These bits should always be written with 0.
01000b
Reserved. These bits should always be written with 0.
McBSP2/MMC−SD2 Receive Event
01001b
†
38
Serial Port 2 Mode:
00 = McBSP2 Receive Event (REVT2)
01 = MMC/SD2 Receive Event (RMMCEVT2)
10 = Reserved
11 = Reserved
The I2C receive event (REVTI2C) and external interrupt 4 (INT4) share a synchronization input to the DMA. When the SYNC field of the
DMA_CCR is set to 10011b, the logical OR of these two sources is used for DMA synchronization.
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−4. Synchronization Control Function (Continued)
SYNC FIELD IN
DMA_CCR
SYNCHRONIZATION MODE
McBSP2/MMC−SD2 Transmit Event
01010b
01011b
Reserved. These bits should always be written with 0.
01100b
Reserved. These bits should always be written with 0.
01101b
Timer 0 Interrupt Event
01110b
Timer 1 Interrupt Event
01111b
External Interrupt 0
10000b
External Interrupt 1
10001b
External Interrupt 2
10010b
External Interrupt 3
10011b
External Interrupt 4 / I2C Receive Event (REVTI2C)†
10100b
I2C Transmit Event (XEVTI2C)
Other values
†
Serial Port 2 Mode:
00 = McBSP2 Transmit Event (XEVT2)
01 = MMC/SD2 Transmit Event (XMMCEVT2)
10 = Reserved
11 = Reserved
Reserved (Do not use these values)
The I2C receive event (REVTI2C) and external interrupt 4 (INT4) share a synchronization input to the DMA. When the SYNC field of the
DMA_CCR is set to 10011b, the logical OR of these two sources is used for DMA synchronization.
3.4
I2C Interface
The TMS320VC5509 includes an I2C serial port. The I2C port supports:
•
•
•
•
•
Compatible with Philips I2C Specification Revision 2.1 (January 2000)
Operates at 100 Kbps or 400 Kbps
7-bit addressing mode
Master (transmit/receive) and slave (transmit/receive) modes of operation
Events: DMA, interrupt, or polling
The I2C module clock must be in the range from 7 MHz to 12 MHz. This is necessary for proper operation of
the I2C module. With the I2C module clock in this range, the noise filters on the SDA and SCL pins suppress
noise that has a duration of 50 ns or shorter. The I2C module clock is derived from the DSP clock divided by
a programmable prescaler.
NOTE: I/O buffers are not fail-safe. The SDA and SCL pins could potentially draw current if the
device is powered down and SDA and SCL are driven by other devices connected to the I2C bus.
April 2001 − Revised September 2004
SPRS163G
39
Functional Overview
3.5
Configurable External Buses
The 5509 offers several combinations of configurations for its external parallel port and two serial ports. This
allows the system designer to choose the appropriate media interface for its application without the need of
a large-pin-count package. The External Bus Selection Register controls the routing of the parallel and serial
port signals.
3.5.1 External Bus Selection Register
The External Bus Selection Register determines the mapping of the 14 (LQFP) or 21 (BGA) address signals,
16 data signals, and 15 control signals of the external parallel port. It also determines the mapping of the
McBSP or MMC/SD ports to Serial Port1 and Serial Port2. The External Bus Selection Register is
memory-mapped at port address 0x6C00. Once the bit fields of this register are changed, the routing of the
signals takes place on the next CPU clock cycle.
The reset value of the parallel port mode bit field is determined by the state of the GPIO0 pin at reset. If GPIO0
is high at reset, the full EMIF mode is enabled and the parallel port mode bit field is set to 01. If GPIO0 is low
at reset, the HPI multiplexed mode is enabled and the parallel port mode bit field is set to 11.
15
14
13
12
11
10
9
8
CLKOUT
Disable
OSC Disable
HIDL
BKE
EMIF X2
HOLD
HOLDA
Reserved
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 1
R, 0
7
6
5
4
3
2
1
0
Reserved
Serial Port2 Mode
Serial Port1 Mode
Parallel Port Mode
R/W, 00
R/W, 00
R/W, 00
R/W, 01 if GPIO0 = 1
11 if GPIO0 = 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−6. External Bus Selection Register
Table 3−5. External Bus Selection Register Bit Field Description
BITS
DESCRIPTION
CLKOUT disable.
15
CLKOUT disable = 0:
CLKOUT disable = 1:
CLKOUT enabled
CLKOUT disabled
Oscillator disable. Works with IDLE instruction to put the clock generation domain into IDLE mode.
14
OSC disable = 0:
OSC disable = 1:
Oscillator enabled
Oscillator disabled
Host mode idle bit. (Applicable only if the parallel bus is configured as EHPI.)
13
When the parallel bus is set to EHPI mode, the clock domain is not allowed to go to idle, so a host processor can
access the DSP internal memory. The HIDL bit works around this restriction and allows the DSP to idle the clock
domain and the EHPI. When the clock domain is in idle, a host processor will not be able to access the DSP
memory.
HIDL = 0:
HIDL = 1:
†
Host access to DSP enabled. Idling EHPI and clock domain is not allowed.
Idles the HPI and the clock domain upon execution of the IDLE instruction when the parallel
port mode is set to 10 or 11 selecting HPI mode. In addition, bit 4 of the Idle Control Register
must be set to 1 prior to the execution of the IDLE instruction.
Function available when the port or pins configured as input.
40
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−5. External Bus Selection Register Bit Field Description (Continued)
BITS
DESCRIPTION
Bus keep
12
(PG3.0 or later)
11
enable.†
BKE = 0:
BKE = 1:
Bus keeper, pullups/pulldowns, and the USB I/O cells are enabled.
Bus keeper, pullups/pulldowns, and the USB I/O cells are disabled.
EMIFX2 mode. EMIF SDRAM divide-by-two mode at 144 MHz. Use this feature when SDRAM CLKMEM =
1/2 CPU clock.
EMIFX2 = 0:
EMIFX2 = 1:
For any other EMIF mode
Only used for EMIF SDRAM divide-by-two mode at 144 MHz CPU operation.
EMIF hold
10
(PG 3.0 or later)
HOLD = 0:
HOLD = 1:
DSP drives the external memory bus
Request the external memory bus to be placed in high-impedance so that another device can
drive the memory bus
EMIF hold acknowledge.
9
(PG 3.0 or later)
HOLDA = 0:
HOLDA = 1:
8−6
DSP indicates that a hold request on the external memory bus has occured, the EMIF
completed any pending external bus activity, and placed the external memory bus signals in
high-impedance state (address bus, data bus, CE[3:0], AOE, AWE, ARE, SDRAS, SDCAS,
SDWE, SDA10, CLKMEM). Once this bit is cleared, an external device can drive the bus.
No hold acknowledge
Reserved. These bits should always be written with 0.
Serial port2 mode. McBSP2 or MMC/SD2 Mode. Determines the mode of Serial Port2.
5−4
Serial Port2 Mode = 00:
Serial Port2 Mode = 01:
Serial Port2 Mode = 10:
Serial Port2 Mode = 11:
McBSP2 mode. The McBSP2 signals are routed to the six pins of Seral Port2.
MMC/SD2 mode. The MMC/SD2 signals are routed to the six pins of Seral Port2.
Reserved
Reserved.
Serial port1 mode. McBSP1 or MMC/SD1 Mode. Determines the mode of Serial Port1.
3−2
Serial Port1 Mode = 00:
Serial Port1 Mode = 01:
Serial Port1 Mode = 10:
Serial Port1 Mode = 11:
McBSP1 mode. The McBSP1 signals are routed to the six pins of Seral Port1.
MMC/SD1 mode. The MMC/SD1 signals are routed to the six pins of Seral Port1.
Reserved
Reserved.
Parallel port mode. EMIF/HPI/GPIO Mode. Determines the mode of the parallel port.
1−0
†
Parallel Port Mode = 00: Data EMIF mode. The 16 EMIF data signals and 13 EMIF control signals are
routed to the corresponding external parallel bus data and control signals, but the
14 (LQFP) or 16 (BGA) address bus signals are used as general-purpose I/O.
Parallel Port Mode = 01: Full EMIF mode. The 14 (LQFP) or 21 (BGA) address signals, 16 data signals, and
15 control signals are routed to the corresponding external parallel bus address,
data, and control signals.
Parallel Port Mode = 10: Non-multiplexed HPI mode. The HPI is enabled an its 14 address signals,
16 data signals, and 7 control signals are routed to the corresponding address,
data, control signals of the external parallel bus. Moreover, 8 control signals of the
external parallel bus are used as general-purpose I/O.
Parallel Port Mode = 11: Multiplexed HPI mode. The HPI is enabled and its 16 data signals and
10 control signals are routed to the external parallel bus. In addition, 3 control
signals of the external parallel bus are used as general-purpose I/O. The
14 (LQFP) or 16 (BGA) external parallel port address bus signals are used as
general-purpose I/O.
Function available when the port or pins configured as input.
April 2001 − Revised September 2004
SPRS163G
41
Functional Overview
3.5.2 Parallel Port
The parallel port of the 5509 consists of 14 (LQFP) or 21 (BGA) address signals, 16 data signals, and 15 control
signals. Its 14 bits for address allow it to access 16K (LQFP) or 2M bytes of external memory when using the
asynchronous SRAM interface. On the other hand, the SDRAM interface can access the whole external
memory space of 16M bytes. The parallel bus supports four different modes:
•
Full EMIF mode: the EMIF with its 14 (LQFP) or 21 address signals, 16 data signals, and 15 control
signals routed to the corresponding external parallel bus address, data, and control signals.
•
Data EMIF mode: the EMIF with its 16 data signals, and 15 control signals routed to the corresponding
external parallel bus data and control signals, but the 14 (LQFP) or 16 (BGA) address bus signals are used
as general-purpose I/O signals.
•
Non-multiplexed HPI mode: the HPI is enabled with its 14 address signals, 16 data signals, and
8 control signals routed to the corresponding address, data, and control signals of the external parallel
bus. Moreover, 7 control signals of the external parallel bus are used as general-purpose I/O.
•
Multiplexed HPI mode: the HPI is enabled with its 16 data signals and 10 control signals routed to the
external parallel bus. In addition, 5 control signals of the external parallel bus are used as general-purpose
I/O. The external parallel port’s 14 (LQFP) or 16 (BGA) address signals are used as general-purpose I/O.
Table 3−6. TMS320VC5509 Parallel Port Signal Routing
Pin Signal
Data EMIF (00)†
Full EMIF (01)†
Non-Multiplex HPI (10)†
Multiplex HPI (11)†
Address Bus
A’[0]
A[0]
N/A
EMIF.A[0] (BGA)
N/A
N/A
GPIO.A[0] (LQFP)
EMIF.A[0] (LQFP)
HPI.HA[0] (LQFP)
GPIO.A[0] (LQFP)
HPI.HA[0] (BGA)
GPIO.A[0] (BGA)
GPIO.A[0] (BGA)
GPIO.A[13:1] (LQFP)
EMIF.A[13:1] (LQFP)
HPI.HA[13:1] (LQFP)
GPIO.A[13:1] (LQFP)
GPIO.A[13:1] (BGA)
EMIF.A[13:1] (BGA)
HPI.HA[13:1] (BGA)
GPIO.A[13:1] (BGA)
A[15:14]
GPIO.A[15:14] (BGA)
EMIF.A[15:14] (BGA)
N/A
GPIO.A[15:14] (BGA)
A[20:16]‡
N/A
EMIF.A[20:16] (BGA)
N/A
N/A
HPI.HD[15:0]
HPI.HD[15:0]
GPIO8
GPIO8
A[13:1]
Data Bus
D[15:0]
EMIF.D[15:0]
EMIF.D[15:0]
Control Bus
C0
EMIF.ARE
EMIF.ARE
C1
EMIF.AOE
EMIF.AOE
HPI.HINT
HPI.HINT
C2
EMIF.AWE
EMIF.AWE
HPI.HR/W
HPI.HR/W
C3
EMIF.ARDY
EMIF.ARDY
HPI.HRDY
HPI.HRDY
C4
EMIF.CE0
EMIF.CE0
GPIO9
GPIO9
C5
EMIF.CE1
EMIF.CE1
GPIO10
GPIO10
C6
EMIF.CE2
EMIF.CE2
HPI.HCNTL0
HPI.HCNTL0
C7
EMIF.CE3
EMIF.CE3
GPIO11
HPI.HCNTL1
C8
EMIF.BE0
EMIF.BE0
HPI.HBE0
HPI.HBE0
C9
EMIF.BE1
EMIF.BE1
HPI.HBE1
HPI.HBE1
C10
EMIF.SDRAS
EMIF.SDRAS
GPIO12
HPI.HAS
C11
EMIF.SDCAS
EMIF.SDCAS
HPI.HCS
HPI.HCS
C12
EMIF.SDWE
EMIF.SDWE
HPI.HDS1
HPI.HDS1
C13
EMIF.SDA10
EMIF.SDA10
GPIO13
GPIO13
C14
EMIF.CLKMEM
EMIF.CLKMEM
HPI.HDS2
HPI.HDS2
† Represents Parallel Port Mode bits of the External Bus Selection Register.
‡ A[20:16] of the BGA package always functions as EMIF address pins and they cannot be reconfigured for any other function.
42
SPRS163G
April 2001 − Revised September 2004
Functional Overview
3.5.3 Parallel Port Signal Routing
The 5509 allows access to 16-bit-wide (read and write) asynchronous memory and 16-bit-wide SDRAM. For
16-bit-wide memories, EMIF.A[0] is kept low and is not used. To provide as many address pins as possible,
the 5509 routes the parallel port signals as shown in Figure 3−7.
Figure 3−7 shows the addition of the A′[0] signal in the BGA package. This pin is used for asynchronous
memory interface only, while the A[0] pin is used with HPI or GPIO. Figure 3−8 summarizes the use of the
parallel port signals for memory interfacing.
EMIF.A[0]
A’[0] (BGA only)
GPIO.A[0]
A[0]
HPI.HA[0]
EMIF.A[13:1]
HPI.HA[13:1]
A[13:1]
GPIO.A[13:1]
EMIF.A[14]
A[14] (BGA only)
GPIO.A[14]
EMIF.A[15]
A[15] (BGA only)
GPIO.A[15]
EMIF.A[20:16]
A[20:16] (BGA only)
Figure 3−7. Parallel Port Signal Routing
April 2001 − Revised September 2004
SPRS163G
43
Functional Overview
16-Bit-Wide Asynchronous Memory
CEx
CS
WE
RE
WE
RE
OE
BE[1:0]
5509
LQFP
A[13:1]
OE
BE[1:0]
A[12:0]
A[0]
D[15:0]
A[13]
D[15:0]
CEx
WE
RE
OE
BE[1:0]
5509
BGA
16-Bit-Wide SDRAM
16-Bit
Asynchronous
Memory
CEx
5509
LQFP
or
BGA
CS
CLKMEM
CLK
SDRAS
RAS
SDCAS
CAS
SDWE
BE[1:0]
WE
DQM[H:L]
A[14] or A[0]†
BA[1]
CS
A[13]
BA[0]
WE
RE
A[12]
A[11]
SDA10
A[10]
A[10:1]
A[9:0]
D[15:0]
D[15:0]
A[20:14]
OE
BE[1:0]
A[19:13]
A[13:1]
D[15:0]
A[12:0]
D[15:0]
16-Bit
Asynchronous
Memory
†
64 MBit or
128 MBit
SDRAM
A[14] if BGA; A[0] if LQFP
Figure 3−8. Parallel Port (EMIF) Signal Interface
3.5.4 Serial Ports
The 5509 Serial Port1 and Serial Port2 each consists of six signals that support two different modes:
•
McBSP mode: all six signals of the McBSP are routed to the six external signals of the serial port.
•
MMC/SD mode: all six signals of the MultiMedia Card/Secure Digital port are routed to the six external
signals of the serial port.
Table 3−7. TMS320VC5509 Serial Port1 Signal Routing
†
PIN SIGNAL
MCBSP1 (00)†
MMC/SD1 (10)†
S10
McBSP1.CLKR
MMC1.CMD
S11
McBSP1.DR
MMC1.DAT1
S12
McBSP1.FSR
MMC1.DAT2
S13
McBSP1.DX
MMC1.CLK
S14
McBSP1.CLKX
MMC1.DAT0
S15
McBSP1.FSX
MMC1.DAT3
Represents Serial Port1 Mode bits of the External Bus Selection Register.
Table 3−8. TMS320VC5509 Serial Port2 Signal Routing
‡
44
SPRS163G
PIN SIGNAL
MCBSP2 (00)‡
MMC/SD2 (10)‡
S20
McBSP2.CLKR
MMC2.CMD
S21
McBSP2.DR
MMC2.DAT1
S22
McBSP2.FSR
MMC2.DAT2
S23
McBSP2.DX
MMC2.CLK
S24
McBSP2.CLKX
MMC2.DAT0
S25
McBSP2.FSX
MMC2.DAT3
Represents Serial Port2 Mode bits of the External Bus Selection Register.
April 2001 − Revised September 2004
Functional Overview
3.6
General-Purpose Input/Output (GPIO) Ports
3.6.1 Dedicated General-Purpose I/O
The 5509 provides eight dedicated general-purpose input/output pins, GPIO0−GPIO7. Each pin can be
indepedently configured as an input or an output using the I/O Direction Register (IODIR). The I/O Data
Register (IODATA) is used to monitor the logic state of pins configured as inputs and control the logic state
of pins configured as outputs. See Table 3−27 for address information. The description of the IODIR is shown
in Figure 3−9 and Table 3−9. The description of IODATA is shown in Figure 3−10 and Table 3−10.
To configure a GPIO pin as an input, clear the direction bit that corresponds to the pin in IODIR to 0. To read
the logic state of the input pin, read the corresponding bit in IODATA.
To configure a GPIO pin as an output, set the direction bit that corresponds to the pin in IODIR to 1. To control
the logic state of the output pin, write to the corresponding bit in IODATA.
15
8
7
6
5
4
3
2
1
0
IO4DIR
IO3DIR
IO2DIR
IO1DIR
IO0DIR
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
Reserved
IO7DIR
IO6DIR
IO5DIR
(BGA)
R−00000000
R/W−0
R/W−0
R/W−0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−9. I/O Direction Register (IODIR) Bit Layout
Table 3−9. I/O Direction Register (IODIR) Bit Functions
†
BIT
NO.
BIT
NAME
RESET
VALUE
15−8
Reserved
0
These bits are reserved and are unaffected by writes.
7−0
IOxDIR†
0
IOx Direction Control Bit. Controls whether IOx operates as an input or an output.
IOxDIR = 0
IOx is configured as an input.
IOxDIR = 1
IOx is configured as an output.
FUNCTION
The GPIO5 pin is available on the BGA package only.
April 2001 − Revised September 2004
SPRS163G
45
Functional Overview
15
8
7
6
5
4
3
2
1
0
Reserved
IO7D
IO6D
IO5D
(BGA)
IO4D
IO3D
IO2D
IO1D
IO0D
R−00000000
R/W−pin
R/W−pin
R/W−pin
R/W−pin
R/W−pin
R/W−pin
R/W−pin
R/W−pin
LEGEND: R = Read, W = Write, pin = value present on the pin (IO7−IO0 default to inputs after reset)
Figure 3−10. I/O Data Register (IODATA) Bit Layout
Table 3−10. I/O Data Register (IODATA) Bit Functions
BIT
NO.
BIT
NAME
RESET
VALUE
15−8
Reserved
0
7−0
pin†‡
IOxD
FUNCTION
These bits are reserved and are unaffected by writes.
IOx Data Bit.
If IOx is configured as an input (IOxDIR = 0 in IODIR):
IOxD = 0
The signal on the IOx pin is low.
IOxD = 1
The signal on the IOx pin is high.
If IOx is configured as an output (IOxDIR = 1 in IODIR):
IOxD = 0
Drive the signal on the IOx pin low.
IOxD = 1
Drive the signal on the IOx pin high.
†
‡
The GPIO5 pin is available on the BGA package only.
pin = value present on the pin (IO7−IO0 default to inputs after reset)
3.6.2 Address Bus General-Purpose I/O
The 16 address signals, EMIF.A[15−0], can also be individually enabled as GPIO when the Parallel Port Mode
bit field of the External Bus Selection Register is set for Data EMIF (00) or Multiplexed EHPI mode (11). These
pins are controlled by three registers: the enable register, AGPIOEN, determines if the pins serve as GPIO
or address (Figure 3−11); the direction register, AGPIODIR, determines if the GPIO enabled pin is an input
or output (Figure 3−12); and the data register, AGPIODATA, determines the logic states of the pins in
general-purpose I/O mode (Figure 3−13).
15
14
13
12
11
10
9
8
AIOEN15
(BGA)
AIOEN14
(BGA)
AIOEN13
AIOEN12
AIOEN11
AIOEN10
AIOEN9
AIOEN8
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
7
6
5
4
3
2
1
0
AIOEN7
AIOEN6
AIOEN5
AIOEN4
AIOEN3
AIOEN2
AIOEN1
AIOEN0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−11. Address/GPIO Enable Register (AGPIOEN) Bit Layout
Table 3−11. Address/GPIO Enable Register (AGPIOEN) Bit Functions
BIT
NO.
15−0
46
BIT
NAME
AIOENx
SPRS163G
RESET
VALUE
FUNCTION
0
Enable or disable GPIO function of Address Bus of EMIF. AIOEN15 and AIOEN14 are only available in
BGA package.
AIOENx = 0
GPIO function of Ax line is disabled; i.e., Ax has address function.
AIOENx = 1
GPIO function of Ax line is enabled; i.e., Ax has GPIO function.
April 2001 − Revised September 2004
Functional Overview
15
14
13
12
11
10
9
8
AIODIR15
(BGA)
AIODIR14
(BGA)
AIODIR13
AIODIR12
AIODIR11
AIODIR10
AIODIR9
AIODIR8
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
7
6
5
4
3
2
1
0
AIODIR7
AIODIR6
AIODIR5
AIODIR4
AIODIR3
AIODIR2
AIODIR1
AIODIR0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−12. Address/GPIO Direction Register (AGPIODIR) Bit Layout
Table 3−12. Address/GPIO Direction Register (AGPIODIR) Bit Functions
BIT
NO.
BIT
NAME
15−0
RESET
VALUE
FUNCTION
0
Data direction bits that configure the Address Bus configured as I/O pins as either input or output pins.
AIODIR15 and AIODIR14 are only available in BGA package.
AIODIRx = 0
Configure corresponding pin as an input.
AIODIRx = 1
Configure corresponding pin as an output.
AIODIRx
15
14
13
12
11
10
9
8
AIOD15 (BGA)
AIOD14 (BGA)
AIOD13
AIOD12
AIOD11
AIOD10
AIOD9
AIOD8
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
7
6
5
4
3
2
1
0
AIOD7
AIOD6
AIOD5
AIOD4
AIOD3
AIOD2
AIOD1
AIOD0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−13. Address/GPIO Data Register (AGPIODATA) Bit Layout
Table 3−13. Address/GPIO Data Register (AGPIODATA) Bit Functions
BIT
NO.
15−0
BIT
NAME
AIODx
RESET
VALUE
0
FUNCTION
Data bits that are used to control the level of the Address Bus configured as I/O output pins, and to monitor
the level of the Address Bus configured as I/O input pins. AIOD15 and AIOD14 are only available in BGA
package.
If AIODIRn = 0, then:
AIODx = 0
Corresponding I/O pin is read as a low.
AIODx = 1
Corresponding I/O pin is read as a high.
If AIODIRn = 1, then:
AIODx = 0
Set corresponding I/O pin to low.
AIODx = 1
Set corresponding I/O pin to high.
April 2001 − Revised September 2004
SPRS163G
47
Functional Overview
3.6.3 EHPI General-Purpose I/O
Six control lines of the External Parallel Bus can also be set as general-purpose I/O when the Parallel Port
Mode bit field of the External Bus Selection Register is set to Nonmultiplexed EHPI (10) or Multiplexed EHPI
mode (11). These pins are controlled by three registers: the enable register, EHPIGPIOEN, determines if the
pins serve as GPIO or address (Figure 3−14); the direction register, EHPIGPIODIR, determines if the GPIO
enabled pin is an input or output (Figure 3−15); and the data register, EHPIGPIODATA, determines the logic
states of the pins in GPIO mode (Figure 3−16).
15
6
5
4
3
2
1
0
Reserved
GPIOEN13
GPIOEN12
GPIOEN11
GPIOEN10
GPIOEN9
GPIOEN8
R, 0000 0000 00
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−14. EHPI GPIO Enable Register (EHPIGPIOEN) Bit Layout
Table 3−14. EHPI GPIO Enable Register (EHPIGPIOEN) Bit Functions
BIT
NO.
BIT
NAME
RESET
VALUE
15−6
Reserved
0
Reserved
5−0
GPIOEN13−
GPIOEN8
0
Enable or disable GPIO function of EHPI Control Bus.
GPIOENx = 0 GPIO function of GPIOx line is disabled
GPIOENx = 1 GPIO function of GPIOx line is enabled
15
FUNCTION
6
5
4
3
2
1
0
Reserved
GPIODIR13
GPIODIR12
GPIODIR11
GPIODIR10
GPIODIR9
GPIODIR8
R, 0000 0000 00
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−15. EHPI GPIO Direction Register (EHPIGPIODIR) Bit Layout
Table 3−15. EHPI GPIO Direction Register (EHPIGPIODIR) Bit Functions
48
BIT
NO.
BIT
NAME
RESET
VALUE
15−6
Reserved
0
Reserved
5−0
GPIODIR13−
GPIODIR8
0
Data direction bits that configure the EHPI Control Bus configured as I/O pins as either input or output
pins.
GPIODIRx = 0 Configure corresponding pin as an input.
GPIODIRx = 1 Configure corresponding pin as an output.
SPRS163G
FUNCTION
April 2001 − Revised September 2004
Functional Overview
15
6
5
4
3
2
1
0
Reserved
GPIOD13
GPIOD12
GPIOD11
GPIOD10
GPIOD9
GPIOD8
R, 0000 0000 00
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
R/W, 0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−16. EHPI GPIO Data Register (EHPIGPIODATA) Bit Layout
Table 3−16. EHPI GPIO Data Register (EHPIGPIODATA) Bit Functions
BIT
NO.
BIT
NAME
RESET
VALUE
15−6
Reserved
0
Reserved
0
Data bits that are used to control the level of the EHPI Control Bus configured as I/O output pins, and to
monitor the level of the EHPI Control Bus configured as I/O input pins.
If GPIODIRn = 0, then:
GPIODx = 0
Corresponding I/O pin is read as a low.
GPIODx = 1
Corresponding I/O pin is read as a high.
5−0
GPIOD13−
GPIOD8
FUNCTION
If GPIODIRn = 1, then:
GPIODx = 0
Set corresponding I/O pin to low.
GPIODx = 1
Set corresponding I/O pin to high.
3.7
System Register
The system register (SYSR) provides control over certain device-specific functions. The register is located
at port address 07FDh. This feature is not supported on the 5509 device.
April 2001 − Revised September 2004
SPRS163G
49
Functional Overview
3.8
Memory-Mapped Registers
The 5509 has 78 memory-mapped CPU registers that are mapped in data memory space address 0h to 4Fh.
Table 3−17 provides a list of the CPU memory-mapped registers (MMRs) available. The corresponding
TMS320C54x (C54x) CPU registers are also indicated where applicable.
Table 3−17. CPU Memory-Mapped Registers
C55x
REGISTER
C54x
REGISTER
WORD ADDRESS
(HEX)
IER0
IMR
00
Interrupt Enable Register 0
[15−0]
IFR0
IFR
01
Interrupt Flag Register 0
[15−0]
ST0_55
−
02
Status Register 0 for C55x
[15−0]
ST1_55
−
03
Status Register 1 for C55x
[15−0]
ST3_55
−
04
Status Register 3 for C55x
[15−0]
−
−
05
Reserved
[15−0]
ST0
ST0
06
Status Register ST0
[15−0]
ST1
ST1
07
Status Register ST1
[15−0]
AC0L
AL
08
Accumulator 0
[15−0]
AC0H
AH
09
AC0G
AG
0A
AC1L
BL
OB
DESCRIPTION
BIT FIELD
[31−16]
[39−32]
Accumulator 1
[15−0]
AC1H
BH
0C
AC1G
BG
0D
[31−16]
T3
TREG
0E
Temporary Register
[15−0]
TRN0
TRN
0F
Transition Register
[15−0]
AR0
AR0
10
Auxiliary Register 0
[15−0]
AR1
AR1
11
Auxiliary Register 1
[15−0]
AR2
AR2
12
Auxiliary Register 2
[15−0]
AR3
AR3
13
Auxiliary Register 3
[15−0]
AR4
AR4
14
Auxiliary Register 4
[15−0]
AR5
AR5
15
Auxiliary Register 5
[15−0]
AR6
AR6
16
Auxiliary Register 6
[15−0]
AR7
AR7
17
Auxiliary Register 7
[15−0]
SP
SP
18
Stack Pointer Register
[15−0]
[39−32]
BK03
BK
19
Circular Buffer Size Register
[15−0]
BRC0
BRC
1A
Block Repeat Counter
[15−0]
RSA0L
RSA
1B
Block Repeat Start Address
[15−0]
REA0L
REA
1C
Block Repeat End Address
[15−0]
PMST
PMST
1D
Processor Mode Status Register
[15−0]
XPC
XPC
1E
Program Counter Extension Register
[7−0]
−
−
1F
Reserved
[15−0]
T0
−
20
Temporary Data Register 0
[15−0]
T1
−
21
Temporary Data Register 1
[15−0]
T2
−
22
Temporary Data Register 2
[15−0]
[15−0]
T3
−
23
Temporary Data Register 3
AC2L
−
24
Accumulator 2
AC2H
−
25
[31−16]
AC2G
−
26
[39−32]
[15−0]
TMS320C54x and C54x are trademarks of Texas Instruments.
50
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−17. CPU Memory-Mapped Registers (Continued)
C55x
REGISTER
C54x
REGISTER
WORD ADDRESS
(HEX)
DESCRIPTION
BIT FIELD
CDP
−
27
Coefficient Data Pointer
[15−0]
AC3L
−
28
Accumulator 3
[15−0]
AC3H
−
29
AC3G
−
2A
[31−16]
DPH
−
2B
Extended Data Page Pointer
[6−0]
MDP05
−
2C
Reserved
[6−0]
MDP67
−
2D
Reserved
[6−0]
[15−0]
[39−32]
DP
−
2E
Memory Data Page Start Address
PDP
−
2F
Peripheral Data Page Start Address
[8−0]
BK47
−
30
Circular Buffer Size Register for AR[4−7]
[15−0]
BKC
−
31
Circular Buffer Size Register for CDP
[15−0]
BSA01
−
32
Circular Buffer Start Address Register for AR[0−1]
[15−0]
BSA23
−
33
Circular Buffer Start Address Register for AR[2−3]
[15−0]
BSA45
−
34
Circular Buffer Start Address Register for AR[4−5]
[15−0]
BSA67
−
35
Circular Buffer Start Address Register for AR[6−7]
[15−0]
BSAC
−
36
Circular Buffer Coefficient Start Address Register
[15−0]
BIOS
−
37
Data Page Pointer Storage Location for 128-word Data Table
[15−0]
TRN1
−
38
Transition Register 1
[15−0]
BRC1
−
39
Block Repeat Counter 1
[15−0]
BRS1
−
3A
Block Repeat Save 1
[15−0]
CSR
−
3B
Computed Single Repeat
[15−0]
RSA0H
−
3C
Repeat Start Address 0
[23−16]
RSA0L
−
3D
REA0H
−
3E
REA0L
−
3F
RSA1H
−
40
RSA1L
−
41
REA1H
−
42
REA1L
−
43
RPTC
−
44
Repeat Counter
[15−0]
IER1
−
45
Interrupt Enable Register 1
[15−0]
IFR1
−
46
Interrupt Flag Register 1
[15−0]
DBIER0
−
47
Debug IER0
[15−0]
DBIER1
−
48
Debug IER1
[15−0]
IVPD
−
49
Interrupt Vector Pointer DSP
[15−0]
[15−0]
Repeat End Address 0
[23−16]
[15−0]
Repeat Start Address 1
[23−16]
Repeat End Address 1
[23−16]
[15−0]
[15−0]
IVPH
−
4A
Interrupt Vector Pointer HOST
[15−0]
ST2_55
−
4B
Status Register 2 for C55x
[15−0]
SSP
−
4C
System Stack Pointer
[15−0]
SP
−
4D
User Stack Pointer
[15−0]
SPH
−
4E
Extended Data Page Pointer for the SP and the SSP
[6−0]
CDPH
−
4F
Main Data Page Pointer for the CDP
[6−0]
April 2001 − Revised September 2004
SPRS163G
51
Functional Overview
3.9
Peripheral Register Description
Each 5509 device has a set of memory-mapped registers associated with peripherals as listed in Table 3−18
through Table 3−36. Some registers use less than 16 bits. When reading these registers, unused bits are
always read as 0.
NOTE: The CPU access latency to the peripheral memory-mapped registers is 6 CPU cycles.
Following peripheral register update(s), the CPU must wait at least 6 CPU cycles before
attempting to use that peripheral. When more than one peripheral register is updated in a
sequence, the CPU only needs to wait following the final register write. For example, if the
EMIF is being reconfigured, the CPU must wait until the very last EMIF register update takes
effect before trying to access the external memory. The users should consult the respective
peripheral user’s guide to determine if a peripheral requires additional time to initialize itself
to the new configuration after the register updates take effect.
Before reading or writing to the USB register, the USB module has to be brought out of reset by setting bit 2
of the USB Idle Control and Status Register. Likewise, the MMC/SD must be selected by programming the
External Bus Selection Register before reading or writing the MMC/SD module registers.
Table 3−18. Idle Control, Status, and System Registers
WORD ADDRESS
REGISTER NAME
RESET VALUE†
DESCRIPTION
0x0001
ICR[7:0]
Idle Control Register
xxxx xxxx 0000 0000
0x0002
ISTR[7:0]
Idle Status Register
xxxx xxxx 0000 0000
0x07FD
SYSR[15:0]
System
Register‡
0000 0000 0000 0000
†
Hardware reset; x denotes a “don’t care.”
‡ System Register features are not supported on the 5509 device.
Table 3−19. External Memory Interface Registers
WORD ADDRESS
†
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x0800
EGCR[15:0]
EMIF Global Control Register
xxxx xxxx 0010 xx00
0x0801
EMI_RST
EMIF Global Reset Register
xxxx xxxx xxxx xxxx
0x0802
EMI_BE[13:0]
EMIF Bus Error Status Register
xx00 0000 0000 0000
0x0803
CE0_1[14:0]
EMIF CE0 Space Control Register 1
x010 1111 1111 1111
0x0804
CE0_2[15:0]
EMIF CE0 Space Control Register 2
0100 1111 1111 1111
0x0805
CE0_3[7:0]
EMIF CE0 Space Control Register 3
xxxx xxxx 0000 0000
0x0806
CE1_1[14:0]
EMIF CE1 Space Control Register 1
x010 1111 1111 1111
0x0807
CE1_2[15:0]
EMIF CE1 Space Control Register 2
0100 1111 1111 1111
0x0808
CE1_3[7:0]
EMIF CE1 Space Control Register 3
xxxx xxxx 0000 0000
0x0809
CE2_1[14:0]
EMIF CE2 Space Control Register 1
x010 1111 1111 1111
0x080A
CE2_2[15:0]
EMIF CE2 Space Control Register 2
0101 1111 1111 1111
0x080B
CE2_3[7:0]
EMIF CE2 Space Control Register 3
xxxx xxxx 0000 0000
0x080C
CE3_1[14:0]
EMIF CE3 Space Control Register 1
x010 1111 1111 1111
0x080D
CE3_2[15:0]
EMIF CE3 Space Control Register 2
0101 1111 1111 1111
0x080E
CE3_3[7:0]
EMIF CE3 Space Control Register 3
xxxx xxxx 0000 0000
0x080F
SDC1[15:0]
EMIF SDRAM Control Register 1
1111 1001 0100 1000
0x0810
SDPER[11:0]
EMIF SDRAM Period Register
xxxx 0000 1000 0000
0x0811
SDCNT[11:0]
EMIF SDRAM Counter Register
xxxx 0000 1000 0000
0x0812
INIT
EMIF SDRAM Init Register
xxxx xxxx xxxx xxxx
0x0813
SDC2[9:0]
EMIF SDRAM Control Register 2
xxxx xx11 1111 1111
Hardware reset; x denotes a “don’t care.”
52
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−20. DMA Configuration Registers
PORT ADDRESS
(WORD)
REGISTER NAME
DESCRIPTION
RESET VALUE†
GLOBAL REGISTER
0x0E00
DMA_GCR[2:0]
DMA Global Control Register
0x0E03
DMA_GTCR
DMA Timeout Control Register
xxxx xxxx xxxx x000
0x0C00
DMA_CSDP0
DMA Channel 0 Source Destination
Parameters Register
0000 0000 0000 0000
0x0C01
DMA_CCR0[15:0]
DMA Channel 0 Control Register
0000 0000 0000 0000
0x0C02
DMA_CICR0[5:0]
DMA Channel 0 Interrupt Control Register
xxxx xxxx xx00 0011
0x0C03
DMA_CSR0[6:0]
DMA Channel 0 Status Register
xxxx xxxx xx00 0000
0x0C04
DMA_CSSA_L0
DMA Channel 0 Source Start Address Register
(lower bits)
Undefined
0x0C05
DMA_CSSA_U0
DMA Channel 0 Source Start Address Register
(upper bits)
Undefined
0x0C06
DMA_CDSA_L0
DMA Channel 0 Destination Start Address Register
(lower bits)
Undefined
0x0C07
DMA_CDSA_U0
DMA Channel 0 Destination Start Address Register
(upper bits)
Undefined
0x0C08
DMA_CEN0
DMA Channel 0 Element Number Register
Undefined
0x0C09
DMA_CFN0
DMA Channel 0 Frame Number Register
Undefined
0x0C0A
DMA_CFI0
DMA Channel 0 Frame Index Register
Undefined
0x0C0B
DMA_CEI0
DMA Channel 0 Element Index Register
Undefined
CHANNEL #0 REGISTERS
CHANNEL #1 REGISTERS
0x0C20
DMA_CSDP1
DMA Channel 1 Source Destination
Parameters Register
0000 0000 0000 0000
0x0C21
DMA_CCR1[15:0]
DMA Channel 1 Control Register
0000 0000 0000 0000
0x0C22
DMA_CICR1[5:0]
DMA Channel 1 Interrupt Control Register
xxxx xxxx xx00 0011
0x0C23
DMA_CSR1[6:0]
DMA Channel 1 Status Register
xxxx xxxx xx00 0000
0x0C24
DMA_CSSA_L1
DMA Channel 1 Source Start Address Register
(lower bits)
Undefined
0x0C25
DMA_CSSA_U1
DMA Channel 1 Source Start Address Register
(upper bits)
Undefined
0x0C26
DMA_CDSA_L1
DMA Channel 1 Destination Start Address Register
(lower bits)
Undefined
0x0C27
DMA_CDSA_U1
DMA Channel 1 Destination Start Address Register
(upper bits)
Undefined
0x0C28
DMA_CEN1
DMA Channel 1 Element Number Register
Undefined
0x0C29
DMA_CFN1
DMA Channel 1 Frame Number Register
Undefined
0x0C2A
DMA_CFI1
DMA Channel 1 Frame Index Register
Undefined
0x0C2B
DMA_CEI1
DMA Channel 1 Element Index Register
Undefined
CHANNEL #2 REGISTERS
0x0C40
DMA_CSDP2
DMA Channel 2 Source Destination
Parameters Register
0000 0000 0000 0000
0x0C41
DMA_CCR2[15:0]
DMA Channel 2 Control Register
0000 0000 0000 0000
0x0C42
DMA_CICR2[5:0]
DMA Channel 2 Interrupt Control Register
xxxx xxxx xx00 0011
†
Hardware reset; x denotes a “don’t care.”
April 2001 − Revised September 2004
SPRS163G
53
Functional Overview
Table 3−20. DMA Configuration Registers (Continued)
PORT ADDRESS
(WORD)
REGISTER NAME
RESET VALUE†
DESCRIPTION
CHANNEL #2 REGISTERS (CONTINUED)
0x0C43
DMA_CSR2[6:0]
DMA Channel 2 Status Register
xxxx xxxx xx00 0000
0x0C44
DMA_CSSA_L2
DMA Channel 2 Source Start Address Register
(lower bits)
Undefined
0x0C45
DMA_CSSA_U2
DMA Channel 2 Source Start Address Register
(upper bits)
Undefined
0x0C46
DMA_CDSA_L2
DMA Channel 2 Destination Start Address Register
(lower bits)
Undefined
0x0C47
DMA_CDSA_U2
DMA Channel 2 Destination Start Address Register
(upper bits)
Undefined
0x0C48
DMA_CEN2
DMA Channel 2 Element Number Register
Undefined
0x0C49
DMA_CFN2
DMA Channel 2 Frame Number Register
Undefined
0x0C4A
DMA_CFI2
DMA Channel 2 Frame Index Register
Undefined
0x0C4B
DMA_CEI2
DMA Channel 2 Element Index Register
Undefined
0x0C60
DMA_CSDP3
DMA Channel 3 Source Destination
Parameters Register
0000 0000 0000 0000
0x0C61
DMA_CCR3[15:0]
DMA Channel 3 Control Register
0000 0000 0000 0000
0x0C62
DMA_CICR3[5:0]
DMA Channel 3 Interrupt Control Register
xxxx xxxx xx00 0011
0x0C63
DMA_CSR3[6:0]
DMA Channel 3 Status Register
xxxx xxxx xx00 0000
0x0C64
DMA_CSSA_L3
DMA Channel 3 Source Start Address Register
(lower bits)
Undefined
0x0C65
DMA_CSSA_U3
DMA Channel 3 Source Start Address Register
(upper bits)
Undefined
0x0C66
DMA_CDSA_L3
DMA Channel 3 Destination Start Address Register
(lower bits)
Undefined
0x0C67
DMA_CDSA_U3
DMA Channel 3 Destination Start Address Register
(upper bits)
Undefined
0x0C68
DMA_CEN3
DMA Channel 3 Element Number Register
Undefined
0x0C69
DMA_CFN3
DMA Channel 3 Frame Number Register
Undefined
0x0C6A
DMA_CFI3
DMA Channel 3 Frame Index Register
Undefined
0x0C6B
DMA_CEI3
DMA Channel 3 Element Index Register
Undefined
CHANNEL #3 REGISTERS
CHANNEL #4 REGISTERS
0x0C80
DMA_CSDP4
DMA Channel 4 Source Destination
Parameters Register
0000 0000 0000 0000
0x0C81
DMA_CCR4[15:0]
DMA Channel 4 Control Register
0000 0000 0000 0000
0x0C82
DMA_CICR4[5:0]
DMA Channel 4 Interrupt Control Register
xxxx xxxx xx00 0011
0x0C83
DMA_CSR4[6:0]
DMA Channel 4 Status Register
xxxx xxxx xx00 0000
0x0C84
DMA_CSSA_L4
DMA Channel 4 Source Start Address Register
(lower bits)
Undefined
0x0C85
DMA_CSSA_U4
DMA Channel 4 Source Start Address Register
(upper bits)
Undefined
0x0C86
DMA_CDSA_L4
DMA Channel 4 Destination Start Address Register
(lower bits)
Undefined
†
54
Hardware reset; x denotes a “don’t care.”
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−20. DMA Configuration Registers (Continued)
PORT ADDRESS
(WORD)
REGISTER NAME
DESCRIPTION
RESET VALUE†
CHANNEL #4 REGISTERS (CONTINUED)
0x0C87
DMA_CDSA_U4
DMA Channel 4 Destination Start Address Register
(upper bits)
Undefined
0x0C88
DMA_CEN4
DMA Channel 4 Element Number Register
Undefined
0x0C89
DMA_CFN4
DMA Channel 4 Frame Number Register
Undefined
0x0C8A
DMA_CFI4
DMA Channel 4 Frame Index Register
Undefined
0x0C8B
DMA_CEI4
DMA Channel 4 Element Index Register
Undefined
0x0CA0
DMA_CSDP5
DMA Channel 5 Source Destination
Parameters Register
0000 0000 0000 0000
0x0CA1
DMA_CCR5[15:0]
DMA Channel 5 Control Register
0000 0000 0000 0000
0x0CA2
DMA_CICR5[5:0]
DMA Channel 5 Interrupt Control Register
xxxx xxxx xx00 0011
0x0CA3
DMA_CSR5[6:0]
DMA Channel 5 Status Register
xxxx xxxx xx00 0000
0x0CA4
DMA_CSSA_L5
DMA Channel 5 Source Start Address Register
(lower bits)
Undefined
0x0CA5
DMA_CSSA_U5
DMA Channel 5 Source Start Address Register
(upper bits)
Undefined
0x0CA6
DMA_CDSA_L5
DMA Channel 5 Destination Start Address Register
(lower bits)
Undefined
0x0CA7
DMA_CDSA_U5
DMA Channel 5 Destination Start Address Register
(upper bits)
Undefined
0x0CA8
DMA_CEN5
DMA Channel 5 Element Number Register
Undefined
0x0CA9
DMA_CFN5
DMA Channel 5 Frame Number Register
Undefined
0x0CAA
DMA_CFI5
DMA Channel 5 Frame Index Register
Undefined
0x0CAB
DMA_CEI5
DMA Channel 5 Element Index Register
Undefined
CHANNEL #5 REGISTERS
†
Hardware reset; x denotes a “don’t care.”
April 2001 − Revised September 2004
SPRS163G
55
Functional Overview
Table 3−21. Real-Time Clock Registers
WORD ADDRESS
REGISTER NAME
0x1800
RTCSEC
Seconds Register
0000 0000 0000 0000
0x1801
RTCSECA
Seconds Alarm Register
0000 0000 0000 0000
0x1802
RTCMIN
Minutes Register
0000 0000 0000 0000
0x1803
RTCMINA
Minutes Alarm Register
0000 0000 0000 0000
0x1804
RTCHOUR
Hours Register
0000 0000 0000 0000
0x1805
RTCHOURA
Hours Alarm Register
0000 0000 0000 0000
0x1806
RTCDAYW
Day of the Week Register
0000 0000 0000 0000
0x1807
RTCDAYM
Day of the Month (date) Register
0000 0000 0000 0000
0x1808
RTCMONTH
Month Register
0000 0000 0000 0000
0x1809
RTCYEAR
Year Register
0000 0000 0000 0000
0x180A
RTCPINTR
Periodic Interrupt Selection Register
0000 0000 0000 0000
0x180B
RTCINTEN
Interrupt Enable Register
0000 0000 1000 0000
0x180C
RTCINTFL
Interrupt Flag Register
0000 0000 0000 0000
0x180D−0x1BFF
†
RESET VALUE†
DESCRIPTION
Reserved
Hardware reset; x denotes a “don’t care.”
Table 3−22. Clock Generator
WORD ADDRESS
0x1C00
0x1E00
†
REGISTER NAME
CLKMD[14:0]
USBPLL[14:0]
DESCRIPTION
Clock Mode Register
USB PLL Clock Generator
RESET VALUE†
0010 0000 0000 0010 DIV1 mode
If non-USB boot mode:
0010 0000 0000 0110 DIV2 mode
If USB boot mode:
0010 0010 0001 0011 PLL MULT4 mode
Hardware reset; x denotes a “don’t care.”
Table 3−23. Timers
WORD ADDRESS
†
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x1000
TIM0[15:0]
Timer Count Register, Timer #0
1111 1111 1111 1111
0x1001
PRD0[15:0]
Period Register, Timer #0
1111 1111 1111 1111
0x1002
TCR0[15:0]
Timer Control Register, Timer #0
0000 0000 0001 0000
0x1003
PRSC0[15:0]
Timer Prescaler Register, Timer #0
xxxx 0000 xxxx 0000
0x2400
TIM1[15:0]
Timer Count Register, Timer #1
1111 1111 1111 1111
0x2401
PRD1[15:0]
Period Register, Timer #1
1111 1111 1111 1111
0x2402
TCR1[15:0]
Timer Control Register, Timer #1
0000 0000 0001 0000
0x2403
PRSC1[15:0]
Timer Prescaler Register, Timer #1
xxxx 0000 xxxx 0000
Hardware reset; x denotes a “don’t care.”
56
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−24. Multichannel Serial Port #0
PORT ADDRESS
(WORD)
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x2800
DRR2_0[15:0]
Data Receive Register 2, McBSP #0
0000 0000 0000 0000
0x2801
DRR1_0[15:0]
Data Receive Register 1, McBSP #0
0000 0000 0000 0000
0x2802
DXR2_0[15:0]
Data Transmit Register 2, McBSP #0
0000 0000 0000 0000
0x2803
DXR1_0[15:0]
Data Transmit Register 1, McBSP #0
0000 0000 0000 0000
0x2804
SPCR2_0[15:0]
Serial Port Control Register 2, McBSP #0
0000 0000 0000 0000
0x2805
SPCR1_0[15:0]
Serial Port Control Register 1, McBSP #0
0000 0000 0000 0000
0x2806
RCR2_0[15:0]
Receive Control Register 2, McBSP #0
0000 0000 0000 0000
0x2807
RCR1_0[15:0]
Receive Control Register 1, McBSP #0
0000 0000 0000 0000
0x2808
XCR2_0[15:0]
Transmit Control Register 2, McBSP #0
0000 0000 0000 0000
0x2809
XCR1_0[15:0]
Transmit Control Register 1, McBSP #0
0000 0000 0000 0000
0x280A
SRGR2_0[15:0]
Sample Rate Generator Register 2, McBSP #0
0020 0000 0000 0000
0x280B
SRGR1_0[15:0]
Sample Rate Generator Register 1, McBSP #0
0000 0000 0000 0001
0x280C
MCR2_0[15:0]
Multichannel Control Register 2, McBSP #0
0000 0000 0000 0000
0x280D
MCR1_0[15:0]
Multichannel Control Register 1, McBSP #0
0000 0000 0000 0000
0x280E
RCERA_0[15:0]
Receive Channel Enable Register Partition A, McBSP #0
0000 0000 0000 0000
0x280F
RCERB_0[15:0]
Receive Channel Enable Register Partition B, McBSP #0
0000 0000 0000 0000
0x2810
XCERA_0[15:0]
Transmit Channel Enable Register Partition A, McBSP #0
0000 0000 0000 0000
0x2811
XCERB_0[15:0]
Transmit Channel Enable Register Partition B, McBSP #0
0000 0000 0000 0000
0x2812
PCR0[15:0]
Pin Control Register, McBSP #0
0000 0000 0000 0000
0x2813
RCERC_0[15:0]
Receive Channel Enable Register Partition C, McBSP #0
0000 0000 0000 0000
0x2814
RCERD_0[15:0]
Receive Channel Enable Register Partition D, McBSP #0
0000 0000 0000 0000
0x2815
XCERC_0[15:0]
Transmit Channel Enable Register Partition C, McBSP #0
0000 0000 0000 0000
0x2816
XCERD_0[15:0]
Transmit Channel Enable Register Partition D, McBSP #0
0000 0000 0000 0000
0x2817
RCERE_0[15:0]
Receive Channel Enable Register Partition E, McBSP #0
0000 0000 0000 0000
0x2818
RCERF_0[15:0]
Receive Channel Enable Register Partition F, McBSP #0
0000 0000 0000 0000
0x2819
XCERE_0[15:0]
Transmit Channel Enable Register Partition E, McBSP #0
0000 0000 0000 0000
0x281A
XCERF_0[15:0]
Transmit Channel Enable Register Partition F, McBSP #0
0000 0000 0000 0000
0x281B
RCERG_0[15:0]
Receive Channel Enable Register Partition G, McBSP #0
0000 0000 0000 0000
0x281C
RCERH_0[15:0]
Receive Channel Enable Register Partition H, McBSP #0
0000 0000 0000 0000
0x281D
XCERG_0[15:0]
Transmit Channel Enable Register Partition G, McBSP #0
0000 0000 0000 0000
0x281E
XCERH_0[15:0]
Transmit Channel Enable Register Partition H, McBSP #0
0000 0000 0000 0000
†
Hardware reset; x denotes a “don’t care.”
April 2001 − Revised September 2004
SPRS163G
57
Functional Overview
Table 3−25. Multichannel Serial Port #1
PORT ADDRESS
(WORD)
REGISTER NAME
RESET VALUE†
DESCRIPTION
0x2C00
DRR2_1[15:0]
Data Receive Register 2, McBSP #1
0000 0000 0000 0000
0x2C01
DRR1_1[15:0]
Data Receive Register 1, McBSP #1
0000 0000 0000 0000
0x2C02
DXR2_1[15:0]
Data Transmit Register 2, McBSP #1
0000 0000 0000 0000
0x2C03
DXR1_1[15:0]
Data Transmit Register 1, McBSP #1
0000 0000 0000 0000
0x2C04
SPCR2_1[15:0]
Serial Port Control Register 2, McBSP #1
0000 0000 0000 0000
0x2C05
SPCR1_1[15:0]
Serial Port Control Register 1, McBSP #1
0000 0000 0000 0000
0x2C06
RCR2_1[15:0]
Receive Control Register 2, McBSP #1
0000 0000 0000 0000
0x2C07
RCR1_1[15:0]
Receive Control Register 1, McBSP #1
0000 0000 0000 0000
0x2C08
XCR2_1[15:0]
Transmit Control Register 2, McBSP #1
0000 0000 0000 0000
0x2C09
XCR1_1[15:0]
Transmit Control Register 1, McBSP #1
0000 0000 0000 0000
0x2C0A
SRGR2_1[15:0]
Sample Rate Generator Register 2, McBSP #1
0020 0000 0000 0000
0x2C0B
SRGR1_1[15:0]
Sample Rate Generator Register 1, McBSP #1
0000 0000 0000 0001
0x2C0C
MCR2_1[15:0]
Multichannel Control Register 2, McBSP #1
0000 0000 0000 0000
0x2C0D
MCR1_1[15:0]
Multichannel Control Register 1, McBSP #1
0000 0000 0000 0000
0x2C0E
RCERA_1[15:0]
Receive Channel Enable Register Partition A, McBSP #1
0000 0000 0000 0000
0x2C0F
RCERB_1[15:0]
Receive Channel Enable Register Partition B, McBSP #1
0000 0000 0000 0000
0x2C10
XCERA_1[15:0]
Transmit Channel Enable Register Partition A, McBSP #1
0000 0000 0000 0000
0x2C11
XCERB_1[15:0]
Transmit Channel Enable Register Partition B, McBSP #1
0000 0000 0000 0000
0x2C12
PCR1[15:0]
Pin Control Register, McBSP #1
0000 0000 0000 0000
0x2C13
RCERC_1[15:0]
Receive Channel Enable Register Partition C, McBSP #1
0000 0000 0000 0000
0x2C14
RCERD_1[15:0]
Receive Channel Enable Register Partition D, McBSP #1
0000 0000 0000 0000
0x2C15
XCERC_1[15:0]
Transmit Channel Enable Register Partition C, McBSP #1
0000 0000 0000 0000
0x2C16
XCERD_1[15:0]
Transmit Channel Enable Register Partition D, McBSP #1
0000 0000 0000 0000
0x2C17
RCERE_1[15:0]
Receive Channel Enable Register Partition E, McBSP #1
0000 0000 0000 0000
0x2C18
RCERF_1[15:0]
Receive Channel Enable Register Partition F, McBSP #1
0000 0000 0000 0000
0x2C19
XCERE_1[15:0]
Transmit Channel Enable Register Partition E, McBSP #1
0000 0000 0000 0000
0x2C1A
XCERF_1[15:0]
Transmit Channel Enable Register Partition F, McBSP #1
0000 0000 0000 0000
0x2C1B
RCERG_1[15:0]
Receive Channel Enable Register Partition G, McBSP #1
0000 0000 0000 0000
0x2C1C
RCERH_1[15:0]
Receive Channel Enable Register Partition H, McBSP #1
0000 0000 0000 0000
0x2C1D
XCERG_1[15:0]
Transmit Channel Enable Register Partition G, McBSP #1
0000 0000 0000 0000
0x2C1E
XCERH_1[15:0]
Transmit Channel Enable Register Partition H, McBSP #1
0000 0000 0000 0000
†
58
Hardware reset; x denotes a “don’t care.”
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−26. Multichannel Serial Port #2
PORT ADDRESS
(WORD)
†
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x3000
DRR2_2[15:0]
Data Receive Register 2, McBSP #2
0000 0000 0000 0000
0x3001
DRR1_2[15:0]
Data Receive Register 1, McBSP #2
0000 0000 0000 0000
0x3002
DXR2_2[15:0]
Data Transmit Register 2, McBSP #2
0000 0000 0000 0000
0x3003
DXR1_2[15:0]
Data Transmit Register 1, McBSP #2
0000 0000 0000 0000
0x3004
SPCR2_2[15:0]
Serial Port Control Register 2, McBSP #2
0000 0000 0000 0000
0x3005
SPCR1_2[15:0]
Serial Port Control Register 1, McBSP #2
0000 0000 0000 0000
0x3006
RCR2_2[15:0]
Receive Control Register 2, McBSP #2
0000 0000 0000 0000
0x3007
RCR1_2[15:0]
Receive Control Register 1, McBSP #2
0000 0000 0000 0000
0x3008
XCR2_2[15:0]
Transmit Control Register 2, McBSP #2
0000 0000 0000 0000
0x3009
XCR1_2[15:0]
Transmit Control Register 1, McBSP #2
0000 0000 0000 0000
0x300A
SRGR2_2[15:0]
Sample Rate Generator Register 2, McBSP #2
0020 0000 0000 0000
0x300B
SRGR1_2[15:0]
Sample Rate Generator Register 1, McBSP #2
0000 0000 0000 0001
0x300C
MCR2_2[15:0]
Multichannel Control Register 2, McBSP #2
0000 0000 0000 0000
0x300D
MCR1_2[15:0]
Multichannel Control Register 1, McBSP #2
0000 0000 0000 0000
0x300E
RCERA_2[15:0]
Receive Channel Enable Register Partition A, McBSP #2
0000 0000 0000 0000
0x300F
RCERB_2[15:0]
Receive Channel Enable Register Partition B, McBSP #2
0000 0000 0000 0000
0x3010
XCERA_2[15:0]
Transmit Channel Enable Register Partition A, McBSP #2
0000 0000 0000 0000
0x3011
XCERB_2[15:0]
Transmit Channel Enable Register Partition B, McBSP #2
0000 0000 0000 0000
0x3012
PCR2[15:0]
Pin Control Register, McBSP #2
0000 0000 0000 0000
0x3013
RCERC_2[15:0]
Receive Channel Enable Register Partition C, McBSP #2
0000 0000 0000 0000
0x3014
RCERD_2[15:0]
Receive Channel Enable Register Partition D, McBSP #2
0000 0000 0000 0000
0x3015
XCERC_2[15:0]
Transmit Channel Enable Register Partition C, McBSP #2
0000 0000 0000 0000
0x3016
XCERD_2[15:0]
Transmit Channel Enable Register Partition D, McBSP #2
0000 0000 0000 0000
0x3017
RCERE_2[15:0]
Receive Channel Enable Register Partition E, McBSP #2
0000 0000 0000 0000
0x3018
RCERF_2[15:0]
Receive Channel Enable Register Partition F, McBSP #2
0000 0000 0000 0000
0x3019
XCERE_2[15:0]
Transmit Channel Enable Register Partition E, McBSP #2
0000 0000 0000 0000
0x301A
XCERF_2[15:0]
Transmit Channel Enable Register Partition F, McBSP #2
0000 0000 0000 0000
0x301B
RCERG_2[15:0]
Receive Channel Enable Register Partition G, McBSP #2
0000 0000 0000 0000
0x301C
RCERH_2[15:0]
Receive Channel Enable Register Partition H, McBSP #2
0000 0000 0000 0000
0x301D
XCERG_2[15:0]
Transmit Channel Enable Register Partition G, McBSP #2
0000 0000 0000 0000
0x301E
XCERH_2[15:0]
Transmit Channel Enable Register Partition H, McBSP #2
0000 0000 0000 0000
Hardware reset; x denotes a “don’t care.”
April 2001 − Revised September 2004
SPRS163G
59
Functional Overview
Table 3−27. GPIO
WORD
ADDRESS
†
REGISTER
NAME
PIN
RESET VALUE†
DESCRIPTION
0x3400
IODIR[7:0]
GPIO[7:0]
General-purpose I/O Direction Register
0000 0000 0000 0000
0x3401
IODATA[7:0]
GPIO[7:0]
General-purpose I/O Data Register
0000 0000 xxxx xxxx
0x4400
AGPIOEN[15:0]
A[15:0]
Address/GPIO Enable Register
0000 0000 0000 0000
0x4401
AGPIODIR[15:0]
A[15:0]
Address/GPIO Direction Register
0000 0000 0000 0000
0x4402
AGPIODATA[15:0]
A[15:0]
Address/GPIO Data Register
xxxx xxxx xxxx xxxx
0x4403
EHPIGPIOEN[5:0]
GPIO[13:8]
EHPI/GPIO Enable Register
0000 0000 0000 0000
0x4404
EHPIGPIODIR[5:0]
GPIO[13:8]
EHPI/GPIO Direction Register
0000 0000 0000 0000
0x4405
EHPIGPIODATA[5:0]
GPIO[13:8]
EHPI/GPIO Data Register
0000 0000 00xx xxxx
Hardware reset; x denotes a “don’t care.”
Table 3−28. Device Revision ID
WORD ADDRESS
‡
§
REGISTER NAME
DESCRIPTION
VALUE
0x3800 − 0x3803
Die ID[63:0]
Factory Die Identification
Reserved‡
0x3804
Rev ID[15:0]
Silicon Revision Identification
0010 0101 0000 0010§
Contains factory information not intended for users.
For additional information, see TMS320VC5509 Digital Signal Processor Silicon Errata (literature number SPRZ006).
Table 3−29. I2C Module Registers
WORD ADDRESS
REGISTER NAME
RESET VALUE†
DESCRIPTION
I2COAR[9:0]¶
I2C Own Address Register
0000 0000 0000 0000
0x3C01
I2CIMR
I2C
0000 0000 0000 0000
0x3C02
I2CSTR
I2C Status Register
0000 0001 0000 0000
0x3C03
I2CCLKL[15:0]
I2C Clock Divider Low Register
0000 0000 0000 0000
0x3C04
I2CCLKH[15:0]
I2C
0000 0000 0000 0000
0x3C05
I2CCNT[15:0]
I2C Data Count
0000 0000 0000 0000
0x3C06
I2CDRR[7:0]
I2C
0000 0000 0000 0000
0x3C07
I2CSAR[9:0]
I2C Slave Address Register
0000 0011 1111 1111
0x3C08
I2CDXR[7:0]
I2C Data Transmit Register
0000 0000 0000 0000
0x3C09
I2CMDR[14:0]
I2C
0000 0000 0000 0000
0x3C0A
I2CIVR
I2C Interrupt Vector Register
0000 0000 0000 0000
0x3C0B
I2CGPIO
I2C
xxxx xxxx xxxx xxxx
0x3C0C
I2CPSC
I2C Prescaler Register
0x3C0D
−
Reserved
0x3C0E
−
Reserved
0x3C0F
−
Reserved
−
I2CRSR
I2C receive shift register (not accessible to the CPU)
−
I2CXSR
I2C transmit shift register (not accessible to the CPU)
0x3C00
Interrupt Mask Register
Clock Divider High Register
Data Receive Register
Mode Register
General-Purpose Register
0000 0000 0000 0000
†
Hardware reset; x denotes a “don’t care.”
Specifies a unique 5509 I2C address. This register must be set by the programmer. When this device is used in conjunction with another master
I2C device, the register must be programmed to the I2C slave address (01011xx) allocated by Philips Semiconductor for the 5509. The 2 LSBs
are the programmable address bits.
NOTE: I2C protocol compatible, no fail-safe buffer.
¶
60
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−30. Watchdog Timer Registers
WORD ADDRESS
†
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x4000
WDTIM[15:0]
WD Timer Counter Register
1111 1111 1111 1111
0x4001
WDPRD[15:0]
WD Timer Period Register
1111 1111 1111 1111
0x4002
WDTCR[13:0]
WD Timer Control Register
0000 0011 1100 1111
0x4003
WDTCR2[15:0]
WD Timer Control Register 2
0001 0000 0000 0000
Hardware reset; x denotes a “don’t care.”
Table 3−31. MMC/SD1 Module Registers
WORD ADDRESS
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x4800
MMCFCLK[8:0]
MMC Function Clock Control Register
0000 0000 0000 0111
0x4801
MMCCTL[10:0]
MMC Control Register
0000 0000 0000 0000
0x4802
MMCCLK[8:0]
MMC Clock Control Register
0000 0000 0000 1111
0x4803
MMCST0[12:0]
MMC Status Register 0
0000 0001 0000 0000
0x4804
MMCST1[5:0]
MMC Status Register 1
0000 0000 0000 0000
0x4805
MMCIE[12:0]
MMC Interrupt Enable Register
0000 0000 0000 0000
0x4806
MMCTOR[7:0]
MMC Response Time-Out Register
0000 0000 0000 0000
0x4807
MMCTOD[15:0]
MMC Data Read Time-Out Register
0000 0000 0000 0000
0x4808
MMCBLEN[11:0]
MMC Block Length Register
0000 0010 0000 0000
0x4809
MMCNBLK[15:0]
MMC Number of Blocks Register
0000 0000 0000 0000
0x480A
MMCNBLC[15:0]
MMC Number of Blocks Counter Register
0000 0000 0000 0000
0x480B
MMCDRR[15:0]
MMC Data Receive Register
0000 0000 0000 0000
0x480C
MMCDXR[15:0]
MMC Data Transmit Register
0000 0000 0000 0000
0x480D
MMCCMD[15:0]
MMC Command Register
0000 0000 0000 0000
0x480E
MMCARGL[15:0]
MMC Argument Register − Low
0000 0000 0000 0000
0x480F
MMCARGH[15:0]
MMC Argument Register − High
0000 0000 0000 0000
0x4810
MMCRSP0[15:0]
MMC Response Register 0
0000 0000 0000 0000
0x4811
MMCRSP1[15:0]
MMC Response Register 1
0000 0000 0000 0000
0x4812
MMCRSP2[15:0]
MMC Response Register 2
0000 0000 0000 0000
0x4813
MMCRSP3[15:0]
MMC Response Register 3
0000 0000 0000 0000
0x4814
MMCRSP4[15:0]
MMC Response Register 4
0000 0000 0000 0000
0x4815
MMCRSP5[15:0]
MMC Response Register 5
0000 0000 0000 0000
0x4816
MMCRSP6[15:0]
MMC Response Register 6
0000 0000 0000 0000
0x4817
MMCRSP7[15:0]
MMC Response Register 7
0000 0000 0000 0000
0x4818
MMCDRSP[7:0]
MMC Data Response Register
0000 0000 0000 0000
0x4819
Reserved
0x481A
MMCCIDX[7:0]
MMC Command Index Register
0000 0000 0000 0000
† Hardware reset; x denotes a “don’t care.”
NOTE: The MMC/SD module must be selected in the External Bus Selection Register before any MMC/SD module register read or write attempt.
April 2001 − Revised September 2004
SPRS163G
61
Functional Overview
Table 3−32. MMC/SD2 Module Registers
WORD ADDRESS
REGISTER NAME
DESCRIPTION
RESET VALUE†
0x4C00
MMCFCLK[8:0]
MMC Function Clock Control Register
0000 0000 0000 0111
0x4C01
MMCCTL[10:0]
MMC Control Register
0000 0000 0000 0000
0x4C02
MMCCLK[8:0]
MMC Clock Control Register
0000 0000 0000 1111
0x4C03
MMCST0[12:0]
MMC Status Register 0
0000 0001 0000 0000
0x4C04
MMCST1[5:0]
MMC Status Register 1
0000 0000 0000 0000
0x4C05
MMCIE[12:0]
MMC Interrupt Enable Register
0000 0000 0000 0000
0x4C06
MMCTOR[7:0]
MMC Response Time-Out Register
0000 0000 0000 0000
0x4C07
MMCTOD[15:0]
MMC Data Read Time-Out Register
0000 0000 0000 0000
0x4C08
MMCBLEN[11:0]
MMC Block Length Register
0000 0010 0000 0000
0x4C09
MMCNBLK[15:0]
MMC Number of Blocks Register
0000 0000 0000 0000
0x4C0A
MMCNBLC[15:0]
MMC Number of Blocks Counter Register
0000 0000 0000 0000
0x4C0B
MMCDRR[15:0]
MMC Data Receive Register
0000 0000 0000 0000
0x4C0C
MMCDXR[15:0]
MMC Data Transmit Register
0000 0000 0000 0000
0x4C0D
MMCCMD[15:0]
MMC Command Register
0000 0000 0000 0000
0x4C0E
MMCARGL[15:0]
MMC Argument Register − Low
0000 0000 0000 0000
0x4C0F
MMCARGH[15:0]
MMC Argument Register − High
0000 0000 0000 0000
0x4C10
MMCRSP0[15:0]
MMC Response Register 0
0000 0000 0000 0000
0x4C11
MMCRSP1[15:0]
MMC Response Register 1
0000 0000 0000 0000
0x4C12
MMCRSP2[15:0]
MMC Response Register 2
0000 0000 0000 0000
0x4C13
MMCRSP3[15:0]
MMC Response Register 3
0000 0000 0000 0000
0x4C14
MMCRSP4[15:0]
MMC Response Register 4
0000 0000 0000 0000
0x4C15
MMCRSP5[15:0]
MMC Response Register 5
0000 0000 0000 0000
0x4C16
MMCRSP6[15:0]
MMC Response Register 6
0000 0000 0000 0000
0x4C17
MMCRSP7[15:0]
MMC Response Register 7
0000 0000 0000 0000
0x4C18
MMCDRSP[7:0]
MMC Data Response Register
0000 0000 0000 0000
0x4C19
Reserved
0x4C1A
MMCCIDX[7:0]
MMC Command Index Register
0000 0000 0000 0000
† Hardware reset; x denotes a “don’t care.”
NOTE: The MMC/SD module must be selected in the External Bus Selection Register before any MMC/SD module register read or write attempt.
Table 3−33. USB Module Registers
WORD ADDRESS
REGISTER NAME
DESCRIPTION
RESET VALUE†
DMA CONTEXTS
0x5800
Reserved
0x5808
DMAC_O1
Output Endpoint 1 DMA Context Register
Undefined
0x5810
DMAC_O2
Output Endpoint 2 DMA Context Register
Undefined
0x5818
DMAC_O3
Output Endpoint 3 DMA Context Register
Undefined
0x5820
DMAC_O4
Output Endpoint 4 DMA Context Register
Undefined
0x5828
DMAC_O5
Output Endpoint 5 DMA Context Register
Undefined
0x5830
DMAC_O6
Output Endpoint 6 DMA Context Register
Undefined
0x5838
DMAC_O7
Output Endpoint 7 DMA Context Register
Undefined
0x5840
Reserved
† Hardware reset; x denotes a “don’t care.”
NOTE: The USB module must be brought out of reset by setting bit 2 of the USB Idle Control and Status Register before any USB module register
read or write attempt.
62
SPRS163G
April 2001 − Revised September 2004
Functional Overview
Table 3−33. USB Module Registers (Continued)
WORD ADDRESS
REGISTER NAME
DESCRIPTION
RESET VALUE†
DMA CONTEXTS (CONTINUED)
0x5848
DMAC_I1
Input Endpoint 1 DMA Context Register
Undefined
0x5850
DMAC_I2
Input Endpoint 2 DMA Context Register
Undefined
0x5858
DMAC_I3
Input Endpoint 3 DMA Context Register
Undefined
0x5860
DMAC_I4
Input Endpoint 4 DMA Context Register
Undefined
0x5868
DMAC_I5
Input Endpoint 5 DMA Context Register
Undefined
0x5870
DMAC_I6
Input Endpoint 6 DMA Context Register
Undefined
0x5878
DMAC_I7
Input Endpoint 7 DMA Context Register
Undefined
DATA BUFFER
0x5880
Data Buffers
Contains X/Y data buffers for endpoints 1 – 7
Undefined
0x6680
OEB_0
Output Endpoint 0 Buffer
Undefined
0x66C0
IEB_0
Input Endpoint 0 Buffer
Undefined
0x6700
SUP_0
Setup Packet for Endpoint 0
Undefined
ENDPOINT DESCRIPTOR BLOCKS
0x6708
OEDB_1
Output Endpoint 1 Descriptor Register Block
Undefined
0x6710
OEDB_2
Output Endpoint 2 Descriptor Register Block
Undefined
0x6718
OEDB_3
Output Endpoint 3 Descriptor Register Block
Undefined
0x6720
OEDB_4
Output Endpoint 4 Descriptor Register Block
Undefined
0x6728
OEDB_5
Output Endpoint 5 Descriptor Register Block
Undefined
0x6730
OEDB_6
Output Endpoint 6 Descriptor Register Block
Undefined
0x6738
OEDB_7
Output Endpoint 7 Descriptor Register Block
Undefined
0x6740
Reserved
0x6748
IEDB_1
Input Endpoint 1 Descriptor Register Block
Undefined
0x6750
IEDB_2
Input Endpoint 2 Descriptor Register Block
Undefined
0x6758
IEDB_3
Input Endpoint 3 Descriptor Register Block
Undefined
0x6760
IEDB_4
Input Endpoint 4 Descriptor Register Block
Undefined
0x6768
IEDB_5
Input Endpoint 5 Descriptor Register Block
Undefined
0x6770
IEDB_6
Input Endpoint 6 Descriptor Register Block
Undefined
0x6778
IEDB_7
Input Endpoint 7 Descriptor Register Block
Undefined
0x6780
IEPCNF_0
Input Endpoint 0 Configuration
xxxx xxxx 0000 0000
0x6781
IEPBCNT_0
Input Endpoint 0 Byte Count
xxxx xxxx 1000 0000
0x6782
OEPCNF_0
Output Endpoint 0 Configuration
xxxx xxxx 0000 0000
0x6783
OEPBCNT_0
Output Endpoint 0 Byte Count
xxxx xxxx 0000 0000
0x6784 − 0x6790
Reserved
0x6791
GLOBCTL
Global Control Register
xxxx xxxx 0000 0000
0x6792
VECINT
Vector Interrupt Register
xxxx xxxx 0000 0000
0x6793
IEPINT
Input Endpoint Interrupt Register
xxxx xxxx 0000 0000
0x6794
OEPINT
Output Endpoint Interrupt Register
xxxx xxxx 0000 0000
0x6795
IDMARINT
Input DMA Reload Interrupt Register
xxxx xxxx 0000 0000
0x6796
ODMARINT
Output DMA Reload Interrupt Register
xxxx xxxx 0000 0000
CONTROL AND STATUS REGISTERS
†
Hardware reset; x denotes a “don’t care.”
NOTE: The USB module must be brought out of reset by setting bit 2 of the USB Idle Control and Status Register before any USB module register
read or write attempt.
April 2001 − Revised September 2004
SPRS163G
63
Functional Overview
Table 3−33. USB Module Registers (Continued)
WORD ADDRESS
REGISTER NAME
RESET VALUE†
DESCRIPTION
CONTROL AND STATUS REGISTERS (CONTINUED)
0x6797
IDMAGINT
Input DMA Go Interrupt Register
xxxx xxxx 0000 0000
0x6798
ODMAGINT
Output DMA Go Interrupt Register
xxxx xxxx 0000 0000
0x6799
IDMAMSK
Input DMA Interrupt Mask Register
xxxx xxxx 0000 0000
0x679A
ODMAMSK
Output DMA Interrupt Mask Register
xxxx xxxx 0000 0000
0x679B
IEDBMSK
Input EDB Interrupt Mask Register
xxxx xxxx 0000 0000
0x679C
OEDBMSK
Output EDB Interrupt Mask Register
xxxx xxxx 0000 0000
0x67F8
FNUML
Frame Number Low Register
xxxx xxxx 0000 0000
0x67F9
FNUMH
Frame Number High
xxxx xxxx xxxx x000
0x67FA
PSOFTMR
PreSOF Interrupt Timer Register
xxxx xxxx 0000 0000
0x67FC
USBCTL
USB Control Register
xxxx xxxx 0101 0000
0x67FD
USBMSK
USB Interrupt Mask Register
xxxx xxxx 0000 0000
0x67FE
USBSTA
USB Status Register
xxxx xxxx 0000 0000
0x67FF
FUNADR
Function Address Register
xxxx xxxx x000 0000
0x7000
USBIDLECTL
USB Idle Control and Status Register
xxxx xxxx xxxx x000
†
Hardware reset; x denotes a “don’t care.”
NOTE: The USB module must be brought out of reset by setting bit 2 of the USB Idle Control and Status Register before any USB module register
read or write attempt.
Table 3−34. Analog-to-Digital Controller (ADC) Registers
WORD ADDRESS
†
REGISTER NAME
RESET VALUE†
DESCRIPTION
0x6800
ADCCTL[15:11]
ADC Control Register
0111 0000 0000 0000
0x6801
ADCDATA[15:0]
ADC Data Register
0111 0000 0000 0000
0x6802
ADCCLKDIV[15:0]
ADC Function Clock Divider Register
0000 0000 0000 1111
0x6803
ADCCLKCTL[8:0]
ADC Clock Control Register
0000 0000 0000 0111
Hardware reset; x denotes a “don’t care.”
Table 3−35. External Bus Selection Register
WORD ADDRESS
0x6C00
†
‡
REGISTER NAME
EBSR[15:0]
RESET VALUE†
DESCRIPTION
0000 0000 0000 0011‡
External Bus Selection Register
Hardware reset; x denotes a “don’t care.”
The reset value is 0000 0000 0000 0001 if GPIO0 = 1; the value is 0000 0000 0000 0011 if GPIO0 = 0.
Table 3−36. Secure ROM Register
WORD ADDRESS
0x7400
†
REGISTER NAME
SROM[0]
DESCRIPTION
Secure ROM Register
RESET VALUE†
0000 0000 0000 0000
Hardware reset; x denotes a “don’t care.”
64
SPRS163G
April 2001 − Revised September 2004
Functional Overview
3.10 Interrupts
Vector-relative locations and priorities for all internal and external interrupts are shown in Table 3−37.
Table 3−37. Interrupt Table
NAME
SOFTWARE
(TRAP)
EQUIVALENT
RELATIVE
LOCATION†
(HEX BYTES)
PRIORITY
FUNCTION
RESET
SINT0
0
0
Reset (hardware and software)
NMI‡
SINT1
8
1
Nonmaskable interrupt
BERR
SINT24
C0
2
Bus Error interrupt
INT0
SINT2
10
3
External interrupt #0
INT1
SINT16
80
4
External interrupt #1
INT2
SINT3
18
5
External interrupt #2
TINT0
SINT4
20
6
Timer #0 interrupt
RINT0
SINT5
28
7
McBSP #0 receive interrupt
XINT0
SINT17
88
8
McBSP #0 transmit interrupt
RINT1
SINT6
30
9
McBSP #1 receive interrupt
XINT1/MMCSD1
SINT7
38
10
McBSP #1 transmit interrupt, MMC/SD #1 interrupt
USB
SINT8
40
11
USB interrupt
DMAC0
SINT18
90
12
DMA Channel #0 interrupt
DMAC1
SINT9
48
13
DMA Channel #1 interrupt
DSPINT
SINT10
50
14
Interrupt from host
INT3/WDTINT
SINT11
58
15
External interrupt #3 or Watchdog timer interrupt
INT4/RTC§
SINT19
98
16
External interrupt #4 or RTC interrupt
RINT2
SINT12
60
17
McBSP #2 receive interrupt
XINT2/MMCSD2
SINT13
68
18
McBSP #2 transmit interrupt , MMC/SD #2 interrupt
DMAC2
SINT20
A0
19
DMA Channel #2 interrupt
DMAC3
SINT21
A8
20
DMA Channel #3 interrupt
DMAC4
SINT14
70
21
DMA Channel #4 interrupt
DMAC5
SINT15
78
22
DMA Channel #5 interrupt
TINT1
SINT22
B0
23
Timer #1 interrupt
IIC
SINT23
B8
24
I2C interrupt
DLOG
SINT25
C8
25
Data Log interrupt
RTOS
SINT26
D0
26
Real-time Operating System interrupt
−
SINT27
D8
27
Software interrupt #27
−
SINT28
E0
28
Software interrupt #28
−
SINT29
E8
29
Software interrupt #29
−
SINT30
F0
30
Software interrupt #30
−
SINT31
F8
31
Software interrupt #31
†
Absolute addresses of the interrupt vector locations are determined by the contents of the IVPD and IVPH registers. Interrupt vectors for
interrupts 0−15 and 24−31 are relative to IVPD. Interrupt vectors for interrupts 16−23 are relative to IVPH.
‡ The NMI pin is internally tied high. However, NMI interrupt vector can be used for SINT1 and Watchdog Timer Interrupt.
§ It is recommended that either the INT4 or RTC interrupt be used. If both INT4 and RTC interrupts are used, one interrupt event can potentially
hold off the other interrupt. For example, if INT4 is asserted first and held low, the RTC interrupt will not be recognized until the INT4 pin is back
to high-logic state again. The INT4 pin must be pulled high if only the RTC interrupt is used.
April 2001 − Revised September 2004
SPRS163G
65
Functional Overview
3.10.1
IFR and IER Registers
The IFR0 (Interrupt Flag Register 0) and IER0 (Interrupt Enable Register 0) bit layouts are shown in
Figure 3−17.
NOTE: Some of the interrupts are shared between multiple interrupt sources. All sources for
a particular bit are internally combined using a logic OR function so that no additional user
configuration is required to select the interrupt source. In the case of the serial port, the shared
functions are mutually exclusive so that only one of the interrupt sources will be active at a time
in a given system. For example: It is not possible to use McBSP2 and MMC/SD2
simultaneously. However, in the case of INT3/WDTINT it is possible to have active interrupts
simultaneously from both the external INT3 source and the watchdog timer. When an interrupt
is detected in this bit, the watchdog timer status register should be polled to determine if the
watchdog timer is the interrupt source.
15
14
13
12
11
10
9
8
DMAC5
DMAC4
XINT2/
MMCSD2
RINT2
INT3/
WDTINT
DSPINT
DMAC1
USB
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
7
6
5
4
3
2
1
0
XINT1/
MMCSD1
RINT1
RINT0
TINT0
INT2
INT0
Reserved
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R−0
LEGEND: R = Read, W = Write, n = value after reset
Figure 3−17. IFR0 and IER0 Bit Locations
Table 3−38. IFR0 and IER0 Register Bit Fields
BIT
66
FUNCTION
NUMBER
NAME
15
DMAC5
DMA channel 5 interrupt flag/mask bit
14
DMAC4
DMA channel 4 interrupt flag/mask bit
13
XINT2/MMCSD2
12
RINT2
11
INT3/WDTINT
10
DSPINT
HPI host-to-DSP interrupt flag/mask.
9
DMAC1
DMA channel 1 interrupt flag/mask bit
8
USB
7
XINT1/MMCSD1
6
RINT1
McBSP1 receive interrupt flag/mask bit.
5
RINT0
McBSP0 receive interrupt flag bit
4
TINT0
Timer 0 interrupt flag bit
3
INT2
External interrupt 2 flag bit
2
INT0
External interrupt 0 flag bit
1−0
−
SPRS163G
This bit is used as either the McBSP2 transmit interrupt flag/mask bit, the MMC/SD2 interrupt
flag/mask bit.
McBSP2 receive interrupt flag/mask bit.
This bit is used as either the external user interrupt 3 flag/mask bit, or the watchdog timer interrupt
flag/mask bit.
USB interrupt flag/mask bit.
This bit is used as either the McBSP1 transmit interrupt flag/mask bit, the MMC/SD1 interrupt
flag/mask bit.
Reserved for future expansion. These bits should always be written with 0.
April 2001 − Revised September 2004
Functional Overview
The IFR1 (Interrupt Flag Register 1) and IER1 (Interrupt Enable Register 1) bit layouts are shown in
Figure 3−18.
NOTE: It is possible to have active interrupts simultaneously from both the external interrupt 4
(INT4) and the real-time clock (RTC). When an interrupt is detected in this bit, the real-time
clock status register should be polled to determine if the real-time clock is the source of the
interrupt.
15
11
10
9
8
Reserved
RTOS
DLOG
BERR
R/W−00000†
R/W−0
R/W−0
R/W−0
7
6
5
4
3
2
1
0
I2C
TINT1
DMAC3
DMAC2
INT4/RTC
DMAC0
XINT0
INT1
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
R/W−0
LEGEND: R = Read, W = Write, n = value after reset
Always write zeros.
†
Figure 3−18. IFR1 and IER1 Bit Locations
Table 3−39. IFR1 and IER1 Register Bit Fields
BIT
NUMBER
NAME
15−11
−
FUNCTION
Reserved for future expansion. These bits should always be written with 0.
10
RTOS
Real-time operating system interrupt flag/mask bit
9
DLOG
Data log interrupt flag/mask bit
8
BERR
Bus error interrupt flag/mask bit
7
I2C
6
TINT1
5
DMAC3
DMA channel 3 interrupt flag/mask bit
4
DMAC2
DMA channel 2 interrupt flag/mask bit
3
INT4/RTC
2
DMAC0
1
XINT0
0
INT1
3.10.2
I2C interrupt flag/mask bit
Timer 1 interrupt flag/mask bit
This bit can be used as either the external user interrupt 4 flag/mask bit, or the real-time clock
interrupt flag/mask bit.
DMA channel 0 interrupt flag/mask bit
McBSP transmit 0 interrupt flag/mask bit
External user interrupt 1 flag/mask bit
Interrupt Timing
The external interrupts (INT[4:0]) are synchronized to the CPU by way of a two-flip-flop synchronizer. The
interrupt inputs are sampled on falling edges of the CPU clock. A sequence of 1-1-0-0-0 on consecutive cycles
on the interrupt pin is required for an interrupt to be detected. Therefore, the minimum low pulse duration on
the external interrupts on the 5509 is three CPU clock periods.
April 2001 − Revised September 2004
SPRS163G
67
Functional Overview
3.10.3
Waking Up From IDLE Condition
One of the following four events can wake up the CPU from IDLE:
•
Hardware Reset
•
External Interrupt
•
RTC Interrupt
•
USB Event (Reset or Resume)
3.10.3.1 Waking Up From IDLE With Oscillator Disabled
With an external interrupt, a RTC interrupt, or an USB resume/reset, the clock generation circuit wakes up the
oscillator and enables the USB PLL to determine the oscillator stable time. In the case of the interrupt being
disabled by clearing the associated bit in the Interrupt Enable Register (IERx), the CPU is not “woken up”. If
the interrupt due to the wake-up event is enabled, the interrupt is sent to the CPU only after the oscillator is
stabilized and the USB PLL is locked. If the external interrupt serves as the wake-up event, the interrupt line
must stay low for a minimum of 3 CPU cycles after the oscillator is stabilized to wake up the CPU. Otherwise,
only the clock domain will wake up and another external interrupt will be needed to wake up the CPU.
Once out of IDLE, any system not using the USB should put the USB module in idle mode to reduce power
consumption.
For more details on the TMS320VC5509 oscillator-disable process, see the Disabling the Internal Oscillator
on the TMS320VC5507/5509/5509A DSP application report (literature number SPRA078).
3.10.4
Idling Clock Domain When External Parallel Bus Operating in EHPI Mode
The clock domain cannot be idled when the External Parallel Bus is operating in EHPI mode to ensure host
access to the DSP memory. To work around this restriction, use the HIDL bit of the External Bus Selection
Register (EBSR) with the CLKGENI bit of the Idle Control Register (ICR) to idle the clock domain.
68
SPRS163G
April 2001 − Revised September 2004
Documentation Support
4
Documentation Support
Extensive documentation supports all TMS320 DSP family of devices from product announcement through
applications development. The following types of documentation are available to support the design and use
of the TMS320C5000 platform of DSPs:
•
•
•
•
•
TMS320C55x DSP Functional Overview (literature number SPRU312)
Device-specific data sheets
Complete user’s guides
Development support tools
Hardware and software application reports
TMS320C55x reference documentation includes, but is not limited to, the following:
•
•
•
•
•
•
•
•
•
TMS320C55x DSP CPU Reference Guide (literature number SPRU371)
TMS320C55x DSP Mnemonic Instruction Set Reference Guide (literature number SPRU374)
TMS320C55x DSP Algebraic Instruction Set Reference Guide (literature number SPRU375)
TMS320C55x DSP Programmer’s Guide (literature number SPRU376)
TMS320C55x DSP Peripherals Overview Reference Guide (literature number SPRU317)
TMS320C55x Optimizing C/C++ Compiler User’s Guide (literature number SPRU281)
TMS320C55x Assembly Language Tools User’s Guide (literature number SPRU280)
TMS320C55x DSP Library Programmer’s Reference (literature number SPRU422)
Disabling the Internal Oscillator on the TMS320VC5507/5509/5509A DSP application report (literature
number SPRA078)
The reference guides describe in detail the TMS320C55x DSP products currently available and the
hardware and software applications, including algorithms, for fixed-point TMS320 DSP family of devices.
A series of DSP textbooks is published by Prentice-Hall and John Wiley & Sons to support digital signal
processing research and education. The TMS320 DSP newsletter, Details on Signal Processing, is
published quarterly and distributed to update TMS320 DSP customers on product information.
Information regarding TI DSP products is also available on the Worldwide Web at http://www.ti.com uniform
resource locator (URL).
TMS320 and TMS320C5000 are trademarks of Texas Instruments.
April 2001 − Revised September 2004
SPRS163G
69
Documentation Support
4.1
Device and Development-Support Tool Nomenclature
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all
TMS320 DSP devices and support tools. Each TMS320 DSP commercial family member has one of three
prefixes: TMX, TMP, or TMS. Texas Instruments recommends two of three possible prefix designators for its
support tools: TMDX and TMDS. These prefixes represent evolutionary stages of product development from
engineering prototypes (TMX / TMDX) through fully qualified production devices/tools (TMS / TMDS).
Device development evolutionary flow:
TMX
Experimental device that is not necessarily representative of the final device’s electrical specifications
TMP
Final silicon die that conforms to the device’s electrical specifications but has not completed quality
and reliability verification
TMS
Fully qualified production device
Support tool development evolutionary flow:
TMDX Development-support product that has not yet completed Texas Instruments internal qualification
testing.
TMDS Fully qualified development-support product
TMX and TMP devices and TMDX development-support tools are shipped against the following disclaimer:
“Developmental product is intended for internal evaluation purposes.”
TMS devices and TMDS development-support tools have been characterized fully, and the quality and
reliability of the device have been demonstrated fully. TI’s standard warranty applies.
Predictions show that prototype devices ( TMX or TMP) have a greater failure rate than the standard
production devices. Texas Instruments recommends that these devices not be used in any production system
because their expected end-use failure rate still is undefined. Only qualified production devices are to be used.
TMS320 is a trademark of Texas Instruments.
70
SPRS163G
April 2001 − Revised September 2004
Documentation Support
4.2
TMS320VC5509 Device Nomenclature
TMS 320 VC 5509 GHH
PREFIX
TMX =
TMP =
TMS =
SMJ =
SM =
(31)
Experimental device
Prototype device
Qualified device
MIL-STD-883C
High Rel (non-883C)
DEVICE FAMILY
320 = TMS320 family
DEVICE SILICON REVISION†
31 = Revision 3.1
TECHNOLOGY
VC = Dual-Supply CMOS
PACKAGE TYPE‡
GHH = 179-pin plastic BGA
PGE = 144-pin plastic LQFP
DEVICE
55x DSP:
5509
†
No silicon revision marked on the package indicates earlier (TMX or TMP) silicon. See the TMS320VC5509 Digital Signal Processor Silicon
Errata (literature number SPRZ006) to identify TMX or TMP silicon revision.
‡ BGA =
Ball Grid Array
LQFP = Low-Profile Quad Flatpack
Figure 4−1. Device Nomenclature for the TMS320VC5509
April 2001 − Revised September 2004
SPRS163G
71
Electrical Specifications
5
Electrical Specifications
This section provides the absolute maximum ratings and the recommended operating conditions for the
TMS320VC5509 DSP.
All electrical and switching characteristics in this data manual are valid over the recommended operating
conditions unless otherwise specified.
5.1
Absolute Maximum Ratings
The list of absolute maximum ratings are specified over operating case temperature. Stresses beyond those
listed under “absolute maximum ratings” may cause permanent damage to the device. These are stress
ratings only, and functional operation of the device at these or any other conditions beyond those indicated
under Section 5.2 is not implied. Exposure to absolute-maximum-rated conditions for extended periods may
affect device reliability. All voltage values are with respect to VSS. Figure 5−1 provides the test load circuit
values for a 3.3-V I/O.
Supply voltage I/O range, DVDD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 0.3 V to 4.0 V
Supply voltage core range, CVDD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 0.3 V to 2.0 V
Input voltage range, VI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 0.3 V to 4.5 V
Output voltage range, VO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 0.3 V to 4.5 V
Operating case temperature range, TC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 40°C to 85°C
Storage temperature range Tstg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . − 55°C to 150°C
5.2
Recommended Operating Conditions
MIN
NOM
MAX
UNIT
Core and Internal Memory
CVDD
Device supply voltage, core 144 MHz
1.52
1.6
1.68
V
RVDD
Device supply voltage, on-chip memory, 144 MHz
1.52
1.6
1.68
V
RCVDD
RTC module supply voltage, core
1.52
1.6
1.68
V
RDVDD
RTC module supply voltage, I/O (RTCINX1 and RTCINX2)
1.52
1.6
1.68
V
USBVDD
USB module supply voltage, I/O (DP, DN, and PU)
3
3.3
3.6
V
Peripherals
SCL)†
DVDD
Device supply voltage, I/O (except DP, DN, PU, SDA,
2.7
3.3
3.6
V
ADVDD
A/D module digital supply voltage
2.7
3.3
3.6
V
AVDD
A/D module analog supply voltage
2.7
3.3
3.6
V
Grounds
VSS
Supply voltage, GND, I/O, and core
0
V
ADVSS
Supply voltage, GND, A/D module, digital
0
V
AVSS
Supply voltage, GND, A/D module, analog
0
V
†
I2C
The
pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down.
Due to the fact that different voltage devices can be connected to the I2C bus, the level of logic 0 (low) and logic 1 (high) are not fixed and
depends on the associated VDD.
‡ USB I/O pins DP and DN can tolerate a short circuit at D+ and D− to 0 V or 5 V, as long as the recommended series resistors (see Figure 5−40)
are connected between the D+ and DP (package), and the D− and DN (package). Do not apply a short circuit to the USB I/O pins DP and DN
in absence of the series resistors.
NOTE: USB PLL is powered from the core supply and is susceptible to core power supply ripple. The maximum allowable supply ripple is 1%
for 1 Hz to 5 kHz; 1.5% for 5 kHz to 10 MHz; 3% for 10 MHz to 100 MHz, and less than 5% for 100 MHz or greater.
72
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.2
Recommended Operating Conditions (Continued)
MIN
VIH
High-level input voltage, I/O
X2/CLKIN
2.2
DN and DP‡
2.0
SDA & SCL: VDD related input levels†
All other inputs
(including hysteresis inputs)
X2/CLKIN
0.7*DVDD
Low-level input voltage, I/O
SDA & SCL: VDD related input
All other inputs
(including hysteresis inputs)
IOH
High-level output current
IOL
TC
Low-level output current
Operating case temperature
UNIT
DVDD + 0.3
DVDD(max) +0.5
DVDD + 0.3
−0.3
0.7
V
0.8
levels†
−0.5
0.3 * DVDD
−0.3
0.8
DN and DP‡ (VOH = 2.45 V)
V
−17.0
All other outputs
DN and DP‡ (VOL = 0.36 V)
MAX
2.2
DN and DP‡
VIL
NOM
−4
mA
17.0
SDA and SCL†
3
All other outputs
4
−40
85
mA
_C
†
The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down.
Due to the fact that different voltage devices can be connected to the I2C bus, the level of logic 0 (low) and logic 1 (high) are not fixed and
depends on the associated VDD.
‡ USB I/O pins DP and DN can tolerate a short circuit at D+ and D− to 0 V or 5 V, as long as the recommended series resistors (see Figure 5−40)
are connected between the D+ and DP (package), and the D− and DN (package). Do not apply a short circuit to the USB I/O pins DP and DN
in absence of the series resistors.
NOTE: USB PLL is powered from the core supply and is susceptible to core power supply ripple. The maximum allowable supply ripple is 1%
for 1 Hz to 5 kHz; 1.5% for 5 kHz to 10 MHz; 3% for 10 MHz to 100 MHz, and less than 5% for 100 MHz or greater.
April 2001 − Revised September 2004
SPRS163G
73
Electrical Specifications
5.3
Electrical Characteristics Over Recommended Operating Case Temperature
Range (Unless Otherwise Noted)
PARAMETER
VOH
VOL
IIZ
II
TEST CONDITIONS
DN, DP, and PU†
DVDD = 3.3 ± 0.3 V,
IOH = −300 µA
2.8
All other outputs
DVDD = 3.3 ± 0.3 V,
IOH = MAX
2.4
SDA & SCL‡
At 3 mA sink current
0
High-level output voltage
Low-level output voltage
Input current for outputs in
high-impedance
MIN
DN and
DP†
TYP
MAX
3.6
V
0.4
IOL = 3.0 mA
0.3
All other outputs
IOL = MAX
0.4
Output-only or I/O pins with bus
keepers (enabled)
DVDD = MAX,
VO = VSS to DVDD
−500
500
All other output-only or I/O pins
DVDD = MAX
VO = VSS to DVDD
−5
5
Input pins with internal pulldown
(enabled)
DVDD = MAX,
VI = VSS to DVDD
30
300
Input pins with internal pullup
(enabled)
DVDD = MAX,
VI = VSS to DVDD
−300
−30
X2/CLKIN
DVDD = MAX,
VI = VSS to DVDD
−50
50
All other input-only pins
DVDD = MAX,
VI = VSS to DVDD
−5
5
Input current
UNIT
V
µA
A
µA
A
IDDC
CVDD Supply current, CPU + internal memory access§
CVDD = 1.6V
CPU clock = 144 MHz
TC = 25_C
0.90
mA/
MHz
IDDP
DVDD supply current, pins active¶
DVDD = 3.3 V
CPU clock = 144 MHz
TC = 25_C
5.5
mA
IDDC
CVDD supply current, standby#
Oscillator disabled.
All domains in low-power state
CVDD = 1.6V
TC = 25_C
250
µA
IDDP
DVDD supply current, standby
Oscillator disabled.
All domains in low-power state.
DVDD = 3.3 V
No I/O activity
TC = 25_C
10
µA
Ci
Input capacitance
3
pF
Co
Output capacitance
3
pF
†
USB I/O pins DP and DN can tolerate a short circuit at D+ and D− to 0 V or 5 V, as long as the recommended series resistors (see Figure 5−40)
are connected between the D+ and DP (package), and the D− and DN (package). Do not apply a short circuit to the USB I/O pins DP and DN
in absence of the series resistors.
‡ The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down.
§ CPU executing 75% Dual MAC + 25% ADD with moderate data bus activity (table of sine values). CPU and CLKGEN (DPLL) domain are active.
All other domains are idled.
¶ One word of a table of a 16-bit sine value is written to the EMIF every 250 ns (64 Mbps). Each EMIF output pin is connected to a 10-pF load.
# In CLKGEN domain idle mode, X2/CLKIN becomes output and is driven low to stop external crystals (if used) from oscillating. Standby current
will be higher if an external clock source tries to drive the X2/CLKIN pin during this time.
NOTE: USB PLL is powered from the core supply and is susceptible to core power supply ripple. The maximum allowable supply ripple is 1%
for 1 Hz to 5 kHz; 1.5% for 5 kHz to 10 MHz; 3% for 10 MHz to 100 MHz, and less than 5% for 100 MHz or greater.
74
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Tester Pin Electronics
42 Ω
Data Manual Timing Reference Point
Output
Under
Test
3.5 nH
Transmission Line
Z0 = 50 Ω
(see note)
4.0 pF
Device Pin
(see note)
1.85 pF
NOTE: The data manual provides timing at the device pin. For output timing analysis, the tester pin electronics and its transmission line effects
must be taken into account. A transmission line with a delay of 2 ns or longer can be used to produce the desired transmission line effect.
The transmission line is intended as a load only. It is not necessary to add or subtract the transmission line delay (2 ns or longer) from
the data manual timings.
Input requirements in this data sheet are tested with an input slew rate of < 4 Volts per nanosecond (4 V/ns) at the device pin.
Figure 5−1. 3.3-V Test Load Circuit
5.4
Package Thermal Resistance Characteristics
Table 5−1 provides the estimated thermal resistance characteristics for the TMS320VC5509 DSP package
types.
Table 5−1. Thermal Resistance Characteristics
PARAMETER
GHH
PACKAGE
PGE
PACKAGE
UNIT
RΘJA
54.1
66.7
°C / W
RΘJC
10.0
9.4
°C / W
April 2001 − Revised September 2004
SPRS163G
75
Electrical Specifications
5.5
Timing Parameter Symbology
Timing parameter symbols used in the timing requirements and switching characteristics tables are created
in accordance with JEDEC Standard 100. To shorten the symbols, some of the pin names and other related
terminology have been abbreviated as follows:
76
Lowercase subscripts and their meanings:
Letters and symbols and their meanings:
a
access time
H
High
c
cycle time (period)
L
Low
d
delay time
V
Valid
dis
disable time
Z
High-impedance
en
enable time
f
fall time
h
hold time
r
rise time
su
setup time
t
transition time
v
valid time
w
pulse duration (width)
X
Unknown, changing, or don’t care level
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.6
Clock Options
The frequency of the reference clock provided at the X2/CLKIN pin can be divided by a factor of two or four
or multiplied by one of several values to generate the internal machine cycle.
5.6.1 Internal System Oscillator With External Crystal
The internal oscillator is always enabled following a device reset. The oscillator requires an external crystal
connected across the X1 and X2/CLKIN pins. If the internal oscillator is not used, an external clock source
must be applied to the X2/CLKIN pin and the X1 pin should be left unconnected. Since the internal oscillator
can be used as a clock source to the PLLs, the crystal oscillation frequency can be multiplied to generate the
CPU clock and USB clock, if desired.
The crystal should be in fundamental-mode operation, and parallel resonant, with a maximum effective series
resistance (ESR) specified in Table 5−2. The connection of the required circuit is shown in Figure 5−2. Under
some conditions, all the components shown are not required. The capacitors, C1 and C2, should be chosen
such that the equation below is satisfied. CL in the equation is the load specified for the crystal that is also
specified in Table 5−2.
CL +
C 1C 2
(C 1 ) C 2)
X2/CLKIN
X1
RS
Crystal
C1
C2
Figure 5−2. Internal System Oscillator With External Crystal
Table 5−2. Recommended Crystal Parameters
FREQUENCY RANGE (MHz)
MAX ESR (Ω)
CLOAD (pF)
MAX CSHUNT (pF)
RS (kΩ)
20−15
40
10
5
0
15−12
40
16
5
0
12−10
40
16
5
1.8
10−8
60
18
5
1.8
8−6
60
18
5
4.7
6−5
80
18
5
8.2
Although the recommended ESR presented in Table 5−2 as a maximum, theoretically, a crystal with a lower
maximum ESR might seem to meet the requirement. It is recommended that crystals which meet the
maximum ESR specification in Table 5−2 are used.
April 2001 − Revised September 2004
SPRS163G
77
Electrical Specifications
5.6.2 Layout Considerations
Since parasitic capacitance, inductance and resistance can be significant in any circuit, good PC board layout
practices should always be observed when planning trace routing to the discrete components used in the
oscillator circuit. Specifically, the crystal and the associated discrete components should be located as close
to the DSP as physically possible. Also, X1 and X2/CLKIN traces should be separated as soon as possible
after routing away from the DSP to minimize parasitic capacitance between them, and a ground trace should
be run between these two signal lines. This also helps to minimize stray capacitance between these two
signals.
5.6.3 Clock Generation in Bypass Mode (DPLL Disabled)
The frequency of the reference clock provided at the X2/CLKIN pin can be divided by a factor of one, two, or
four to generate the internal CPU clock cycle. The divide factor (D) is set in the BYPASS_DIV field of the clock
mode register. The contents of this field only affect clock generation while the device is in bypass mode. In
this mode, the digital phase-locked loop (DPLL) clock synthesis is disabled.
Table 5−3 and Table 5−4 assume testing over recommended operating conditions and H = 0.5tc(CO) (see
Figure 5−3).
Table 5−3. CLKIN Timing Requirements
NO.
†
C1
tc(CI)
Cycle time, X2/CLKIN
C2
tf(CI)
Fall time, X2/CLKIN
MIN
MAX
UNIT
20
400†
ns
4
ns
4
ns
C3
tr(CI)
Rise time, X2/CLKIN
C10
tw(CIL)
Pulse duration, CLKIN low
6
ns
C11
tw(CIH)
Pulse duration, CLKIN high
6
ns
This device utilizes a fully static design and therefore can operate with tc(CI) approaching ∞. If an external crystal is used, the X2/CLKIN cycle
time is limited by the crystal frequency range listed in Table 5−2.
Table 5−4. CLKOUT Switching Characteristics
NO.
PARAMETER
MIN
20‡
TYP
MAX
D*tc(CI)§
1600†
UNIT
ns
30
ns
C4
tc(CO)
Cycle time, CLKOUT
C5
td(CIH-CO)
Delay time, X2/CLKIN high to CLKOUT high/low
C6
tf(CO)
Fall time, CLKOUT
1
C7
tr(CO)
Rise time, CLKOUT
1
C8
tw(COL)
Pulse duration, CLKOUT low
H−2
H+2
ns
C9
tw(COH)
Pulse duration, CLKOUT high
H−2
H+2
ns
10
20
ns
ns
This device utilizes a fully static design and therefore can operate with tc(CO) approaching ∞. If an external crystal is used, the X2/CLKIN cycle
time is limited by the crystal frequency range listed in Table 5−2.
‡ It is recommended that the DPLL synthesised clocking option be used to obtain maximum operating frequency.
§ D = 1/(PLL Bypass Divider)
†
78
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
C2
C1
C11
C10
C3
X2/CLKIN
C4
C9
C7
CLKOUT
C5
C6
C8
NOTE A: The relationship of X2/CLKIN to CLKOUT depends on the PLL bypass divide factor chosen for the CLKMD register. The waveform
relationship shown in Figure 5−3 is intended to illustrate the timing parameters based on CLKOUT = 1/2(CLKIN) configuration.
Figure 5−3. Bypass Mode Clock Timings
5.6.4 Clock Generation in Lock Mode (DPLL Synthesis Enabled)
The frequency of the reference clock provided at the X2/CLKIN pin can be multiplied by a synthesis factor of
N to generate the internal CPU clock cycle. The synthesis factor is determined by:
N= M
DL
where: M = the multiply factor set in the PLL_MULT field of the clock mode register
DL = the divide factor set in the PLL_DIV field of the clock mode register
Valid values for M are (multiply by) 2 to 31. Valid values for DL are (divide by) 1, 2, 3, and 4.
For detailed information on clock generation configuration, see the TMS320C55x DSP Peripherals Overview
Reference Guide (literature number SPRU317).
Table 5−5 and Table 5−6 assume testing over recommended operating conditions and H = 0.5tc(CO) (see
Figure 5−4).
Table 5−5. Multiply-By-N Clock Option Timing Requirements
NO.
†
MIN
MAX
UNIT
20†
400
ns
Fall time, X2/CLKIN
4
ns
Rise time, X2/CLKIN
4
ns
C1
tc(CI)
Cycle time, X2/CLKIN
DPLL synthesis enabled
C2
tf(CI)
C3
tr(CI)
C10
tw(CIL)
Pulse duration, CLKIN low
6
ns
C11
tw(CIH)
Pulse duration, CLKIN high
6
ns
The clock frequency synthesis factor and minimum X2/CLKIN cycle time should be chosen such that the resulting CLKOUT cycle time is within
the specified range (tc(CO)). If an external crystal is used, the X2/CLKIN cycle time is limited by the crystal frequency range listed in Table 5−2.
Table 5−6. Multiply-By-N Clock Option Switching Characteristics
NO.
‡
PARAMETER
MIN
6.94
TYP
MAX
UNIT
1600
ns
30
ns
C4
tc(CO)
Cycle time, CLKOUT
C12
td(CI–CO)
Delay time, X2/CLKIN high/low to CLKOUT high/low
C6
tf(CO)
Fall time, CLKOUT
1
C7
tr(CO)
Rise time, CLKOUT
1
C8
tw(COL)
Pulse duration, CLKOUT low
H−2
H+2
ns
C9
tw(COH)
Pulse duration, CLKOUT high
H−2
H+2
ns
10
tc(CI)*
N‡
20
ns
ns
N = Clock frequency synthesis factor
April 2001 − Revised September 2004
SPRS163G
79
Electrical Specifications
C2
C3
C11
C10
C1
X2/CLKIN
C9
C8
C12
C6
C4
CLKOUT
C7
Bypass Mode
NOTE A: The relationship of X2/CLKIN to CLKOUT depends on the PLL multiply and divide factor chosen for the CLKMD register. The waveform
relationship shown in Figure 5−3 is intended to illustrate the timing parameters based on CLKOUT = 1xCLKIN configuration.
Figure 5−4. External Multiply-by-N Clock Timings
5.6.5 Real-Time Clock Oscillator With External Crystal
The real-time clock module includes an oscillator circuit. The oscillator requires an external 32.768-kHz crystal
connected across the RTCINX1 and RTCINX2 pins. The connection of the required circuit, consisting of the
crystal and two load capacitors, is shown in Figure 5−5. The load capacitors, C1 and C2, should be chosen
such that the equation below is satisfied. CL in the equation is the load specified for the crystal.
CL +
C 1C 2
(C 1 ) C 2)
RTCINX1
RTCINX2
Crystal
32.768 kHz
C1
C2
Figure 5−5. Real-Time Clock Oscillator With External Crystal
NOTE: The RTC can be idled by not supplying its 32-kHz oscillator signal. In order to keep
RTC power dissipation to a minimum when the RTC module is not used, it is recommended
that the RTC module be powered up, the RTC input pin (RTCINX1) be pulled low, and the RTC
output pin (RTCINX2) be left floating.
80
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.7
Memory Interface Timings
5.7.1 Asynchronous Memory Timings
Table 5−7 and Table 5−8 assume testing over recommended operating conditions (see Figure 5−6 and
Figure 5−7).
Table 5−7. Asynchronous Memory Cycle Timing Requirements
NO.
†
MIN
M1
tsu(DV-COH)
Setup time, read data valid before CLKOUT high†
M2
th(COH-DV)
M3
tsu(ARDY-COH)
M4
th(COH-ARDY)
Hold time, ARDY valid after CLKOUT high
MAX
UNIT
10
ns
Hold time, read data valid after CLKOUT high
0
ns
Setup time, ARDY valid before CLKOUT high†
10
ns
0
ns
To ensure data setup time, simply program the strobe width wide enough. ARDY is internally synchronized. If ARDY does meet setup or hold
time, it may be recognized in the current cycle or the next cycle. Thus, ARDY can be an asynchronous input.
Table 5−8. Asynchronous Memory Cycle Switching Characteristics
NO.
PARAMETER
MIN
MAX
UNIT
M5
td(COH-CEV)
Delay time, CLKOUT high to CEx valid
0
8
ns
M6
td(COH-CEIV)
Delay time, CLKOUT high to CEx invalid
0
8
ns
M7
td(COH-BEV)
Delay time, CLKOUT high to BEx valid
8
ns
M8
td(COH-BEIV)
Delay time, CLKOUT high to BEx invalid
M9
td(COH-AV)
Delay time, CLKOUT high to address valid
M10
td(COH-AIV)
Delay time, CLKOUT high to address invalid
0
M11
td(COH-AOEV)
Delay time, CLKOUT high to AOE valid
0
6
ns
M12
td(COH-AOEIV)
Delay time, CLKOUT high to AOE invalid
0
6
ns
M13
td(COH-AREV)
Delay time, CLKOUT high to ARE valid
0
6
ns
M14
td(COH-AREIV)
Delay time, CLKOUT high to ARE invalid
0
6
ns
M15
td(COH-DV)
Delay time, CLKOUT high to data valid
6
ns
M16
td(COH-DIV)
Delay time, CLKOUT high to data invalid
0
M17
td(COH-AWEV)
Delay time, CLKOUT high to AWE valid
0
6
ns
M18
td(COH-AWEIV)
Delay time, CLKOUT high to AWE invalid
0
6
ns
April 2001 − Revised September 2004
0
ns
8
ns
ns
ns
SPRS163G
81
Electrical Specifications
Setup = 2
Strobe = 5
Not Ready = 2
Extended
Hold = 2
Hold
=1
CLKOUT†
M5
M6
M7
M8
M9
M10
CEx‡
BEx
A[20:0]§
M2
M1
D[15:0]
M11
M12
AOE
M13
M14
ARE
AWE
M4
M4
M3
M3
ARDY
†
CLKOUT is equal to CPU clock
CEx becomes active depending on the memory address space being accessed
§ A[13:0] for LQFP
‡
Figure 5−6. Asynchronous Memory Read Timings
82
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Setup = 2
Strobe = 5
Not Ready = 2
Hold = 1
Extended
Hold = 2
CLKOUT†
M5
M6
M7
M8
M9
M10
CEx‡
BEx
A[20:0]§
M15
M16
D[15:0]
AOE
ARE
M17
M18
AWE
M4
M3
M4
M3
ARDY
†
CLKOUT is equal to CPU clock
CEx becomes active depending on the memory address space being accessed
§ A[13:0] for LQFP
‡
Figure 5−7. Asynchronous Memory Write Timings
April 2001 − Revised September 2004
SPRS163G
83
Electrical Specifications
5.7.2 Synchronous DRAM (SDRAM) Timings
Table 5−9, Table 5−10, Table 5−11, and Table 5−12 assume testing over recommended operating conditions
(see Figure 5−8 through Figure 5−13).
Table 5−9. Synchronous DRAM Cycle Timing Requirements
[SDRAM Clock = 1X, (1/4)X, and (1/8)X of CPU Clock]†
MIN
NO.
‡
UNIT
M19
tsu(DV-CLKMEMH)
Setup time, read data valid before CLKMEM high
9
ns
M20
th(CLKMEMH-DV)
Hold time, read data valid after CLKMEM high
0
ns
13.88‡
ns
M21
†
MAX
tc(CLKMEM)
Cycle time, CLKMEM
The EMIFX2 bit of the External Bus Selection Register (EBSR) is cleared. See Section 3.5.1, External Bus Selection Register, for more details.
Maximum SDRAM operating frequency supported is 72 MHz.
Table 5−10. Synchronous DRAM Cycle Switching Characteristics
[SDRAM Clock = 1X, (1/4)X, and (1/8)X of CPU Clock]†
NO.
1X
CPU CLOCK
(1/4)X
CPU CLOCK
(1/8)X
CPU CLOCK
MIN
MAX
MIN
MAX
MIN
MAX
UNIT
M22
td(CLKMEMH-CEL)
Delay time, CLKMEM high to CEx low
0
6
21
26
35
40
ns
M23
td(CLKMEMH-CEH)
Delay time, CLKMEM high to CEx high
0
6
21
26
35
40
ns
M24
td(CLKMEMH-BEV)
Delay time, CLKMEM high to BEx valid
0
6
21
26
35
40
ns
M25
td(CLKMEMH-BEIV)
Delay time, CLKMEM high to BEx invalid
0
6
21
26
35
40
ns
M26
td(CLKMEMH-AV)
Delay time, CLKMEM high to address
valid
1
6
21
26
35
40
ns
M27
td(CLKMEMH-AIV)
Delay time, CLKMEM high to address
invalid
1
6
21
26
35
40
ns
M28
td(CLKMEMH-SDCASL)
Delay time, CLKMEM high to SDCAS low
0
6
21
26
35
40
ns
M29
td(CLKMEMH-SDCASH)
Delay time, CLKMEM high to SDCAS
high
0
6
21
26
35
40
ns
M30
td(CLKMEMH-DV)
Delay time, CLKMEM high to data valid
0
6
21
26
35
40
ns
M31
td(CLKMEMH-DIV)
Delay time, CLKMEM high to data invalid
0
6
21
26
35
40
ns
M32
td(CLKMEMH-SDWEL)
Delay time, CLKMEM high to SDWE low
0
6
21
26
35
40
ns
M33
td(CLKMEMH-SDWEH)
Delay time, CLKMEM high to SDWE high
0
6
21
26
35
40
ns
M34
td(CLKMEMH-SDA10V)
Delay time, CLKMEM high to SDA10
valid
0
6
21
26
35
40
ns
M35
td(CLKMEMH-SDA10IV)
Delay time, CLKMEM high to SDA10
invalid
0
6
21
26
35
40
ns
M36
td(CLKMEMH-SDRASL)
Delay time, CLKMEM high to SDRAS low
0
6
21
26
35
40
ns
td(CLKMEMH-SDRASH)
Delay time, CLKMEM high to SDRAS
high
0
6
21
26
35
40
ns
M37
†
PARAMETER
The EMIFX2 bit of the External Bus Selection Register (EBSR) is cleared. See Section 3.5.1, External Bus Selection Register, for more details.
84
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Table 5−11. Synchronous DRAM Cycle Timing Requirements [SDRAM Clock = (1/2)X of CPU Clock]†
MIN
NO.
†
‡
MAX
UNIT
M19
tsu(DV-CLKMEMH)
Setup time, read data valid before CLKMEM high
7
ns
M20
th(CLKMEMH-DV)
Hold time, read data valid after CLKMEM high
0
ns
M21
tc(CLKMEM)
Cycle time, CLKMEM
13.88‡
ns
The EMIFX2 bit of the External Bus Selection Register (EBSR) is set. See Section 3.5.1, External Bus Selection Register, for more details.
Maximum SDRAM operating frequency supported is 72 MHz.
Table 5−12. Synchronous DRAM Cycle Switching Characteristics [SDRAM Clock = (1/2)X of CPU Clock]†
PARAMETER
NO.
†
MIN
MAX
UNIT
M22
td(CLKMEMH-CEL)
Delay time, CLKMEM high to CEx low
2
10
ns
M23
td(CLKMEMH-CEH)
Delay time, CLKMEM high to CEx high
2
10
ns
M24
td(CLKMEMH-BEV)
Delay time, CLKMEM high to BEx valid
2
10
ns
M25
td(CLKMEMH-BEIV)
Delay time, CLKMEM high to BEx invalid
2
10
ns
M26
td(CLKMEMH-AV)
Delay time, CLKMEM high to address valid
2
10
ns
M27
td(CLKMEMH-AIV)
Delay time, CLKMEM high to address invalid
2
10
ns
M28
td(CLKMEMH-SDCASL)
Delay time, CLKMEM high to SDCAS low
2
10
ns
M29
td(CLKMEMH-SDCASH)
Delay time, CLKMEM high to SDCAS high
2
10
ns
M30
td(CLKMEMH-DV)
Delay time, CLKMEM high to data valid
2
10
ns
M31
td(CLKMEMH-DIV)
Delay time, CLKMEM high to data invalid
2
10
ns
M32
td(CLKMEMH-SDWEL)
Delay time, CLKMEM high to SDWE low
2
10
ns
M33
td(CLKMEMH-SDWEH)
Delay time, CLKMEM high to SDWE high
2
10
ns
M34
td(CLKMEMH-SDA10V)
Delay time, CLKMEM high to SDA10 valid
2
10
ns
M35
td(CLKMEMH-SDA10IV)
Delay time, CLKMEM high to SDA10 invalid
2
10
ns
M36
td(CLKMEMH-SDRASL)
Delay time, CLKMEM high to SDRAS low
2
10
ns
M37
td(CLKMEMH-SDRASH)
Delay time, CLKMEM high to SDRAS high
2
10
ns
The EMIFX2 bit of the External Bus Selection Register (EBSR) is set. See Section 3.5.1, External Bus Selection Register, for more details.
April 2001 − Revised September 2004
SPRS163G
85
Electrical Specifications
READ
READ
READ
M21
CLKMEM
M22
M23
M27
CEx†
M24
BEx‡
M26
EMIF.A[13:0]
CA1
CA2
CA3
M19
M20
D1
D[15:0]
M34
M35
M28
M29
D2
D3
SDA10
SDRAS
SDCAS
SDWE
†
‡
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals remain
active until the next access that is not an SDRAM read occurs.
Figure 5−8. Three SDRAM Read Commands
86
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
WRITE
WRITE
WRITE
CLKMEM
M22
M23
CEx†
M25
M24
BEx‡
BE1
BE2
BE3
CA2
CA3
M27
M26
EMIF.A[13:0]
CA1
M31
M30
D1
D[15:0]
D2
D3
M34
M35
M28
M29
M32
M33
SDA10
SDRAS
SDCAS
SDWE
†
‡
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals remain
active until the next access that is not an SDRAM read occurs.
Figure 5−9. Three SDRAM WRT Commands
April 2001 − Revised September 2004
SPRS163G
87
Electrical Specifications
ACTV
CLKMEM
M22
M23
CEx†
BEx‡
M26
EMIF.A[13:0]
Bank Activate/Row Address
D[15:0]
M34
SDA10
M36
M37
SDRAS
SDCAS
SDWE
†
‡
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals remain
active until the next access that is not an SDRAM read occurs.
Figure 5−10. SDRAM ACTV Command
88
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
DCAB
CLKMEM
M22
M23
CEx†
BEx‡
EMIF.A[13:0]
D[15:0]
M34
M35
M36
M37
M32
M33
SDA10
SDRAS
SDCAS
SDWE
†
‡
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals remain
active until the next access that is not an SDRAM read occurs.
Figure 5−11. SDRAM DCAB Command
April 2001 − Revised September 2004
SPRS163G
89
Electrical Specifications
REFR
CLKMEM
M22
M23
CEx†
BEx‡
EMIF.A[13:0]
D[15:0]
SDA10
M36
M37
M28
M29
SDRAS
SDCAS
SDWE
†
‡
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals
remain active until the next access that is not an SDRAM read occurs.
Figure 5−12. SDRAM REFR Command
90
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
MRS
CLKMEM
M22
M23
CEx†
BEx‡
M26
M27
MRS Value 0x30§
EMIF.A[13:0]
D[15:0]
SDA10
M36
M37
SDRAS
M28
M29
SDCAS
M32
M33
SDWE
†
The chip enable that becomes active depends on the address being accessed.
All BE[1:0] signals are driven low (active) during reads. Byte manipulation of the read data is performed inside the EMIF. These signals remain
active until the next access that is not an SDRAM read occurs.
§ Write burst length = 1
Read latency = 3
Burst type = 0 (serial)
Burst length = 1
‡
Figure 5−13. SDRAM MRS Command
April 2001 − Revised September 2004
SPRS163G
91
Electrical Specifications
5.8
Reset Timings
5.8.1 Power-Up Reset (On-Chip Oscillator Active)
Table 5−13 assumes testing over recommended operating conditions (see Figure 5−14).
Table 5−13. Power-Up Reset (On-Chip Oscillator Active) Timing Requirements
NO.
R1
MIN
Hold time, RESET low after oscillator stable†
th(SUPSTBL-RSTL)
MAX
3P‡
UNIT
ns
†
Oscillator stable time depends on the crystal characteristic (i.e., frequency, ESR, etc.) which varies from one crystal manufacturer to another.
Based on the crystal characteristics, the oscillator stable time can be in the range of a few to 10s of ms. A reset circuit with 100 ms or more delay
time will ensure the oscillator stabilized before the RESET goes high.
‡ P = 1/(input clock frequency) in ns. For example, when input clock is 12 MHz, P = 83.33 ns.
CLKOUT
CVDD
DVDD
R1
RESET
Figure 5−14. Power-Up Reset (On-Chip Oscillator Active) Timings
5.8.2 Power-Up Reset (On-Chip Oscillator Inactive)
Table 5−14 and Table 5−15 assume testing over recommended operating conditions (see Figure 5−15).
Table 5−14. Power-Up Reset (On-Chip Oscillator Inactive) Timing Requirements
NO.
R2
‡
MIN
th(CLKOUTV-RSTL)
MAX
3P‡
Hold time, CLKOUT valid to RESET low
UNIT
ns
P = 1/(input clock frequency) in ns. For example, when input clock is 12 MHz, P = 83.33 ns.
Table 5−15. Power-Up Reset (On-Chip Oscillator Inactive) Switching Characteristics
NO.
R3
PARAMETER
td(CLKINV-CLKOUTV)
MIN
Delay time, CLKIN valid to CLKOUT valid
MAX
30
UNIT
ns
X2/CLKIN
R3
CLKOUT
CVDD
DVDD
R2
RESET
Figure 5−15. Power-Up Reset (On-Chip Oscillator Inactive) Timings
92
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.8.3 Warm Reset
Table 5−16 and Table 5−17 assume testing over recommended operating conditions (see Figure 5−16).
Table 5−16. Reset Timing Requirements
NO.
R4
†
MIN
tw(RSL)
MAX
3P†
Pulse width, reset low
UNIT
ns
P = 1/CPU clock frequency in ns. For example, when running parts at 144 MHz, use P = 6.94 ns.
Table 5−17. Reset Switching Characteristics†
NO.
PARAMETER
MIN
MAX
UNIT
R5
td(RSTH-BKV)
Delay time, reset high to BK group valid‡
38P + 6
ns
R6
td(RSTH-HIGHV)
Delay time, reset high to High group valid§
38P + 6
ns
20
ns
38P + 6
ns
invalid¶
R7
td(RSTL-ZIV)
Delay time, reset low to Z group
R8
td(RSTH-ZV)
Delay time, reset high to Z group valid¶
†
P = 1/CPU clock frequency in ns. For example, when running parts at 144 MHz, use P = 6.94 ns.
BK group: Pins with bus keepers, holds previous state during reset. Following low-to-high transition of RESET, these pins go to their post-reset
logic state.
BK group pins: A’[0], A[15:0], D[15:0], C[14:2], C0, GPIO5, S13, and S23
§ High group: Following low-to-high transition of RESET, these pins go to logic-high state.
High group pins: C1[HPI.HINT], XF
¶ Z group: Bidirectional pins which become input or output pins. Following low-to-high transition of RESET, these pins go to high-impedance state.
Z group pins: C1[EMIF.AOE], GPIO[7:6, 4:0], TIN/TOUT0, SDA, SCL, CLKR0, FSRX0, CLKX0, DX0, FSX0, S[25:24, 22:20, 15:14, 12:10],
A[20:16]
‡
RESET
R5
BK Group†
R6
High Group‡
R7
Z
R8
Group§
†
BK group pins: A’[0], A[15:0], D[15:0], C[14:2], C0, GPIO5, S13, and S23
High group pins: C1[HPI.HINT], XF
§ Z group pins: C1[EMIF.AOE], GPIO[7:6, 4:0], TIN/TOUT0, SDA, SCL, CLKR0, FSRX0, CLKX0, DX0, FSX0, S[25:24, 22:20, 15:14, 12:10],
A[20:16]
‡
Figure 5−16. Reset Timings
April 2001 − Revised September 2004
SPRS163G
93
Electrical Specifications
5.9
External Interrupt Timings
Table 5−18 assumes testing over recommended operating conditions (see Figure 5−17).
Table 5−18. External Interrupt Timing Requirements†
NO.
†
MIN
MAX
UNIT
I1
tw(INTL)A
Pulse width, interrupt low, CPU active
3P
ns
I2
tw(INTH)A
Pulse width, interrupt high, CPU active
2P
ns
P = 1/CPU clock frequency in ns. For example, when running parts at 144 MHz, use P = 6.94 ns.
I1
INTn
I2
Figure 5−17. External Interrupt Timings
5.10 Wake-Up From IDLE
Table 5−19 assumes testing over recommended operating conditions (see Figure 5−18).
Table 5−19. Wake-Up From IDLE Switching Characteristics†
NO.
†
PARAMETER
MIN
ID1
td(WKPEVTL-CLKGEN)
Delay time, wake-up event low to clock generation enable
(CPU and clock domain idle)
ID2
th(CLKGEN-WKPEVTL)
Hold time, clock generation enable to wake-up event low
(CPU and clock domain in idle)
ID3
tw(WKPEVTL)
Pulse width, wake-up event low (for CPU idle only)
TYP
1.25‡
MAX
UNIT
ms
3P§
ns
3P
ns
P = 1/CPU clock frequency in ns. For example, when running parts at 144 MHz, use P = 6.94 ns.
‡
Based on 12-MHz crystal used with on-chip oscillator at 25°C. This number will vary based on the actual crystal characteristics operating condition
and the PC board layout and the parasitics.
§ Following the clock generation domain idle, the INTx becomes level-sensitive and stays that way until the low-to-high transition of INTx following
the CPU wake-up. Holding the INTx low longer than minimum requirement will send more than one interrupt to the CPU. The number of interrupts
sent to the CPU depends on the INTx-low time following the CPU wake-up from IDLE.
ID1
X1
ID2
ID3
RESET,
INTx
Figure 5−18. Wake-Up From IDLE Timings
94
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.11 XF Timings
Table 5−20 assumes testing over recommended operating conditions (see Figure 5−19).
Table 5−20. XF Switching Characteristics
NO.
X1
PARAMETER
td(XF)
MIN
MAX
Delay time, CLKOUT high to XF high
0
3
Delay time, CLKOUT high to XF low
0
3
UNIT
ns
CLKOUT†
X1
XF
†
CLKOUT reflects the CPU clock.
Figure 5−19. XF Timings
April 2001 − Revised September 2004
SPRS163G
95
Electrical Specifications
5.12 General-Purpose Input/Output (GPIOx) Timings
Table 5−21 and Table 5−22 assume testing over recommended operating conditions (see Figure 5−20).
Table 5−21. GPIO Pins Configured as Inputs Timing Requirements
NO.
G1
G2
†
‡
MIN
tsu(GPIO-COH)
th(COH-GPIO)
Setup time, IOx input valid before CLKOUT high
Hold time, IOx input valid after CLKOUT high
GPIO
6
AGPIO†
8
EHPIGPIO‡
8
GPIO
0
AGPIO†
0
EHPIGPIO‡
0
MAX
UNIT
ns
ns
AGPIO pins: A[15:0]
EHPIGPIO pins: C13, C10, C7, C5, C4, and C0
Table 5−22. GPIO Pins Configured as Outputs Switching Characteristics
NO.
G3
†
‡
PARAMETER
td(COH-GPIO)
Delay time, CLKOUT high to IOx output change
MIN
MAX
GPIO
0
5
AGPIO†
1
9
EHPIGPIO‡
1
9
UNIT
ns
AGPIO pins: A[15:0]
EHPIGPIO pins: C13, C10, C7, C5, C4, and C0
CLKOUT†
G1
G2
IOx
Input Mode
G3
IOx
Output Mode
†
CLKOUT reflects the CPU clock.
Figure 5−20. General-Purpose Input/Output (IOx) Signal Timings
96
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.13 TIN/TOUT Timings (Timer0 Only)
Table 5−23 and Table 5−24 assume testing over recommended operating conditions (see Figure 5−21 and
Figure 5−22).
Table 5−23. TIN/TOUT Pins Configured as Inputs Timing Requirements†‡
MIN
NO.
†
‡
MAX
UNIT
T4
tw(TIN/TOUTL)
Pulse width, TIN/TOUT low
2P + 1
ns
T5
tw(TIN/TOUTH)
Pulse width, TIN/TOUT high
2P + 1
ns
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns.
Only the Timer0 signal is externally available. The Timer1 signal is internally terminated and is not available for external use.
Table 5−24. TIN/TOUT Pins Configured as Outputs Switching Characteristics†‡§
PARAMETER
NO.
MIN
MAX
UNIT
T1
td(COH-TIN/TOUTH)
Delay time, CLKOUT high to TIN/TOUT high
0
3
ns
T2
td(COH-TIN/TOUTL)
Delay time, CLKOUT high to TIN/TOUT low
0
3
ns
T3
tw(TIN/TOUT)
Pulse duration, TIN/TOUT (output)
P−1
ns
†
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns.
Only the Timer0 signal is externally available. The Timer1 signal is internally terminated and is not available for external use.
§ For proper operation of the TIN/TOUT pin configured as an output, the timer period must be configured for at least 4 cycles.
‡
T5
T4
TIN/TOUT
as Input
Figure 5−21. TIN/TOUT Timings When Configured as Inputs
CLKOUT
T1
T2
T3
TIN/TOUT
as Output
Figure 5−22. TIN/TOUT Timings When Configured as Outputs
April 2001 − Revised September 2004
SPRS163G
97
Electrical Specifications
5.14 Multichannel Buffered Serial Port (McBSP) Timings
5.14.1
McBSP Transmit and Receive Timings
Table 5−25 and Table 5−26 assume testing over recommended operating conditions (see Figure 5−23 and
Figure 5−24).
Table 5−25. McBSP Transmit and Receive Timing Requirements†
NO.
MIN
MC1
tc(CKRX)
Cycle time, CLKR/X
CLKR/X ext
2P‡
ns
MC2
tw(CKRX)
Pulse duration, CLKR/X high or CLKR/X low
CLKR/X ext
P–1‡
ns
MC3
tr(CKRX)
Rise time, CLKR/X
CLKR/X ext
6
ns
MC4
tf(CKRX)
Fall time, CLKR/X
CLKR/X ext
6
ns
MC5
tsu(FRH-CKRL)
Setup time, external FSR high before CLKR low
MC6
th(CKRL-FRH)
Hold time, external FSR high after CLKR low
MC7
tsu(DRV-CKRL)
Setup time, DR valid before CLKR low
MC8
th(CKRL-DRV)
Hold time, DR valid after CLKR low
MC9
tsu(FXH-CKXL)
Setup time, external FSX high before CLKX low
MC10
th(CKXL-FXH)
Hold time, external FSX high after CLKX low
CLKR int
12
CLKR ext
2
CLKR int
3
CLKR ext
2
CLKR int
10
CLKR ext
2
CLKR int
3
CLKR ext
3
CLKX int
12
CLKX ext
2
CLKX int
4
CLKX ext
2
MAX
UNIT
ns
ns
ns
ns
ns
ns
†
Polarity bits CLKRP = CLKXP = FSRP = FSXP = 0. If the polarity of any of the signals is inverted, then the timing references of that signal are
also inverted.
‡ P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
98
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Table 5−26. McBSP Transmit and Receive Switching Characteristics†‡
PARAMETER
NO.
MC1
tc(CKRX)
MIN
Cycle time, CLKR/X
MAX
2P
D+1§
ns
ns
ns
MC11
tw(CKRXH)
Pulse duration, CLKR/X high
CLKR/X int
D−1§
MC12
tw(CKRXL)
Pulse duration, CLKR/X low
CLKR/X int
C−1§
C+1§
CLKR int
−4
1
CLKR ext
4
13
CLKX int
−4
1
CLKX ext
4
14
CLKX int
0
2
CLKX ext
3
11
MC13
td(CKRH-FRV)
Delay time, CLKR high to internal FSR valid
MC14
td(CKXH-FXV)
Delay time, CLKX high to internal FSX valid
MC15
tdis(CKXH-DXHZ)
Disable time, DX high-impedance from CLKX high
following last data bit
MC16
Delay time, CLKX high to DX valid.
This applies to all bits except the first bit transmitted.
CLKX int
6
CLKX ext
16
Delay time, CLKX high to DX valid¶
CLKX int
6
CLKX ext
16
CLKX int
2P + 6
CLKX ext
2P + 16
DXENA = 0
td(CKXH-DXV)
Only applies to first bit transmitted
when in Data Delay 1 or 2
(XDATDLY=01b or 10b) modes
Enable time, DX driven from CLKX
high¶
MC17
ten(CKXH-DX)
DXENA = 1
Only applies to first bit transmitted
when in Data Delay 0 (XDATDLY=00b)
mode.
Enable time, DX driven from FSX
ten(FXH-DX)
CLKX ext
4
CLKX int
2P − 2
CLKX ext
2P
ns
ns
DXENA = 1
DXENA = 1
high¶
Only applies to first bit transmitted
when in Data Delay 0 (XDATDLY=00b)
mode
FSX int
6
FSX ext
16
FSX int
2P + 6
FSX ext
2P + 16
FSX int
DXENA = 0
MC19
2
ns
ns
Only applies to first bit transmitted
when in Data Delay 1 or 2
(XDATDLY=01b or 10b) modes
DXENA = 0
td(FXH-DXV)
CLKX int
ns
DXENA = 0
Delay time, FSX high to DX valid¶
MC18
UNIT
CLKR/X int
DXENA = 1
ns
0
FSX ext
3
FSX int
2P − 2
FSX ext
2P − 2
ns
†
Polarity bits CLKRP = CLKXP = FSRP = FSXP = 0. If the polarity of any of the signals is inverted, then the timing references of that signal are
also inverted.
‡ P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
§ T = CLKRX period = (1 + CLKGDV) * P
C = CLKRX low pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2) * P when CLKGDV is even
D = CLKRX high pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2 + 1) * P when CLKGDV is even
¶ See the TMS320C55x DSP Peripherals Overview Reference Guide (literature number SPRU317) for a description of the DX enable (DXENA)
and data delay features of the McBSP.
April 2001 − Revised September 2004
SPRS163G
99
Electrical Specifications
MC1
MC2, MC11
MC3
MC2, MC12
CLKR
MC13
MC4
MC13
FSR (Int)
MC5
MC6
FSR (Ext)
MC7
MC8
DR
(RDATDLY=00b)
Bit (n−1)
(n−2)
MC7
DR
(RDATDLY=01b)
(n−3)
(n−4)
(n−2)
(n−3)
MC8
Bit (n−1)
MC7
MC8
DR
(RDATDLY=10b)
Bit (n−1)
(n−2)
Figure 5−23. McBSP Receive Timings
MC1
MC2, MC11
MC3
MC4
MC2, MC12
CLKX
MC14
MC14
FSX (Int)
MC9
MC10
FSX (Ext)
MC18
MC16
MC19
DX
(XDATDLY=00b)
Bit 0
Bit (n−1)
(n−2)
DX
(XDATDLY=10b)
(n−4)
MC16
MC17
DX
(XDATDLY=01b)
(n−3)
Bit 0
Bit (n−1)
MC15
MC17
(n−2)
(n−3)
MC16
Bit 0
Bit (n−1)
(n−2)
Figure 5−24. McBSP Transmit Timings
100
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.14.2
McBSP General-Purpose I/O Timings
Table 5−27 and Table 5−28 assume testing over recommended operating conditions (see Figure 5−25).
Table 5−27. McBSP General-Purpose I/O Timing Requirements
NO.
MIN
high†
MC20
tsu(MGPIO-COH)
Setup time, MGPIOx input mode before CLKOUT
MC21
th(COH-MGPIO)
Hold time, MGPIOx input mode after CLKOUT high†
MAX
UNIT
2P+7‡
ns
0
ns
†
MGPIOx refers to CLKRx, FSRx, DRx, CLKXx, or FSXx when configured as a general-purpose input.
‡ P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
Table 5−28. McBSP General-Purpose I/O Switching Characteristics
NO.
MC22
§
PARAMETER
td(COH-MGPIO)
Delay time, CLKOUT high to MGPIOx output
mode§
MIN
MAX
0
5
UNIT
ns
MGPIOx refers to CLKRx, FSRx, CLKXx, FSXx, or DXx when configured as a general-purpose output.
MC20
CLKOUT†
MC22
MC21
MGPIO‡
Input Mode
MGPIO§
Output Mode
†
CLKOUT reflects the CPU clock.
MGPIOx refers to CLKRx, FSRx, DRx, CLKXx, or FSXx when configured as a general-purpose input.
§ MGPIOx refers to CLKRx, FSRx, CLKXx, FSXx, or DXx when configured as a general-purpose output.
‡
Figure 5−25. McBSP General-Purpose I/O Timings
April 2001 − Revised September 2004
SPRS163G
101
Electrical Specifications
5.14.3
McBSP as SPI Master or Slave Timings
Table 5−29 to Table 5−36 assume testing over recommended operating conditions (see Figure 5−26 through
Figure 5−29).
Table 5−29. McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 0)†‡
MASTER
NO.
†
‡
MIN
MC23
tsu(DRV-CKXL)
Setup time, DR valid before CLKX low
MC24
th(CKXL-DRV)
Hold time, DR valid after CLKX low
MC25
tsu(FXL-CKXH)
Setup time, FSX low before CLKX high
MC26
tc(CKX)
Cycle time, CLKX
MAX
SLAVE
MIN
MAX
UNIT
12
2 − 8P
ns
0
2 + 8P
ns
10
ns
2P
16P
ns
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
Table 5−30. McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 0)†‡
MASTER§
NO.
PARAMETER
MIN
SLAVE
MAX
MIN
MAX
UNIT
MC27
td(CKXL-FXL)
Delay time, CLKX low to FSX low¶
T−3
T+4
MC28
td(FXL-CKXH)
Delay time, FSX low to CLKX high#
C−4
C+3
MC29
td(CKXH-DXV)
Delay time, CLKX high to DX valid
−2
8
MC30
tdis(CKXL-DXHZ)
Disable time, DX high-impedance following last data bit
from CLKX low
C−1
C+1
MC31
tdis(FXH-DXHZ)
Disable time, DX high-impedance following last data bit
from FSX high
4P+ 2
4P + 10
ns
MC32
td(FXL-DXV)
Delay time, FSX low to DX valid
3P + 5
3P + 14
ns
ns
ns
5P + 3
5P + 12
ns
ns
†
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
§ T = CLKX period = (1 + CLKGDV) * 2P
C = CLKX low pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2) * 2P when CLKGDV is even
¶ FSRP = FSXP = 1. As a SPI master, FSX is inverted to provide active-low slave-enable output. As a slave, the active-low signal input on FSX
and FSR is inverted before being used internally.
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for master McBSP
CLKXM = CLKRM = FSXM = FSRM = 0 for slave McBSP
# FSX should be low before the rising edge of clock to enable slave devices and then begin a SPI transfer at the rising edge of the master clock
(CLKX).
‡
102
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
MC25
LSB
MC26
MSB
CLKX
MC28
MC29
MC27
FSX
MC31
MC30
DX
MC32
Bit 0
Bit (n−1)
(n−2)
(n−3)
(n−4)
(n−3)
(n−4)
MC23
MC24
DR
Bit 0
Bit (n−1)
(n−2)
Figure 5−26. McBSP Timings as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0
April 2001 − Revised September 2004
SPRS163G
103
Electrical Specifications
Table 5−31. McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 0)†‡
MASTER
NO.
†
‡
MIN
MC33
tsu(DRV-CKXH)
Setup time, DR valid before CLKX high
MC34
th(CKXH-DRV)
Hold time, DR valid after CLKX high
MC25
tsu(FXL-CKXH)
Setup time, FSX low before CLKX high
MC26
tc(CKX)
Cycle time, CLKX
MAX
SLAVE
MIN
MAX
UNIT
12
2 − 8P
ns
0
2 + 8P
ns
10
ns
16P
ns
2P
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
Table 5−32. McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 0)†‡
MASTER§
NO.
PARAMETER
SLAVE
MIN
MAX
MIN
MAX
UNIT
MC27
td(CKXL-FXL)
Delay time, CLKX low to FSX low¶
C−4
C+3
MC28
td(FXL-CKXH)
Delay time, FSX low to CLKX high#
T−3
T+4
MC35
td(CKXL-DXV)
Delay time, CLKX low to DX valid
−2
8
5P + 3
5P + 12
ns
MC30
tdis(CKXL-DXHZ)
Disable time, DX high-impedance following last data bit from
CLKX low
−1
1
5P + 3
5P + 10
ns
MC32
td(FXL-DXV)
Delay time, FSX low to DX valid
D−2
D+7
3P + 5
3P + 14
ns
ns
ns
†
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
§ T = CLKX period = (1 + CLKGDV) * P
C = CLKX low pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2) * P when CLKGDV is even
D = CLKX high pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2 + 1) * P when CLKGDV is even
¶ FSRP = FSXP = 1. As a SPI master, FSX is inverted to provide active-low slave-enable output. As a slave, the active-low signal input on FSX
and FSR is inverted before being used internally.
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for master McBSP
CLKXM = CLKRM = FSXM = FSRM = 0 for slave McBSP
# FSX should be low before the rising edge of clock to enable slave devices and then begin a SPI transfer at the rising edge of the master clock
(CLKX).
‡
MC25
LSB
MC26
MSB
CLKX
MC28
MC35
MC27
FSX
MC32
MC30
DX
Bit 0
Bit (n−1)
(n−2)
(n−3)
(n−4)
(n−3)
(n−4)
MC33
MC34
DR
Bit 0
Bit (n−1)
(n−2)
Figure 5−27. McBSP Timings as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0
104
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Table 5−33. McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 1)†‡
MASTER
NO.
†
‡
MIN
MC33
tsu(DRV-CKXH)
Setup time, DR valid before CLKX high
MC34
th(CKXH-DRV)
Hold time, DR valid after CLKX high
MC36
tsu(FXL-CKXL)
Setup time, FSX low before CLKX low
MC26
tc(CKX)
Cycle time, CLKX
MAX
SLAVE
MIN
MAX
UNIT
12
2 − 8P
ns
0
2 + 8P
ns
10
ns
2P
16P
ns
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
Table 5−34. McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 1)†‡
MASTER§
NO.
PARAMETER
MIN
SLAVE
MAX
MIN
MAX
UNIT
MC37
td(CKXH-FXL)
Delay time, CLKX high to FSX low¶
T−3
T+4
ns
MC38
td(FXL-CKXL)
Delay time, FSX low to CLKX low#
D−4
D+3
ns
MC35
td(CKXL-DXV)
Delay time, CLKX low to DX valid
−2
8
MC39
tdis(CKXH-DXHZ)
Disable time, DX high-impedance following last data bit from
CLKX high
D−1
D+1
MC31
tdis(FXH-DXHZ)
Disable time, DX high-impedance following last data bit from
FSX high
4P + 2
4P +10
ns
MC32
td(FXL-DXV)
Delay time, FSX low to DX valid
3P + 5
3P + 14
ns
5P + 3
5P + 12
ns
ns
†
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
‡ P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
§ T = CLKX period = (1 + CLKGDV) * P
C = CLKX low pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2) * P when CLKGDV is even
D = CLKX high pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2 + 1) * P when CLKGDV is even
¶ FSRP = FSXP = 1. As a SPI master, FSX is inverted to provide active-low slave-enable output. As a slave, the active-low signal input on FSX
and FSR is inverted before being used internally.
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for master McBSP
CLKXM = CLKRM = FSXM = FSRM = 0 for slave McBSP
# FSX should be low before the rising edge of clock to enable slave devices and then begin a SPI transfer at the rising edge of the master clock
(CLKX).
MC36
LSB
MSB
MC26
CLKX
MC38
MC35
MC37
FSX
MC31
MC32
MC39
DX
Bit 0
Bit (n−1)
(n−2)
(n−3)
(n−4)
(n−3)
(n−4)
MC33
MC34
DR
Bit 0
Bit (n−1)
(n−2)
Figure 5−28. McBSP Timings as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1
April 2001 − Revised September 2004
SPRS163G
105
Electrical Specifications
Table 5−35. McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 1)†‡
MASTER
NO.
†
‡
MIN
MC23
tsu(DRV-CKXL)
Setup time, DR valid before CLKX low
MC24
th(CKXL-DRV)
Hold time, DR valid after CLKX low
MC36
tsu(FXL-CKXL)
Setup time, FSX low before CLKX low
MC26
tc(CKX)
Cycle time, CLKX
MAX
SLAVE
MIN
MAX
UNIT
12
2 − 8P
ns
0
2 + 8P
ns
10
ns
16P
ns
2P
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
Table 5−36. McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 1)†‡
MASTER§
NO.
MC37
PARAMETER
td(CKXH-FXL)
Delay time, CLKX high to FSX low¶
SLAVE
MIN
MAX
D−4
D+3
low#
MIN
MAX
UNIT
ns
MC38
td(FXL-CKXL)
Delay time, FSX low to CLKX
T−3
T+4
MC29
td(CKXH-DXV)
Delay time, CLKX high to DX valid
−2
8
5P + 3
5P + 12
ns
ns
MC39
tdis(CKXH-DXHZ)
Disable time, DX high-impedance following last data bit from
CLKX high
−1
1
5P + 2
5P + 10
ns
MC32
td(FXL-DXV)
Delay time, FSX low to DX valid
C−2
C+7
3P + 5
3P + 14
ns
†
For all SPI slave modes, CLKG is programmed as 1/2 of the CPU clock by setting CLKSM = CLKGDV = 1.
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns. In addition to CPU frequency, the maximum operating
frequency of the serial port also depends on meeting the rest of the switching characteristics and timing requirements parameters specified.
§ T = CLKX period = (1 + CLKGDV) * P
C = CLKX low pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2) * P when CLKGDV is even
D = CLKX high pulse width = T/2 when CLKGDV is odd or zero and = (CLKGDV/2 + 1) * P when CLKGDV is even
¶ FSRP = FSXP = 1. As a SPI master, FSX is inverted to provide active-low slave-enable output. As a slave, the active-low signal input on FSX
and FSR is inverted before being used internally.
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for master McBSP
CLKXM = CLKRM = FSXM = FSRM = 0 for slave McBSP
# FSX should be low before the rising edge of clock to enable slave devices and then begin a SPI transfer at the rising edge of the master clock
(CLKX).
‡
MC36
LSB
MSB
MC26
CLKX
MC38
MC29
MC37
FSX
MC32
MC39
DX
Bit 0
Bit (n−1)
(n−2)
(n−3)
(n−4)
MC23
MC24
DR
Bit 0
Bit (n−1)
(n−2)
(n−3)
(n−4)
Figure 5−29. McBSP Timings as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1
106
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.15 Enhanced Host-Port Interface (EHPI) Timings
Table 5−37 and Table 5−38 assume testing over recommended operating conditions (see Figure 5−30
through Figure 5−34).
Table 5−37. EHPI Timing Requirements
NO.
†
MIN
MAX
UNIT
H1
tsu(HASL-HDSL)
Setup time, HAS low before HDS low
5
ns
H2
th(HDSL-HASL)
Hold time, HAS low after HDS low
3
ns
H3
tsu(HCNTLV-HDSL)
Setup time, (HR/W, HBE[1:0], HPI.HA[13:0],
HCNTL[1:0]) valid before HDS low
2
ns
H4
th(HDSL-HCNTLIV)
Hold time, (HR/W, HBE[1:0], HPI.HA[13:0], HCNTL[1:0]) invalid after HDS low
4
ns
H5
tw(HDSL)
Pulse duration, HDS low
4P†
ns
H6
tw(HDSH)
Pulse duration, HDS high
4P†
ns
H7
tsu(HDV-HDSH)
Setup time, HPI data bus write data valid before HDS high
3
ns
H8
th(HDSH-HDIV)
Hold time, HPI data bus write data invalid after HDS high
4
ns
H9
tsu(HCNTLV-HASL)
Setup time, (HR/W, HBE[1:0], HCNTL[1:0]) valid before HAS low
2
ns
H10
th(HASL-HCNTLIV)
Hold time, (HR/W, HBE[1:0], HCNTL[1:0]) invalid after HAS low
1
ns
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns.
Table 5−38. EHPI Switching Characteristics
NO.
PARAMETER
MIN
MAX
5
14
UNIT
H11
td(HDSL-HDD)M
Delay time, HDS low to HPI data bus read data driven
(memory access)
H12
td(HDSL-HDV1)M
Delay time, HDS low to HPI data bus read data valid
(memory access without autoincrement or first memory access during
autoincrement)
H13
td(HDSL-HDV2)M
Delay time, HDS low to HPI data bus read data valid
(memory access)
H14
td(HDSL-HDD)R
Delay time, HDS low to HPI data bus read data driven
(register access)
H15
td(HDSL-HDV)R
Delay time, HDS low to HPI data bus read data valid
(register access)
H16
td(HDSH-HDIV)
Delay time, HDS high to HPI data bus read data invalid
H17
td(HDSL-HRDYL)
Delay time, HDS low to HRDY low (during reads)
H18
td(HDV-HRDYH)
Delay time, HPI data bus valid to HRDY high (during reads)
−12§
ns
H19
td(HDSH-HRDYL)
Delay time, HDS high to HRDY low (during writes)
14
ns
H20
td(HDSH-HRDYH)
Delay time, HDS high to HRDY high (during writes)
15P+14†‡
4
4
ns
ns
14
ns
14
ns
14
ns
14
ns
P + 10
ns
15P+14†‡
ns
†
P = 1/CPU clock frequency. For example, when running parts at 144 MHz, use P = 6.94 ns.
HPI latency is dependent on the number of DMA channels active, their priorities and their source/destination ports. The latency shown assumes
no competing CPU or DMA activity to the memory resources being accessed by the EHPI.
§ Indicates there is no overlap between valid read data and HRDY low. Read data becomes valid after HRDY rising.
‡
April 2001 − Revised September 2004
SPRS163G
107
Electrical Specifications
Read
Write
HCS
H6
H5
H5
HDS
H3
H3
H4
H4
HR/W
HCNTL[0]
Valid
Valid
HBE[1:0]
H16
HPI.HA[13:0]
Valid
Valid
H12
H11
HPI.HD[15:0]
(Read)
Read Data
H7
HPI.HD[15:0]
(Write)
H8
Write Data
H18
H17
H20
H19
HRDY
NOTES: A. Any non-multiplexed access with HCNTL0 low will result in HPIC register access. For data read or write, HCNTL0 must stay
high during the EHPI access.
B. The falling edge of HCS must occur concurrent with or before the falling edge of HDS. The rising edge of HCS must occur
concurrent with or after the rising edge of HDS. If HDS1 and/or HDS2 are tied permanently active and HCS is used as a strobe,
the timing requirements shown for HDS apply to HCS. Operation with HCS as a strobe is not recommended.
Figure 5−30. EHPI Nonmultiplexed Read/Write Timings
108
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
Read
Write
HCS
H1
H1
H2
H2
HAS
H6
H5
H5
HDS
H3
H9
H9
H10
H3
H10
H4
H4
HR/W
HBE[1:0]
HCNTL[1:0]
Valid (11)
Valid (11)
H8
H14
H15
HPI.HD[15:0]
Read Data
(Read)
H8
H7
HPI.HD[15:0]
Write Data
(Write)
H18
H17
H20
H19
HRDY
NOTE: The falling edge of HCS must occur concurrent with or before the falling edge of HDS. The rising edge of HCS must occur concurrent
with or after the rising edge of HDS. If HDS1 and/or HDS2 are tied permanently active and HCS is used as a strobe, the timing
requirements shown for HDS apply to HCS. Operation with HCS as a strobe is not recommended.
Figure 5−31. EHPI Multiplexed Memory (HPID) Access Read/Write Timings Without Autoincrement
April 2001 − Revised September 2004
SPRS163G
109
Electrical Specifications
HCS
H1
H2
HAS
H5
H6
HDS
H9
H10
H3
H4
HR/W
HBE[1:0]
HCNTL[1:0]
Valid (01)
Valid (01)
H11
H13
H12
H11
H16
HPI.HD[15:0]
(Read)
Read Data
Read Data
H20
H19
H20
H18
H19
H17
HRDY
HPIA
Contents
HPID
Contents
n
n+1
d(n)
n+2
d(n+1)
d(n+2)
NOTES: A. During autoincrement mode, although the EHPI internally increments the memory address, reads of the HPIA register by the
host will always indicate the base address.
B. The falling edge of HCS must occur concurrent with or before the falling edge of HDS. The rising edge of HCS must occur
concurrent with or after the rising edge of HDS. If HDS1 and/or HDS2 are tied permanently active and HCS is used as a strobe,
the timing requirements shown for HDS apply to HCS. Operation with HCS as a strobe is not recommended.
Figure 5−32. EHPI Multiplexed Memory (HPID) Access Read Timings With Autoincrement
110
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
HCS
H1
H2
HAS
H5
H6
HDS
H9
H10
H3
H4
HR/W
HBE[1:0]
HCNTL[1:0]
Valid (01)
Valid (01)
H7
H8
HPI.HD[15:0]
(Write)
Write Data
Write Data
H20
H20
H19
H19
HRDY
HPIA
Contents
n
HPID
Contents
n+1
d(n)
n+2
d(n+1)
d(n+2)
NOTES: A. During autoincrement mode, although the EHPI internally increments the memory address, reads of the HPIA register by the
host will always indicate the base address.
B. The falling edge of HCS must occur concurrent with or before the falling edge of HDS. The rising edge of HCS must occur
concurrent with or after the rising edge of HDS. If HDS1 and/or HDS2 are tied permanently active and HCS is used as a strobe,
the timing requirements shown for HDS apply to HCS. Operation with HCS as a strobe is not recommended.
Figure 5−33. EHPI Multiplexed Memory (HPID) Access Write Timings With Autoincrement
April 2001 − Revised September 2004
SPRS163G
111
Electrical Specifications
Read
Write
HCS
H1
H1
H2
H2
HAS
H6
H5
H5
HDS
H9
H3
H10
H3
H9
H10
H4
H4
HR/W
HBE[1:0]
HCNTL[1:0]
Valid (10 or 00)
Valid (10 or 00)
H16
H14
H15
HPI.HD[15:0]
(Read)
Read Data
H8
H7
HPI.HD[15:0]
(Write)
Write Data
HRDY
NOTE: The falling edge of HCS must occur concurrent with or before the falling edge of HDS. The rising edge of HCS must occur concurrent
with or after the rising edge of HDS. If HDS1 and/or HDS2 are tied permanently active and HCS is used as a strobe, the timing
requirements shown for HDS apply to HCS. Operation with HCS as a strobe is not recommended.
Figure 5−34. EHPI Multiplexed Register Access Read/Write Timings
112
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.16 I2C Timings
Table 5−39 and Table 5−40 assume testing over recommended operating conditions (see Figure 5−35 and
Figure 5−36).
Table 5−39. I2C Signals (SDA and SCL) Timing Requirements
STANDARD
MODE
NO.
MIN
MAX
FAST
MODE
MIN
UNIT
MAX
tc(SCL)
Cycle time, SCL
10
2.5
µs
IC2
tsu(SCLH-SDAL)
Setup time, SCL high before SDA low for a repeated START
condition
4.7
0.6
µs
IC3
th(SCLL-SDAL)
Hold time, SCL low after SDA low for a START and a repeated
START condition
4
0.6
µs
IC4
tw(SCLL)
Pulse duration, SCL low
4.7
1.3
µs
IC5
tw(SCLH)
Pulse duration, SCL high
µs
IC6
tsu(SDA-SCLH)
Setup time, SDA valid before SCL high
IC7
th(SDA-SCLL)
Hold time, SDA valid after SCL low
IC8
tw(SDAH)
Pulse duration, SDA high between STOP and START conditions
IC9
tr(SDA)
Rise time, SDA
IC1
4
0.6
250
100†
0‡
0‡
4.7
ns
0.9§
µs
µs
1.3
1000
20 + 0.1Cb¶
300
ns
¶
IC10
tr(SCL)
Rise time, SCL
1000
20 + 0.1Cb
300
ns
IC11
tf(SDA)
Fall time, SDA
300
20 + 0.1Cb¶
300
ns
IC12
tf(SCL)
Fall time, SCL
300
20 + 0.1Cb¶
300
ns
IC13
tsu(SCLH-SDAH)
Setup time, SCL high before SDA high (for STOP condition)
IC14
tw(SP)
Pulse duration, spike (must be suppressed)
IC15
Cb ¶
Capacitive load for each bus line
4.0
µs
0.6
0
400
50
ns
400
pF
A Fast-mode I2C-bus device can be used in a Standard-mode I2C-bus system, but the requirement tsu(SDA-SCLH) ≥ 250 ns must then be met.
This will automatically be the case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch the LOW period
of the SCL signal, it must output the next data bit to the SDA line tr max + tsu(SDA-SCLH) = 1000 + 250 = 1250 ns (according to the Standard-mode
I2C-Bus Specification) before the SCL line is released.
‡ A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the V
IHmin of the SCL signal) to bridge the undefined
region of the falling edge of SCL.
§ The maximum t
h(SDA-SCLL) has only to be met if the device does not stretch the LOW period [tw(SCLL)] of the SCL signal.
¶ C = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.
b
†
IC11
IC9
SDA
IC6
IC8
IC14
IC4
IC13
IC5
IC10
SCL
IC1
IC12
IC3
IC2
IC7
IC3
Stop
Start
Repeated
Start
Stop
Figure 5−35. I2C Receive Timings
I2C Bus is a trademark of Koninklijke Philips Electronics N.V.
April 2001 − Revised September 2004
SPRS163G
113
Electrical Specifications
Table 5−40. I2C Signals (SDA and SCL) Switching Characteristics
NO.
STANDARD
MODE
PARAMETER
MIN
MIN
UNIT
MAX
tc(SCL)
Cycle time, SCL
10
2.5
µs
IC17
td(SCLH-SDAL)
Delay time, SCL high to SDA low for a repeated START
condition
4.7
0.6
µs
IC18
td(SDAL-SCLL)
Delay time, SDA low to SCL low for a START and a repeated
START condition
4
0.6
µs
IC19
tw(SCLL)
Pulse duration, SCL low
4.7
1.3
µs
IC20
tw(SCLH)
Pulse duration, SCL high
4
0.6
µs
IC21
td(SDA-SCLH)
Delay time, SDA valid to SCL high
250
100
IC22
tv(SCLL-SDAV)
Valid time, SDA valid after SCL low
0
0
IC23
tw(SDAH)
Pulse duration, SDA high between STOP and START conditions
IC24
tr(SDA)
Rise time, SDA
1000
20 + 0.1Cb†
300
ns
IC25
tr(SCL)
Rise time, SCL
1000
20 + 0.1Cb†
300
ns
†
300
ns
300
ns
10
pF
IC16
†
MAX
FAST
MODE
4.7
ns
0.9
µs
1.3
IC26
tf(SDA)
Fall time, SDA
300
20 + 0.1Cb
IC27
tf(SCL)
Fall time, SCL
300
20 + 0.1Cb†
IC28
td(SCLH-SDAH)
Delay time, SCL high to SDA high for a STOP condition
IC29
Cp
Capacitance for each I2C pin
4
µs
µs
0.6
10
Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.
IC26
IC24
SDA
IC21
IC23
IC19
IC28
IC20
IC25
SCL
IC16
IC27
IC18
IC17
IC22
IC18
Stop
Start
Repeated
Start
Stop
Figure 5−36. I2C Transmit Timings
114
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.17 MultiMedia Card (MMC) Timings
Table 5−41 and Table 5−42 assume testing over recommended operating conditions (see Figure 5−37).
Table 5−41. MultiMedia Card (MMC) Timing Requirements
NO.
MIN
MAX
UNIT
MMC7
tsu(DV-CLKH)
Setup time, data valid before clock high
9
ns
MMC8
th(CLKH-DV)
Hold time, data valid after clock high
0
ns
Table 5−42. MultiMedia Card (MMC) Switching Characteristics
NO.
†
MAX
UNIT
MMC1
f(PP)
Clock frequency data transfer mode (PP) (CL = 100 pF)
PARAMETER
MIN
17.2†
MHz
MMC2
f(OD)
Clock frequency identification mode (OD) (CL = 250 pF)
400
kHz
MMC3
tw(CLKL)
Clock low time (CL = 100 pF)
10
ns
MMC4
tw(CLKH)
Clock high time (CL = 100 pF)
10
ns
MMC5
tr(CLK)
Clock rise time
5
ns
MMC6
tf(CLK)
Clock fall time
5
ns
MMC9
td(CLKL-DV)
Delay time, MMC.CLK low to data valid
1
ns
−4
Maximum clock frequency specified in MMC Specification version 3.2 is 20 MHz. The 5509 can support clock frequency as high as 17.2 MHz.
MMC1
MMC5
MMC6
MMC.CLK
MMC4
MMC8
MMC3
MMC7
MMC.CMD
MMC.DATx
MMC9
MMC.CMD
MMC.DATx
Figure 5−37. MultiMedia Card (MMC) Timings
April 2001 − Revised September 2004
SPRS163G
115
Electrical Specifications
5.18 Secure Digital (SD) Card Timings
Table 5−43 and Table 5−44 assume testing over recommended operating conditions (see Figure 5−38).
Table 5−43. Secure Digital (SD) Card Timing Requirements
NO.
MIN
MAX
UNIT
SD7
tsu(DV-CLKH)
Setup time, data valid before clock high
9
ns
SD8
th(CLKH-DV)
Hold time, data valid after clock high
0
ns
Table 5−44. Secure Digital (SD) Card Switching Characteristics
NO.
†
PARAMETER
MIN
MAX
UNIT
21†
MHz
400
kHz
SD1
f(PP)
Clock frequency data transfer mode (PP) (CL = 100 pF)
SD2
f(OD)
Clock frequency identification mode (OD) (CL = 250 pF)
SD3
tw(CLKL)
Clock low time (CL = 100 pF)
10
SD4
tw(CLKH)
Clock high time (CL = 100 pF)
10
SD5
tr(CLK)
Clock rise time
5
ns
SD6
tf(CLK)
Clock fall time
5
ns
SD9
td(CLKL-DV)
Delay time, SD.CLK low to data valid
1
ns
−4
ns
ns
Maximum clock frequency specified in the SD Specification is 25 MHz. The 5509 can support clock frequency as high as 21.0 MHz.
SD1
SD5
SD6
SD.CLK
SD4
SD8
SD3
SD7
SD.CMD
SD.DATx
SD9
SD.CMD
SD.DATx
Figure 5−38. Secure Digital (SD) Timings
116
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.19 Universal Serial Bus (USB) Timings
Table 5−45 assumes testing over recommended operating conditions (see Figure 5−39 and Figure 5−40).
Table 5−45. Universal Serial Bus (USB) Characteristics
NO.
FULL SPEED
12Mbps
PARAMETER
MIN
TYP
UNIT
MAX
U1
tr
Rise time of DP and DN signals†
4
20
ns
U2
tf
Fall time of DP and DN signals†
4
20
ns
%
matching‡
tRFM
Rise/Fall time
90
111.11
VCRS
Output signal cross-over voltage†
1.3
2.0
V
tjr
Differential propagation jitter§¶
−2
2
ns
fop
Operating frequency (Full speed mode)
12
Mb/s
U3
Rs(DP)
Series resistor
24
Ω
U4
Rs(DN)
Series resistor
24
Ω
U5
Cedge(DP)
Edge rate control capacitor
22
pF
U6
Cedge(DN)
Edge rate control capacitor
22
pF
†
CL = 50 pF
(tr/tf) x 100
§t
px(1) − tpx(0)
¶ USB is powered from the core supply and is susceptible to core power supply ripple, refer to recommend operating conditions for allowable supply
ripple to meet USB specifications of peak-to-peak jitter tolerance ( 0.6% at 6 MHz bit clock and 2.4% at 12 MHz bit clock).
‡
tperiod + Jitter
D−
VCRS
D+
VOH
90%
10%
VOL
U2
U1
Figure 5−39. USB Timings
April 2001 − Revised September 2004
SPRS163G
117
Electrical Specifications
5509
USBVDD
PU
R(PU)
1.5 kW
DP
U3
D+
U5
DN
CL
U4
D−
U6
CL
NOTES: A. A full-speed buffer is measured with the load shown.
B. CL = 50 pF
Figure 5−40. Full-Speed Loads
118
SPRS163G
April 2001 − Revised September 2004
Electrical Specifications
5.20 ADC Timings
Table 5−46 assumes testing over recommended operating conditions.
Table 5−46. ADC Characteristics
NO.
PARAMETER
A1
tc(SCLC)
Cycle time, ADC internal conversion clock
A2
td(AQ)
Delay time, ADC sample and hold acquisition time
A3
td(CONV)
Delay time, ADC conversion time
A4
SDNL
A5
A6
A7
MIN
MAX
500
UNIT
ns
40
13 * tc(SCLC)
µs
ns
Static differential non-linearity error
2
LSB
Static integral non-linearity error
3
LSB
Zset
Zero-scale offset error
9
LSB
Fset
Full-scale offset error
9
LSB
Analog input impedance
April 2001 − Revised September 2004
1
MΩ
SPRS163G
119
Mechanical Data
6
Mechanical Data
The following mechanical package diagram(s) reflect the most current released mechanical data available for
the designated device(s).
120
SPRS163G
April 2001 − Revised September 2004
MECHANICAL DATA
MPBG058B – JANUARY 1998 – REVISED MAY 2002
GHH (S–PBGA–N179)
PLASTIC BALL GRID ARRAY
12,10
11,90
10,40 TYP
SQ
0,80
0,40
A1 Corner
0,40
0,80
P
N
M
L
K
J
H
G
F
E
D
C
B
A
1 2 3 4 5 6 7 8 9 10 11 12 13 14
Bottom View
0,95
0,85
1,40 MAX
Seating Plane
0,55
0,45
0,08
0,45
0,35
0,10
4173504-3/C 12/01
NOTES: A. All linear dimensions are in millimeters.
B. This drawing is subject to change without notice.
C. MicroStar BGAt configuration.
MicroStar BGA is a trademark of Texas Instruments.
POST OFFICE BOX 655303
• DALLAS, TEXAS 75265
1
MECHANICAL DATA
MTQF017A – OCTOBER 1994 – REVISED DECEMBER 1996
PGE (S-PQFP-G144)
PLASTIC QUAD FLATPACK
108
73
109
72
0,27
0,17
0,08 M
0,50
144
0,13 NOM
37
1
36
Gage Plane
17,50 TYP
20,20 SQ
19,80
22,20
SQ
21,80
0,25
0,05 MIN
0°– 7°
0,75
0,45
1,45
1,35
Seating Plane
0,08
1,60 MAX
4040147 / C 10/96
NOTES: A. All linear dimensions are in millimeters.
B. This drawing is subject to change without notice.
C. Falls within JEDEC MS-026
POST OFFICE BOX 655303
• DALLAS, TEXAS 75265
1