ETC UPD30710A

64-Bit RISC Microprocessors
VRSeries
64-bit RISC Microprocessors
™
From PDA to Supercomputer
The VR Series offers products that satisfy your requirements in any field, from low-power to high-performance embedded applications.
VR SERIES
■ Low-power type
Low power
High performance but low power
Integrates bus bridge and peripheral functions on one
chip
Suitable for mobile devices and network equipment
VR4100 SeriesTM
Embedded control
VR7701TM
VR5500TM
VR5432TM
VR5000 SeriesTM
VR4300 SeriesTM
■ Embedded control type
High cost performance
Suitable for embedded control applications
■ High-performance type
High performance
Parallel processing at a high frequency
Enables configuration of a large-capacity processing
system via multi-connections
Suitable for workstations and servers
2
Pamphlet U15575EJ2V1PF
VR10000 SeriesTM
Total Support System
With our comprehensive and varied development
tools, NEC Electronics supports the entire system development process – both software and hardware –
from the start of set design to debugging and testing.
■ Tool chain
Planning
OS
OS
OS
Compiler
Compiler
Compiler
Debugger
Debugger
Debugger
IE
IE
IE
Design
Production
Select from a variety of combinations according to
your environment
Cooperation with a range of tool vendors
Debugging
Evaluation
■ Operating system
Windows®CE, LinuxTM, UNIXTM supported
Rich lineup of real-time OSs such as VxWorks® and
mITRON
µ
Finished product
Making It Easier
A variety of options are available to eliminate complex peripheral circuits and program interface design
and save system development time and resources.
Dedicated
Dedicated
VR
■ Companion chip
Middleware
Integrates bus bridges and peripheral functions
Cooperation with chip set vendors
CPU
Companion chip
Dedicated
■ Middleware
Dedicated
Dedicated
Integrates speech recognition, image processing,
and modems in a module
Compatible Throughout the World
Since all our CPUs are based on the RISC architecture of MIPS Technologies, VR Series products are
compatible with any tool and any software from any
manufacturer in the world using RISC architecture.
MIPS company A
NEC Electronics
VR Series
MIPS company B
MIPS company C
High Performance in Embedded Applications
The VR Series lineup offers products that are easy to
use in embedded applications and feature reduced
power consumption not only for mobile devices but
also for ultra-high-performance processors. In addition, NEC Electronics is an active participant in evaluation by EEMBCTM, a benchmark dedicated to embedded applications, and has already acquired certification for four products.
EEMBC certified: VR4122TM
VR5432
Planned: VR4131TM
VR7701
Pamphlet U15575EJ2V1PF
VR5000TM
VR5500
3
ARCHITECTURE (1/2)
64-Bit Operation
Instruction Set
● Large-capacity, high-speed operation
• All the internal structures, including the arithmetic units,
data paths, and register files are 64 bits
• All the internal processing is performed in 64-bit units
• Processing in 32-bit units can be supported by mode
switching
● Broad compatibility
• Compliant with the MIPS instruction set architecture
• Codes of lower-level instruction sets can be used in most
cases without modification
● High code efficiency
• 32-bit length instructions
• 16-bit length instructions can be used (VR4100 Series).
• Some products are provided with additional instructions
such as sum-of-products operation, low-power mode, and
byte manipulation.
CPU core
64
64
CP0
Internal bus
ALU
64
MIPS I
MIPS II
MIPS III
MIPS IV
64
CP1
Register file
MIPS16
VR4100TM
VR4300TM
VR5000
VR5432
VR5500
VR7701
MACC
VR10000TM
VR4100
VR4300
VR5000
VR5432
VR5500
VR7701
VR10000
Superscalar Pipeline
Out-of-Order Execution
● High-density instruction execution
• Instruction execution processing is divided into several
stages (pipeline).
• Multiple instructions are fetched simultaneously and
executed in parallel (superscalar).
● Efficient program processing
• Processing is started from the instruction in the queue
whose resource can be used, without regard to the
queuing order.
• Hardware detects the dependency relationship of data
and delays due to branch/load, and resources are
allocated accordingly.
Instruction 1
IF
RF
EX
DC
WB
Instruction 2
IF
RF
EX
DC
WB
Program
ADD
ORI
ADDI
Instruction 3
IF
RF
EX
DC
WB
Instruction 4
IF
RF
EX
DC
WB
Instruction 5
IF
RF
EX
DC
WB
Instruction 6
IF
RF
EX
DC
WB
Execution
ADD
IF
RF
EX
DC
WB
ADDI
IF
RF
EX
DC
WB
IF
RF
EX
DC
ORI
VR4131
4
VR5000
VR5432
VR5500
VR7701
r1, r2, r3
r4, r1, 0x48
r1, r5, 0x08
VR10000
Pamphlet U15575EJ2V1PF
VR5500
VR7701
WB
VR10000
VRSERIES
ARCHITECTURE (2/2)
Memory Management
Branch Prediction
● Effective use of wide address space
• A TLB (high-speed translation lookaside buffer) translates
virtual addresses to physical address.
• The security level (user, supervisor, kernel) and use of the
cache and TLB are set for each address segment.
● Processing is sped up by reducing delays
• A branch history table (BHT) is provided.
• The BHT is referenced each time a branch instruction is
fetched. If the condition has been met previously, the
processor does not calculate the branch address, and
jumps to the target address previously calculated.
BR
Virtual
address
space
IF
RF
EX
DC
WB
IF
RF
EX
DC
WB
IF
RF
EX
DC
Branch address
Physical
address
space
WB
Condition check, branch address calculation
TLB
IF
RF
EX
DC
WB
Branch address
IF
RF
EX
DC
BR
WB
Branch table search
VR4100
VR4300
VR5000
VR5432
VR5500
VR7701
VR10000
Pamphlet U15575EJ2V1PF
VR4131
VR4181ATM
VR5432
VR5500
VR7701
VR10000
5
HARDWARE (1/2)
Cache Memory
On-Chip Debug Function
● Processing is sped up by reducing memory accesses
• Part of main memory is held temporarily
• The contents are replaced according to the frequency of
data use
• SRAM that can be accessed in a short time is used
● Development costs reduced
• A debug interface conforming to the N-Wire and JTAG
specifications is incorporated.
• Program debugging and testing can be performed by
connecting a dedicated debugging tool, with the device
mounted on the target board or set.
Processor
CPU
Main
memory
Primary
cache
Target system
Secondary
cache
User logic
Primary
cache
Debug
tool
Access
time
VR Series
Primary cache
• Integrated
• Caches for program and data are independent
VR4100
VR4300
VR5000
VR5432
VR5500
VR7701
Debug
unit
VR4131
Debug
interface
VR4181A
VR5432
VR5500
VR7701
VR10000
Low-Power Mode
Secondary cache (external)
• Configured by connecting SRAM externally
• External SRAM controlled via a dedicated on-chip interface
• Caches for program and data are allocated together
VR5000
VR10000
Secondary cache (on-chip)
• Integrated
• Caches for program and data are allocated together
VR7701
● Efficient power management
• Up to 4 low-power mode levels can be selected
VR4100 Series (except VR4131): 3 levels
VR4131: 4 levels
VR5000 Series, VR5500, VR7701: 1 level
• The program shifts to low-power mode via a dedicated
instruction, and returns to the normal status via an interrupt
or reset.
• Power consumption can be reduced to almost 0 mW.
Fullspeed
Standby
Suspend
Hibernate
mW
VR4100
6
Pamphlet U15575EJ2V1PF
VR5000
VR5500
VR7701
VRSERIES
HARDWARE (2/2)
Peripheral Functions
● One-chip integration to minimize space
• Various peripheral functions optimized for portable
information devices
• Select from a variety of communication interfaces
• Direct connection of devices such as LCDs or touch panels
VR4100
VR7701
Available Peripheral Functions
Peripheral Function
VR4131
TM
VR4181
VR4181A
VR7701
SDRAM controller
Page ROM controller
Flash memory controller
Touch-panel interface
Keyboard interface
AC-Link interface (AC97)
I2S interface
Audio interface
A/D converter
D/A converter
LCD controller
PC card interface
Clocked serial interface (CSI)
Asynchronous serial interface (UART)
I2C bus interface
IrDA interface
USB host interface
USB function interface
Ethernet™ controller
LED controller
PWM controller
DMA controller
Timer/counter
General-purpose ports
ISA bus interface
PCI bus interface
Remark
: Incorporated,
: Available by connecting to companion chip,
: Not available
Pamphlet U15575EJ2V1PF
7
PRODUCT LINEUP (1/2)
CPU Products
● The core of an application
With their high-speed processing via internal parallel
execution and a high-density pipeline, NEC Electronics
CPUs boost the application system performance.
■ VR10000 Series (VR12000A™, VR12000L™, VR14000™)
• Select from 5 products based on internal operating
frequency (300 to 550 MHz)
• Versions featuring low-power operation (1.55 to 1.9 V)
are also available
■ VR5500
• Select from 2 products based on internal operating
frequency (300 MHz or 400 MHz).
• Bus interface specifications compatible with VR5000
Series and VR5432 native mode.
■ VR5432
• Bus interface specifications compatible with VR4300
Series.
● For higher performance
• Product development that promotes higher cost performance
• A scalable frequency lineup up to GHz class is being
planned based on the VR5500 and VR12000™
■ VR5000 Series (VR5000, VR5000A™)
• Select from 4 products based on internal operating
frequency (200 to 266 MHz) and package.
• Dual-power-supply versions (internal: 2.5 V, external:
3.3 V) are also available.
■ VR4300 Series (VR4300, VR4305™, VR4310™)
• Select from 4 products based on internal operating
frequency (80 to 133 MHz).
Peripheral Function Integrated Products
● Reducing development time and costs
NEC Electronics saves you space and expense by
incor porating a CPU core with a superior powerperformance ratio and a variety of peripheral functions on
a single chip.
■ VR4100 Series
VR4131
• Highly versatile interface provided
• First product to employ a 2-way superscalar pipeline in
the VR4100 Series
• Select from 2 products based on internal operating
frequency (200 MHz or 250 MHz).
VR4181, VR4181A
• Ideal for one-chip solution
• The VR4181A features an expanded version of the
VR4181 on-chip cache, additional on-chip peripheral
functions, and a higher functional performance and
increased channels for the conventional units.
• Select based on internal operating frequency (66 MHz
or 131 MHz).
8
● Wide application range
• Scalable frequency lineup planned.
• Product development incorporating a secondary cache,
with the VR5500 as the CPU core, are planned.
■ VR7701
• Product incorporating a secondary cache with the
VR5500 as the CPU core
• Highly versatile interface provided
Pamphlet U15575EJ2V1PF
VRSERIES
PRODUCT LINEUP (2/2)
Roadmap
VR1x000-700
VR14000-550
VR14000-500
VR12000A-400
VR7701-next
VR12000L-300
VR7701-400
VR5500-next
VR5500-400
VR5500-300
VR5000A-250/266
VR5432-167
VR4310-133
VR4131-250
VR413x
VR4131-200
VR4181A-131
VR4122-180
VR4181-66
Under development
In planning
1999
2000
2001
2002
Pamphlet U15575EJ2V1PF
2003
Development schedule
9
CPU PRODUCTS (1/5)
VR10000 Series
The VR12000 and VR14000 are VR10000-based products
delivering high speed and high efficiency. These products
offer enhanced out-of-order program execution and branch
prediction mechanisms, and more sophisticated debugging
functions. Moreover, the improved frequency speed does
not come at the expense of power efficiency: the low-voltage
operation and low power consumption of the VR12000 and
VR14000 make them the ultimate high performers in the
embedded field.
Application fields
High-performance workstations, servers
● VR12000A
• Software-compatible with VR10000
• Maximum internal operating frequency: 360 or 400 MHz
• 4-way superscalar pipeline
• Secondary cache interface
• Multi-processor interface
• Branch prediction mechanism
• Operating power supply voltage: 1.9 V
● VR12000L
• Internal functions compatible with VR12000A
• Maximum internal operating frequency: 300 MHz
• Power consumption: About half that of VR12000A
● VR14000
• Internal functions compatible with VR12000A
• Maximum internal operating frequency: 500 or 550 MHz
• DDR mode supported via secondary cache interface
Internal Block Diagram (VR12000)
VR12000
Fetch unit
Branch unit
SysAD bus
(64 bits)
System
interface
Pre-decode
Instruction cache
Coprocessor 0
(CP0)
Secondary cache
controller
Joint TLB
(JTLB)
Pipeline/instruction issuance control block
Register map
Test
interface
SysClk
Clock
generator
Integer
queue
Address
queue
10
Data
cache
Execution unit
Integer register
Internal bus
(64 bits)
FP queue
Floating-point
register
ALU1, Address Addition
ALU2 calculation unit
Pamphlet U15575EJ2V1PF
Multiply/
divide
unit
VRSERIES
CPU PRODUCTS (2/5)
VR5500
The VR5500 is the successor to the multimedia-oriented
VR5432, and features higher speed and performance with
lower power consumption. This processor delivers dramatically faster processing through the employment of a pipeline in which instructions are executed using branch prediction and out-of-order mechanisms.
State-of-the-art process technology and internal low-voltage
operation also enable greatly reduced power consumption,
and the addition of a bus sizing function ensures suitability
over a wide range of fields.
Application fields
High-end embedded equipment such as set-top boxes,
color printers, and RAID
● Major specifications
• Software-compatible with VR5000 and VR5432
• 2-way superscalar 8- to 10-stage superpipeline
• Maximum internal operating frequency: 300 or 400 MHz
• External bus switchable between 32 and 64 bits
• Hardware debug function
• Power consumption reduced by internal 1.5 V operation
and standby function
• External interface voltage selectable from 3.3 V and 2.5 V
• 272-pin plastic BGA package (C/D advanced type) (29 ×
29 mm)
Internal Block Diagram
VR5500
Instruction fetch
Instruction
cache
BHT
RAS
IMQ
Control signal
SysAD bus
(64/32 bits)
System
interface
Register control
RF
Instruction
control
WTB
RNRF
RS
Execution unit
Test
interface
SysClock
CP0
ALU0
ALU1
BRU
FPU/
MACU
FPU
LSU
TLB
Clock
generator
Data cache control
Data
cache
SB
RB
Pamphlet U15575EJ2V1PF
11
CPU PRODUCTS (3/5)
VR5432
With its dual power supply for reduced power consumption
and new integer sum-of-products operations, the VR5432
is the ideal processor for multimedia devices. The 32-bit
external bus facilitates system configuration and maintains
compatibility with its predecessor, the VR4300 Series,
enabling fast system development using existing resources.
Application fields
High-end embedded equipment such as set-top boxes
and color printers
● Major specifications
• VR5000 subset
• 2-way superscalar pipeline
• Maximum internal operating frequency: 167 MHz
• External bus: 32 bits. Switchable to V R4300 Seriescompatible
• Branch prediction mechanism
• Sum-of-products operation and bit manipulation instructions added
• Power consumption reduced by internal 2.5 V operation
• External interface: 3.3 V operation
• 208-pin plastic QFP package (28 × 28 mm)
Internal Block Diagram
VR5432
SysAD bus
(32 bits)
Fetch unit
System interface
Instruction cache
Instruction micro-TLB
(ITLB)
Branch history table
(BHT)
Program counter
(PC)
Instruction FIFO
Load/store unit
Data cache
Test interface
Coprocessor 0
(CP0)
Joint TLB
(JTLB)
Data micro-TLB
(DTLB)
Data virtual address (DVA)
glue logic
Pipeline/
instruction
issuance
control block
SysClock
Clock generator
Internal bus
(64 bits)
12
Execution unit
Data path 0
Data path 1
Data path for
vector processing
Data path for sumof-products operation
Pamphlet U15575EJ2V1PF
VRSERIES
CPU PRODUCTS (4/5)
VR5000 Series
The VR5000 Series is a low-cost processor based on the
VR 10000 and uses a 2-way superscalar and powerful
floating-point operation unit to maintain a high performance
while keeping costs down through miniaturization and the
employment of a plastic package. The large-capacity
primary cache and high operating frequency enable highspeed and efficient program execution.
Application fields
High-end embedded equipment such as color printers
and network routers
● VR5000
• Software-compatible with VR10000
• 2-way superscalar pipeline
• Secondary cache interface
• Floating-point sum-of-products operation supported
• 32 KB instruction and data caches for primary cache
memory
• 272-pin plastic BGA package (C/D advanced type) (29 ×
29 mm)
• Maximum operating frequency: 200 MHz
● VR5000A
• Internal functions compatible with VR5000
• Power consumption reduced by internal 2.5 V operation
• External interface: 3.3 V operation
• Maximum operating frequency: 250 or 266 MHz
• Division ratio of 2.5 provided as standard (only when
operated at 100 MHz externally and 250 MHz internally)
Internal Block Diagram
VR5000
Instruction cache
SysAD bus
(64 bits)
System
interface
Coprocessor 0
(CP0)
Tag block
Joint TLB
(JTLB)
Data cache
Secondary cache
interface
Tag block
SysClock
Clock
generator
Execution unit
Integer operation
unit
Pipeline/
instruction
issuance
control block
Floating-point
operation unit
Internal bus
(64 bits)
Pamphlet U15575EJ2V1PF
13
CPU PRODUCTS (5/5)
VR4300 Series
The VR4300 Series was developed to support the higher
performance of the embedded application field. As the first
lineup to employ a combination of 64-bit operations and a
32-bit interface, this processor achieves a superior cost
performance and can be used in a range of fields.
Application fields
Embedded equipment such as printers and games
● VR4300, VR4305
• Maximum internal operating frequency: 100 or 133 MHz
(VR4300), 80 MHz (VR4305)
• Frequency ratio of input clock to internal clock selectable
from 3 types
• External bus: 32 bits
• FPU
• 16 KB instruction cache and 8 KB data cache provided
● VR4310
• Internal functions compatible with VR4300
• Maximum internal operating frequency: 133 MHz
• Frequency ratio of input clock to internal clock selectable
from 7 types
Internal Block Diagram
VR4300
SysAD bus
(32 bits)
System
interface
Instruction cache
Coprocessor 0
(CP0)
Tag block
Joint TLB
(JTLB)
Test interface
Data cache
Tag block
MasterClock
Pipeline/
instruction
issuance
control block
Clock
generator
Execution unit
Internal bus
(64 bits)
14
Pamphlet U15575EJ2V1PF
VRSERIES
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (1/7)
VR4181A
The VR4181A has enhanced communication and speech
interfaces to cover all your one-chip solution needs. The
integration of an extensive range of functions in an even
smaller package enables support of not only PDAs, but also
sophisticated mobile phones and car electronics
applications.
Application fields
Palm-size PCs, information terminals, car navigation
systems, small embedded equipment
● Major specifications
• Software-compatible with VR4100 Series
• Maximum internal operating frequency: 131 MHz
• Inherits all VR4181 peripheral functions. Some functions
enhanced:
→ Memory controller supports SyncFlash™
PC card interface supports IDE (2 slots)
DMA controller supports external trigger (4 ch)
LCD controller supports TFT
2
• I C bus interface: 2 ch (µPD30181AY)
• USB host/function interface
• PWM controller
• AC-Link interface (AC97)
• I2S interface
• Hardware debug function
• Branch prediction mechanism
• Power consumption reduced by internal 2.5 V operation
• External interface: 3.3 V operation
• 240-pin plastic FBGA package (16 × 16 mm)
Internal Block Diagram and External Block Connection Example
PC communication
PC card
STN/TFT LCD panel
Color/monochrome
HDD, CD-ROM
ROM/
flash memory
SDRAM/
SyncFlash
ISA I/O
devices
PC peripheral
communication
Buf
Buf
SDRAM
control
Bus
control
Card/IDE
control
2 slots
DMA
USB func.
control
USB host
control
AC97
control
Bridge
LCD
control
In-circuit
emulator
32.768
kHZ
18.432
MHZ
Debug
I/F
Clock
generator
VR4120TM
CPU core
Key scan
I/F
CPU I/F
PWM
control
3ch
Bridge
Interrupt
control
Watchdog
timer
PCM sound
Stereo
codec
RTC/
timer
GPIO
64 MAX.
Serial
(UART)
3 ch
Serial
(I2C)
2 ch
Serial
(CSI)
Port
control
IrDA/LED/
RS-232-C
driver
CCD module,
serial
EEPROMTM,
etc.
Codec
control,
etc.
Power
management
Touch
panel
control
I2S
control
Audio input
D/A
AN
Ctrl
A/D
Touch
panel
VR4181A
LCD backlight
contrast
Battery monitor
LED
Pamphlet U15575EJ2V1PF
15
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (2/7)
VR4181 (1/2)
Developed mainly for palm-size PC applications, the
VR4181's on-chip peripheral functions have been optimized
by enhancing the memory interface and integrating various
controllers, contributing to a reduction in external
components, and facilitating the configuration of a compact
system.
Application fields
Palm-size PCs, small embedded equipment
● Major specifications
• Software-compatible with VR4100 Series
• Maximum internal operating frequency: 66 MHz
• Power consumption reduced by internal 2.5 V operation
● Major integrated peripheral functions
Memory controller
• SDRAM, EDO DRAM supported
• ROM, flash memory supported
Interface for CompactFlash™ card
Extended bus
• ISA bus subset
LCD controller
• LCD panel can be connected directly
Touch-panel interface
• Built-in A/D converter, no external circuit required
16
• External interface: 3.3 V operation
• 160-pin plastic QFP package (24 × 24 mm)
Communication interface
• Asynchronous serial interface
• Clocked serial interface
• IrDA interface (SIR)
Audio interface
• A/D, D/A converter
Timer/counter
• Calendar function provided
Watchdog timer
General-purpose ports
Power management unit
Pamphlet U15575EJ2V1PF
VRSERIES
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (3/7)
VR4181 (2/2)
Internal Block Diagram and External Block Connection Example
STN LCD panel
up to 320 × 320
Color/monochrome
ROM/
flash memory
EDO DRAM/
SDRAM
Buf
Isolation buffer
for 100 MHz
SDRAM
Buf
CompactFlash
card
LCD
control
32.768
kHZ
18.432
MHZ
RAM
control
Bus
control
DMA
Compact
Flash I/F
ROM
control
PCM
audio
Clock
generator
VR4110TM
CPU core
CPU I/F
Bridge
Watchdog
timer
D/A
PCM sound
Power
management
Audio input
Interrupt
control
Key scan
I/F
RTC/
timer
LED
GPIO
VR4181
Port
control
8 × 8 matrix
Serial 3
Serial 2
IR
module
Serial 1
Touch
panel
control
A/D
Touch
panel
RS-232-C
driver
Battery monitor
LED
PC communication
Pamphlet U15575EJ2V1PF
17
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (4/7)
VR4131 (1/2)
Highly versatile interfaces such as a PCI bus give the
V R 4131 an excellent balance of low power and high
performance. While state-of-the-art process technology and
internal low-voltage operation provide a lower power
consumption, the higher frequency, 2-way pipeline, and
internal cache system realize a performance equal to highend embedded products.
Application fields
Handheld devices, network devices, car navigation,
various embedded equipment
● Major specifications
• Software-compatible with VR4100 Series
• 2-way superscalar 6-/7-stage pipeline
• Maximum internal operating frequency: 200 or 250 MHz
• 2-way set associative cache memory
• Branch prediction mechanism
• Peripheral functions compatible with VR4122
● Major integrated peripheral functions
Memory controller
• 32-bit bus and memory can be connected directly
• SDRAM supported (128 MB max.)
• Page ROM supported (128 MB max.)
• Flash memory can also be supported
Extended bus interface
• PCI bus subset supported
• Data bus width selectable from 32 or 16 bits
18
• Interface with VRC4173™ supported
• Hardware debug function
• Addition of 4th low-power mode, Exsuspend
• Power consumption reduced by internal 1.5 V operation
• External interface: 3.3 V operation
• 224-pin plastic FBGA package (16 × 16 mm)
Communication interface
• Asynchronous serial interface
• Clocked serial interface
• IrDA interface (SIR, MIR, FIR)
Timer/counter
• Calendar function provided
DMA controller
General-purpose ports
Power management unit
Pamphlet U15575EJ2V1PF
VRSERIES
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (5/7)
VR4131 (2/2)
Internal Block Diagram and External Block Connection Example
32.768 kHZ 18.432 MHZ
IR
driver
CLK
PLL
FIR/SIR
RTC
VR4130TM core
200/250 MHz
I-cache
16 KB
SIU
RS-232-C
driver
N-Wire
In-circuit
emulator
CSI
Codec
LED/
GPIO
A/D
DSIU
Host
bridge
D-cache
16 KB
ICU
MEM
BUS I/F
CMU
DMAU
ISA bridge
PMU
SDRAM
ROM/
flash memory
D/A
32-bit
bus
VR4131
LCDC
w/ACL
PCI bus I/F
LCD panel
640 × 480
Pamphlet U15575EJ2V1PF
19
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (6/7)
VR7701 (1/2)
The VR7701 features a secondary cache and an integrated
bus, OnChipBus, connecting external interface to the
VR5500 core and utilizes the system LSI platform designed
for Internet infrastructure equipment such as IPv6compatible network routers and high-density-mounting
blade servers.
Application fields
Disk array devices, high-end set-top boxes, and network
devices
● Major specifications
• Employs VR5500 core as the CPU
• Fully software-compatible with VR5500
• High performance of 804 MIPS (at 400 MHz operation)
• High throughput thanks to integrated high-performance
external interface (10 Gbps in total)
• 2-way superscalar pipeline
• Maximum internal operating frequency: 400 MHz
• Secondary cache memory (256 KB, 4-way set associative)
● Major on-chip peripheral functions
Memory controller
• SDR-SDRAM, DDR-SDRAM supported
• Page ROM, flash memory supported
Extended bus interface
• PCI, PCI-X supported
Communication interface
• Asynchronous serial interface
• Clocked serial interface
20
• Branch prediction mechanism
• Hardware debug function
• Floating-point unit
• Frequency ratio of input clock to internal clock changeable
• Internal 1.5 V operation. External interface voltage
selectable from 3.3 V and 2.5 V
• 500-pin plastic BGA package (C/D advanced type) (40 ×
40 mm)
Ethernet controller
LocalBus interface
DMA controller
Interrupt controller
Timer function
General-purpose ports
Pamphlet U15575EJ2V1PF
VRSERIES
PERIPHERAL FUNCTION INTEGRATED PRODUCTS (7/7)
VR7701 (2/2)
Internal Block Diagram and External Block Connection Example
133 MHz 64-bit
DDR-SDRAM
2.5 V SSTL_2
with 8-bit ECC
133 MHz 64-bit
PCI-X interface
PCI CLK
DRAM CLK
10/100 BASE MII dual interface
(2.5 MHz/25 MHz)
MII CLK
ECC
VR7701 CPU core
SDRAM controller 133 MHz
DLL
PCI-X 133 MHz
VR5500 CPU core
256 KB
Secondary cache
PLL
memory
200 MHz
N-Wire
with ECC
PCI-X
master
PCI-X
slave
10/100
BASE MAC
10/100
BASE MAC
Bus interface unit
Par
OCBW
64S
OCBW
64M
OCBW
64S
OCBW
64M
OCBW
64S
OCBW
64S
Par
Par
Par
OCBW OCBW
32S
32M
Par
OCBW OCBW
32S
32M
64-bit OnChipBus
32-bit OnChipBus
32/64-bit OnChipBus
converter
Par
INTC
CSI
Timer16
Timer32
Timer64
UART_1
UART_2
Bi-endian
DMA
LocalBus interface
VR7701
LBCLKOUT
Par
OCBW
64M
33 MHz, 32-bit
multiplexed local bus
Remark
Par: Parity check
ECC: ECC check
OCBW64S, OCBW32S: OnChipBus wrapper slave
OCBW64M, OCBW32M: OnChipBus wrapper master
Pamphlet U15575EJ2V1PF
21
LIST OF FUNCTIONS (1/5)
High-Performance Type
Item
VR12000A
VR12000L
Part number
µ PD30710A
Instruction set
MIPS I, II, III, IV
Pipeline
4-way superscalar 7-stage pipeline
System interface
64-bit address/data multiplexed bus
Internal primary cache
µ PD33900
VR14000
µ PD30720
32 KB instruction and data caches
2-way set associative
Other functions
Floating-point unit
Multi-processor interface
Secondary cache interface (up to 16 MB can be connected)
Branch prediction mechanism
JTAG interface
Frequency ratio of input clock to internal clock changeable
Performance
353 SPECint2000,
18.4 SPECint95,
30 SPECint95,
407 SPECfp2000 (400 MHz)
34.4 SPECfp95
50 SPECfp95 (500 MHz)
Maximum internal operating frequency
360 MHz, 400 MHz
300 MHz
500 MHz, 550 MHz
Operating power supply voltage
1.9 V
1.8 V
1.55 V
Power consumption (MAX.)
20 W
10.8 W
16 W
Process
0.15 µm
0.15 µ m
0.13 µ m
Package
1153-pin plastic BGA
576-pin plastic BGA
1153 -pin plastic BGA
(flip-chip type)
(C/D advanced type)
(flip-chip type)
22
Pamphlet U15575EJ2V1PF
VRSERIES
LIST OF FUNCTIONS (2/5)
Embedded Control Type (1/3)
Item
VR7701
Part number
µ PD30671
CPU core
VR5500 core
Instruction set
MIPS I, II, III, IV + MACC instruction + register scan instruction
Pipeline
2-way superscalar 8- to 10-stage pipeline
Internal primary cache
32 KB instruction and data caches
2-way set associative
Line-lock function
Non-blocking function (data cache)
Internal secondary cache
256 KB standalone configuration
4-way set associative
Line-lock function
Internal peripheral functions
Memory controller (SDR-SDRAM, DDR-SDRAM, page ROM, flash memory)
Extended bus interface (PCI, PCI-X)
Ethernet controller
Serial interface (UART, CSI)
DMA controller
LocalBus interface
Interrupt controller
Timer
General-purpose ports
Other functions
Floating-point unit
Branch prediction mechanism
Frequency ratio of input clock to internal clock changeable
Hardware debug function
Performance
804 MIPS (400 MHz)
Maximum internal operating frequency
400 MHz
Operating power supply voltage
Internal: 1.5 V
External: 3.3 V, 2.5 V
Power consumption (MAX.)
5 W (400 MHz)
Process
0.13 µ m
Package
500-pin plastic BGA (C/D advanced type)
Pamphlet U15575EJ2V1PF
23
LIST OF FUNCTIONS (3/5)
Embedded Control Type (2/3)
Item
VR5000
Part number
µ PD30500
Instruction set
MIPS I, II, III, IV
Pipeline
System interface
VR5000A
µ PD30500A
2-way superscalar 5-stage pipeline
64-bit address/data multiplexed bus
VR5432
VR5500
µ PD30541
µ PD30550
MIPS I, II, III, IV
MIPS I, II, III, IV
+ MACC instruction
+ MACC instruction
+ multimedia instruction
+ register scan instruction
2-way superscalar
2-way superscalar
5-stage pipeline
8- to 10-stage pipeline
32-bit address/data
64-/32-bit address/data
multiplexed bus
multiplexed bus
Native/R43K mode
switchable
Internal primary cache
32 KB instruction and data caches
32 KB instruction and data caches
2-way set associative
2-way set associative
Line-lock function
Non-blocking function (data cache)
Other functions
Floating-point unit
Floating-point unit
Secondary cache interface (up to 2 MB can be connected)
Branch prediction mechanism
Frequency ratio of input clock to internal clock changeable
Frequency ratio of input clock to internal clock changeable
Hardware debug function
278 MIPS
376 MIPS
316 MIPS
603 MIPS (300 MHz)
5.5 SPECint95,
7.0 SPECint95,
6.6 SPECint95,
804 MIPS (400 MHz)
5.5 SPECfp95
7.0 SPECfp95 (266 MHz)
3.6 SPECfp95
Maximum internal
operating frequency
200 MHz
250 MHz, 266 MHz
167 MHz
300 MHz, 400 MHz
Operating power
supply voltage
3.3 V
Internal: 2.5 V
Internal: 2.5 V
Internal: 1.5 V (300 MHz)
External: 3.3 V
External: 3.3 V
Performance
1.67 V (400 MHz)
External: 3.3 V, 2.5 V
Power consumption
(MAX.)
9.7 W
8.8 W
Process
0.35 µm
0.25 µm
0.25 µm
0.13 µm
Package
272-pin plastic BGA
272-pin plastic BGA
208-pin plastic QFP
272-pin plastic BGA
(C/D advanced type)
1.8 W
(C/D advanced type)
(300 MHz, target value)
223-pin ceramic PGA
24
2.5 W
Pamphlet U15575EJ2V1PF
(C/D advanced type)
VRSERIES
LIST OF FUNCTIONS (4/5)
Embedded Control Type (3/3)
Item
VR4300
VR4305
Part number
µ PD30200
Instruction set
MIPS I, II, III
Pipeline
5-stage pipeline
System interface
32-bit address/data multiplexed bus
Internal cache memory
VR4310
µ PD30210
16 KB instruction cache, 8 KB data cache
Direct-map system
Other functions
Floating-point unit
Frequency ratio of input clock to internal clock changeable
JTAG interface
80 SPECint92, 60 SPECfp92
48 SPECint92, 36 SPECfp92
80 SPECint92, 60 SPECfp92
177 VAXMIPS (at 133 MHz)
106 VAXMIPS (at 80 MHz)
177 VAXMIPS (at 133 MHz)
Maximum internal operating frequency
100 MHz, 133 MHz
80 MHz
133 MHz
Operating power supply voltage
3.3 V
Power consumption (TYP.)
1.8 W (100 MHz)
Performance
3.25 V
1.5 W (80 MHz)
1.9 W (133 MHz)
2.4 W (133 MHz)
Package
120-pin plastic QFP
Pamphlet U15575EJ2V1PF
25
LIST OF FUNCTIONS (5/5)
Low-Power Type
Item
VR4131
VR4181
VR4181A
Part number
µ PD30131
µ PD30181
µ PD30181A, 30181AY
CPU core
VR4130 core
VR4110 core
VR4120 core
Instruction set
MIPS I, II, III
+ MACC instruction
+ MIPS16
MIPS I, II, III
+ 16-bit sum-of-products operation
+ MIPS16
MIPS I, II, III
+ MACC instruction
+ MIPS16
Pipeline
2-way superscalar
6-/7-stage pipeline
5-stage pipeline
5-/6-stage pipeline
Internal cache memory
Instruction: 16 KB
Data: 16 KB
2-way set associative
Line-lock function
Instruction: 4 KB
Data: 4 KB
Direct-map system
Instruction: 8 KB
Data: 8 KB
Direct-map system
Integrated peripheral
functions
Memory controller
(SDRAM, page ROM, flash memory)
Extended bus interface (PCI, ISA)
Serial interface (UART, CSI)
IrDA interface (SIR, MIR, FIR)
DMA controller
Timer/counter
General-purpose ports
Power management unit
Memory controller
(SDRAM, page ROM, flash memory)
Extended bus interface (ISA)
Serial interface (UART, CSI)
IrDA interface (SIR)
PC card interface
Keyboard interface
Touch-panel interface
Audio interface
A/D converter
D/A converter
LCD controller
LED controller
DMA controller
Watchdog timer
Timer/counter
General-purpose ports
Power management unit
Memory controller
(SDRAM, page ROM,
flash memory, SyncFlash)
Extended bus interface (ISA)
Serial interface (UART, CSI)
IrDA interface (SIR)
I2C bus interface
USB host interface
USB function interface
PC card interface
Keyboard interface
Touch-panel interface
Audio interface (AC97, I2S)
A/D converter
D/A converter
LCD controller
PWM controller
LED controller
DMA controller
Watchdog timer
Timer/counter
General-purpose ports
Power management unit
Other functions
Branch prediction mechanism
Hardware debug function
Performance
340 MIPS (at 200 MHz)
425 MIPS (at 250 MHz)
87 MIPS (at 66 MHz)
156 MIPS (at 131 MHz)
Maximum internal operating
frequency
200 MHz, 250 MHz
66 MHz
131 MHz
Operating power supply
voltage
Internal: 1.5 V
External: 3.3 V
Internal: 2.5 V
External: 3.3 V
Power consumption (TYP.)
220 mW (200 MHz)
115 mW (66 MHz)
Process
0.13 µm
0.25 µ m
Package
224-pin plastic FBGA
160-pin plastic QFP
26
Branch prediction mechanism
Hardware debug function
Pamphlet U15575EJ2V1PF
200 mW (131 MHz)
240-pin plastic FBGA
VRSERIES
COMPANION CHIPS
Overview
Companion chips are devices that integrate only the
peripheral functions and are used connected to the target
CPU. These chips incorporate all the functions required in
the portable information and embedded device fields to
facilitate system development.
Companion chip advantages
• Reduction of components (no dedicated devices
required)
• Reduction of system development time (no interface
design required)
Products targeting VR5432 and VR5500 CPUs available
List of Functions
Part Number
Item
Manufacturer
VRC5477TM
(Part Number: µ PD31577)
ES8202
ES8201
NEC Electronics
ES8200
ESS Technology, Inc.
VR5432, VR5500
Target CPU
Memory controller
Supported SDRAM
(SDRAM, ROM,
flash memory)
(SDRAM, ROM,
flash memory)
(SDRAM, ROM,
flash memory)
(SDRAM, ROM,
flash memory)
128 MB MAX.
(100 MHz)
128 MB MAX.
(133 MHz)
128 MB MAX.
(133 MHz)
128 MB MAX.
(133 MHz)
(33 MHz, 4 slots)
(33 MHz, 5 slots)
(33 MHz, 5 slots)
(33 MHz, 5 slots)
(3 chip select signals)
(8 chip select signals)
(8 chip select signals)
(8 chip select signals)
PCI bus interface
Local bus interface
Asynchronous serial interface (UART)
(2 ch)
(3 ch)
Clocked serial interface (CSI)
(3 ch)
–
(3 ch)
–
USB host controller
(2 ch)
(3 ch)
(3 ch)
(3 ch)
USB function controller
(1 ch)
(1 ch)
(1 ch)
(1 ch)
2
I C bus interface
General-purpose ports
AC-Link interface (AC97)
Ethernet controller
DMA controller
IDE interface
Maximum internal operating frequency
Operating power supply voltage
Package
–
–
(Dual RMII)
(2 ch)
–
–
–
(Dual RMII)
(2 ch)
(2 ch)
–
(ATA100)
(ATA100)
–
100 MHz
133 MHz
133 MHz
133 MHz
Internal: 2.5 V
External: 3.3 V
Internal: 1.8 V
External: 3.3 V
Internal: 1.8 V
External: 3.3 V
Internal: 1.8 V
External: 3.3 V
352-pin plastic BGA
484-pin plastic BGA
484-pin plastic BGA
484-pin plastic BGA
: Incorporated, –: Not available
Remark ES8200, ES8201, and ES8202 are products of ESS Technology, Inc. See THIRD-PARTY CONTACT INFORMATION for contact details.
Pamphlet U15575EJ2V1PF
27
MIDDLEWARE
Overview
Middleware is software used to perform specialized
processing between the CPU and user application, and is
optimized to draw out the maximum performance of each
CPU, making it easy to embed the key technology of the
multimedia field in the system.
Middleware advantages
• System development time can be reduced (just required
to embed a complete product)
• Number of components can be reduced (no dedicated
devices required)
• Easy to keep pace with system changes (just required
to rewrite software)
Roadmap
Internet
Multimedia
XML
MPEG-4 Video
JPEG2000
WAP
2001
Database
JiniTM
HAVi
Cryptograph
Fingerprint recognition
Information retrieval
Browser
Image recognition
MH/MR/MMR
POP/SMTP
Developed
JAVA
TM
ADPCM
Agent system
HTTP
PPP
Speech recognition
(Japanese)
Human interface
JPEG
TCP/IP
Handwritten character recognition (Japanese)
Text To Speech (Japanese)
Speech
recognition (Multilingual)
Text To Speech (Multilingual)
Developed
2001
Product Lineup
Middleware
For VR Series
Middleware
MH/MR/MMR
Speech recognition (Japanese)
JPEG
Speech recognition (Multilingual)*
Text To Speech (Japanese)
Browser*
Text To Speech (Multilingual)*
TCP/IP
Handwritten character recognition
PC-compatible file system
Font*
: Developed, : In planning, * : Made by third party
See the Middleware page under DEVELOPMENT ENVIRONMENT for details of each product.
28
Pamphlet U15575EJ2V1PF
For VR Series
VRSERIES
DEVELOPMENT ENVIRONMENT (1/18)
At NEC Electronics, we are currently enhancing our tool
lineup and support system so that you can develop
application systems for the VR Series quickly and smoothly.
Only the MIPS-architecture VR Series has such a rich variety
of supported products released not only by Japanese
vendors but also by tool vendors worldwide. By selecting
the V R Series, you can flexibly configure the best
development environment for your system.
Features of development environments for VR Series
• Close cooperation with tool vendors
→ Allows flexible support of various requirements
• Variety of supported products
→ Configure the environment best suited to your system
• Variety of references and samples
→ Facilitates advanced development and simulation
Support of Major Development Tool Products
A variety of other products are available in addition to those
shown in this table.
See the following pages for details.
Tool
RTOS,
OS
Company Name
Part Number
NEC Electronics
RX4000,
RX4000V4
Wind River
TornadoTM
ATI
NucleusTMPLUS
eSOL
QNX RTOS
MontaVista
MontaVista
Linux
Microsoft®
Windows CE
Compiler,
GHS
MULTITM
integrated
Red Hat
GNUProTM
development
KMC
exeGCC
environment
eSOL
eBinder®
Metrowerks
CodeWarrior®
KMC
PARTNER-J,
PARTNER-ET II
N-Wire IE
VR4131
VR4181
VR4181A
VR4300
Series
VR5000
Series
VR5432
VR5500
PrKERNELv4
®
QNX
VR4122
Midas Lab
RTE-TP series
Sophia
UniSTAC series
YDC
advicePLUS®
Wind River
vision ICE,
vision Probe
ROM emulator
Remark
KMC
PARTNER-ET II
Sophia
FEM series
Lightwell
MDX700TM
Midoriya Electric
EMUSE-GII
: Supported, : Not relevant
ATI: Accelerated Technology, Embedded Systems Division of Mentor Graphics
GHS: Green Hills Software™
KMC: Kyoto Microcomputer Corporation
YDC: Yokogawa Digital Computer Corp.
Pamphlet U15575EJ2V1PF
29
DEVELOPMENT ENVIRONMENT (2/18)
RTOS, OS (1/3)
RX4000
RX4000V4
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR4100 Series, VR4300 Series, VR5000 Series,
VR5432, VR5500
[Features]
◆ µITRON Ver.3.0 specification
◆ Only the required functions are chosen and embedded (system
call to be used selectable)
◆ High-level task development support via task debugger (RD)
◆ Application operation analysis support via system performance
analyzer (AZ)
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR4100 Series, VR4300 Series, VR5000 Series,
VR5432, VR5500
[Features]
◆ µITRON 4.0 specification
◆ Source codes of interrupt servicing and exception processing are
supplied as sample
◆ High-level task development support via task debugger (RD)
◆ Application operation analysis support via system performance
analyzer (AZ)
Nucleus PLUS
Tornado
[Manufacturer/Marketer] Wind River Systems, Inc.
[Target devices] VR4122, VR4131, VR5000 Series, VR5432, VR5500,
VR10000 Series
[Features]
◆ Unified, easy-to-use "look-and-feel" GUI
◆ Wide range of standards (POSIX1003.b, ANSI-C, TCP/IP
networking)
◆ WindPower™ tool for development support
◆ WindNet™ networking product
◆ Superior ISO-9001-compliant technology, quality control and
service provided
[Manufacturer] Accelerated Technology,
Embedded Systems Division of
Mentor Graphics
[Marketer] Accelerated Technology,
Embedded Systems Division of Mentor Graphics
[Target devices] VR4122, VR4131, VR4181, VR4300 Series, VR5000
Series
[Features]
Nucleus PLUS
◆ Royalty free, source codes provided
◆ Described in ANSI C
◆ Scalable from 4 KB to 45 KB in accordance with required function
◆ Unused service calls can easily be removed
◆ Short interrupt latency
Nucleus MNT
◆ Prototyping environment that provides development on PC host
and runtime environment
Nucleus C++
◆ Nucleus with Object-oriented interface added
◆ Software component and development tool for development of
real-time embedded applications
Nucleus NET
◆ TCP/IP protocol stack
◆ Optimized for real-time applications
Nucleus GRAFIX
◆ Industry's first portable, embeddable graphical user interface (GUI)
◆ Windows-like high-level graphical functions can be used
Nucleus FILE
◆ FAT12/16/32-compatible file system
◆ Long filenames supported
Nucleus SHELL
◆ Terminal applications
◆ Task-level debugging provided
30
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (3/18)
RTOS, OS (2/3)
PrKERNELv4
TronTask!™ 3.0
[Manufacturer/Marketer] eSOL Co., Ltd.
[Target devices] VR4100 Series
[Features]
◆ Compliant with µITRON 4.0 standard profile
◆ Equipped with preemptive kernel function
◆ Supports expansion functions such as mutex, variable-length
memory pools, alarm handlers, data queues, and dynamic
resource creation
[Manufacturer] United States Software, Inc.
[Marketer] AI Corporation
[Target devices] VR4300 Series
[Features]
◆ µITRON Ver.3.0 specification
◆ Scalable configuration and compact size
◆ Source code supplied, 95% or more of which is described in C
language
◆ Royalty free, seat license system
Accel-Linux
QNX Neutrino® RTOS
[Manufacturer] QNX Software Systems Ltd.
[Marketer] Hashimoto-shokai Co., Ltd.
Forks Inc.
Kyokuto Boeki Kaisha, Ltd.
ADVANCED SYSTEMS Co., Ltd.
[Target devices] VR4100 Series, VR4300 Series, VR5000 Series
[Features]
◆ Neutrino micro-kernel architecture
◆ Multi-thread, multi-task
◆ Hardware real-time OS
◆ Dynamic configuration
◆ Perfect memory protection
◆ Self-host cross development
[Manufacturer/Marketer] Elmic Systems, Inc
[Target devices] VR4100 Series
[Outline]
The hybrid structure of embedded Linux and ITRON is a solution that
realizes faster and real-time processing of embedded Linux. This
solution also realizes a development environment that offers the
advantages of both Linux and µITRON.
[Features]
◆ Linux system operates as one task of ITRON
◆ MontaVista Hard Hat Linux supported
◆ ITRON: Elmic's ELX-ITRON
◆ Interrupt arbitration program: Judges an interrupt is from the
ITRON side or Linux side and passes the right of control to the
interrupt handler of each OS
◆ Interface between OSs: Exchanges information in the Linux and
ITRON environments
eCos
ELX-ITRON
[Manufacturer/Marketer] Elmic Systems, Inc
[Target devices] VR4100 Series
[Features]
◆ Monitor processing using system call function
◆ Interrupt servicing via I/O driver
◆ Statically creates objects such as tasks and event flags at system
initialization
◆ Use of system call parameter check can be specified at
compilation
◆ Command input from terminal enables real-time reference of each
object
◆ Only functions required for system linked to library-format system
call
◆ Objects in each separate memory block can be searched
[Manufacturer] Red Hat, Inc.
[Marketer] Red Hat Japan
[Target devices] VR4300 Series
[Features]
◆ Open source real-time OS
◆ GUI-based powerful configuration function facilitates kernel
creation for systems with restricted memory
◆ Equipped with drivers for Ethernet, USB, flash memory, and serial
communication
◆ µITRON Ver.3.02 specification-compatible layer supported
◆ Bootstrap, debug firmware RedBoot supported
Pamphlet U15575EJ2V1PF
31
DEVELOPMENT ENVIRONMENT (4/18)
RTOS, OS (3/3)
MontaVista Linux
Red Hat Embedded Linux Developer Suite (ELDS)
[Manufacturer] MontaVista Software, Inc.
[Marketer] MontaVista Software Japan Ltd.
[Target devices] VR4100 Series, VR5432, VR5500
[Features]
◆ Optimizes latest version of Linux for embedded systems
◆ Open source, royalty free
◆ Preemptive real-time kernel suitable for embedded use
◆ Open integrated development environment
◆ Open and powerful development tool
• Kernel configuration tool
• Library optimizer
• Linux trace tool
• Target configuration tool
[Manufacturer] Red Hat, Inc.
[Marketer] Red Hat Japan
[Target devices] VR4100 Series,
VR4300 Series, VR5000 Series
[Features]
◆ Open-source-based integrated development environment for
embedded Linux
◆ Linux kernel, library, etc. are managed uniformly; versions can be
managed synchronously for whole system, from embedded
equipment to server
◆ Employs Red Hat Network (RHN) enabling the latest kernels,
libraries, and tools to be managed via a network
◆ Employs RPM, which facilitates building, integration, and
configuration of an application with dependency between modules
retained
◆ Equipped with optimized GNUPro development environment
◆ Configuration function enables easy creation of Linux kernel
matching user system needs
◆ Bootstrap, debug firmware RedBoot supported
Windows CE
[Manufacturer] Microsoft Corporation
[Target devices] VR Series
[Features]
◆ Supports Win32 API, maintaining compatibility with PC software
◆ Supports various peripheral drivers such as USB, graphics, and
sound
◆ Supplies integrated environment including C/C++ compiler,
debugger, and simulator
ThreadX™
[Manufacturer] Express Logic, Inc.
[Marketer] Grape Systems Inc.
[Target devices] VR Series
[Features]
◆ Compact size (approx. 2.5 KB min.)
◆ High-speed response
◆ Simple API, flexible memory configuration
◆ Supports various processors and development environments
◆ Highly-compatible middleware provided
• TCP/IP protocol stack: NetX™
• µITRON-compliant TCP/IP library: NetX-µITRON
• 12/16/32-bit FAT file system: FileX™
• Embedded graphics tool: PegX
◆ µITRON3.0, 4.0-compliant OS (ThreadX-µITRON) also available
32
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (5/18)
Compiler, Integrated Development Environment (1/2)
ZIPC®
Integrated Development Environment MULTI
C++/EC++/C cross compiler
[Manufacturer] Green Hills Software, Inc.
[Marketer] Advanced Data Controls Corporation
[Target devices] VR4100 Series, VR4300 Series,
VR5000 Series, VR5432, VR5500
[Features]
MULTI
◆ An ideal platform not only due to its strong support of the edit ➝
compile ➝ debug development cycle through a highly operable
GUI, but also for the development of embedded systems that
enable the integration of various debugging tools (ICE, JTAG, and
boards), RTOS, and high-end tools (UML and CASE)
Cross compiler
◆ ANSI-compliant C/C++ cross compiler
◆ PIC, PID specifiable
◆ Various optimizations for SDA, TDA, ZDA supported
◆ Various optimizations realize excellent execution speed and
minimized object size
[Manufacturer] CATS Co., Ltd.
[Marketer] CATS Co., Ltd.
[Target devices] VR Series
[Features]
◆ Integrated CASE tool providing debugging support from designing
to coding
◆ Information Transition and Object-Oriented methodologies
exploited for enhanced development
◆ Support of the spiral-type development style, leak-prevention
design, and creation of parts for reuse
◆ Realization of virtual-target visual prototype simulation at almost
target speed
◆ Wide range of RTOSs supported
◆ Automatic ANSI C or ISO C++, EC++ source code creation from
status transition table
◆ Target debugging at the document level
◆ Compatible with NEC Electronics debuggers
GNUPro
exeGCC
[Manufacturer] Red Hat, Inc.
[Marketer] Red Hat Japan
NEC Micro Systems, Ltd.
[Target devices] VR4100 Series, VR4300 Series, VR5000 Series,
VR5432, VR5500
[Features]
◆ Embedded development tool configured by C/C++ compiler (gcc/
g++), debugger (gdb), simulator, gas, and various utilities
◆ Upgrade version available through support contract
◆ Supplied software can be freely used, copied, re-supplied under
GNU GPL
◆ No royalty required for runtime library, user program source code
disclosure not required
◆ Source Navigator (source code analysis tool) bundled
[Manufacturer] Kyoto Microcomputer Corporation
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
Application Corporation
[Target devices] VR Series
[Features]
◆ New development environment adapting GNU C/C++ compiler for
development of embedded applications
◆ Original extender and high-speed embedded library realize high
speed and high performance
◆ Debug information compressible by 1/2 to 1/10 using debug
information compression function
◆ Original embedded library included
Pamphlet U15575EJ2V1PF
33
DEVELOPMENT ENVIRONMENT (6/18)
Compiler, Integrated Development Environment (2/2)
eBinder
CodeWarrior for MIPS
[Manufacturer/Marketer] eSOL Co., Ltd.
[Target devices] VR4131, VR4300 Series
[Features]
◆ Integrated development environment supporting µITRON
◆ Compiler and evaluation board are provided as standard
◆ Enhanced debug functions reduce development flow
◆ Supports parallel development between hardware and software
◆ Original technology to re-use software
◆ Hardware/OS-independent design
[Manufacturer/Marketer] Metrowerks Corporation
[Target devices] VR Series
[Features]
◆ Integrated development tool used by over 200,000 people in 80
countries worldwide
◆ Provides support for IDEs with integrated high-speed compilers,
project managers, editors, source code browsers, etc., to facilitate
the development of large-capacity programs
◆ Provides support for the open-source-code MetroTRK source-level
debugger
◆ Directly usable with PARTNER-ET II, PARTNER-J
Embedded Developer Suite code l lab™
[Manufacturer/Marketer] Accelerated Technology, Embedded Systems Division of Mentor Graphics
[Target devices] VR4122, VR4131
[Features]
code l lab EDE
◆ Perfect embedded development environment for accelerating development, compilation, build, and debug cycles.
◆ Integrated with Microsoft Visual Studio®
◆ System can be configured using any commercial development tool
◆ Can easily access a cross-development debugger
◆ Existing build system commands (make files, batch files, etc.) can be applied
to the code l lab EDE project
code l lab Debug
◆ Automatic trace function
◆ Kernel-aware debug
◆ Display windows such as Source, Memory, Variable, and Register can be
configured
◆ Complex breakpoint
◆ Stop watch for timing function
◆ Source file tracking
◆ Advanced dynamic file exchange, advanced DLL interface
code l lab Connections
◆ Supports various debug connections such as emulator, on-chip debug
(OCD), serial connection, Ethernet connection
code l lab Targets
◆ Reference platform
◆ Provides execution environment for evaluation board, simulator, etc.
34
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (7/18)
Other Software (1/2)
Middleware
Middleware series for embedded systems (Grapeware)
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR4100 Series, VR4300 Series
[Features]
◆ Text To Speech (Japanese text-to-speech synthesis)
• Variable pitch, speed, accent, high sound quality
• Compact size for embedded use (system dictionary: approx. 1.2
MB, speech data: approx. 600 KB or more)
• 22 kHz speech supported
◆ Speech recognition
• Unspecified speaker word recognition
• NEC Electronics original method achieves high recognition rate
even in noisy environment
• 100,000 or more words recognizable simultaneously
◆ Middleware for various fields supplied
• MH/MR/MMR
• JPEG
• Handwritten character recognition
[Manufacturer] Future Software Ltd.
Grape Systems Inc.
[Marketer] Grape Systems Inc.
[Target devices] VR4122, VR4131, VR4181
[Features]
◆ Internet and related fields
Web server, FTP server, DHCP server, IPv6, L2TP, RADIUS, etc.
◆ LAN/WAN and related fields
ATM, Frame relay, ISDN
◆ Wireless communication
Bluetooth™ protocol stack
◆ Serial transfer
USB drivers
◆ T-Engine support planned
◆ Other
Flash media manager, image data compression/decompression
library, etc.
NetFront®, Compact NetFront®, JV-Lite® 2
RX-NET
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR5432
[Features]
◆ Network library for VR Series
◆ Supports optional products such as PPP, FTP, TELNET, as well as
TCP/IP basic set (optional products will be developed as occasion
demands)
◆ Sources for LAN control driver and serial driver supplied
◆ Used in combination with NEC Electronics real-time OS RX4000V4
[Manufacturer] ACCESS Co., Ltd
[Marketer] NEC Micro Systems, Ltd.
[Target devices] VR Series
[Features]
NetFront
◆ Internet module set including embedded web browsers for TV and
PDA (etc.), Internet mail, TCP/IP modules, and a variety of drivers
◆ The browser includes a 300 KB kernel, complies with HTML 3.2,
and provides support for frames. Parts of HTML 4.0 are also
supported
◆ IPv6-compliant TCP/IP protocol stack AVE-TCPv6.0 can also be
included
GOFAST™
Compact NetFront
[Developer] United States Software, Inc.
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR4100 Series
[Features]
◆ High-speed floating-point operation library compliant with ANSI C
(JIS X 3010) standard specification
◆ Speeds up execution of programs with floating-point operations
when using microcontroller with no FPU
◆ MULTI, GNUPro supported
◆ Evaluation version also available
JV-Lite 2
© United States Software Corporation 2001
◆ HTML browser optimized for mobile devices with small
monochrome liquid crystal displays such as cellular phones, PHS,
PDAs, and pagers
◆ HTML 4.0 subset functions are available with 150 KB of RAM and
300 KB of ROM
◆ Java VM (Virtual Machine) for embedded systems. Compatible
with Embedded Java, Personal Java and J2ME CLDC1.0 +
Profiles
◆ Virtual machine and class library are ROMable and available with
500 KB of ROM and 500 KB of RAM
◆ Can be provided as a plug-in of the NetFront browser or as a
discrete JV-Lite2 unit
Pamphlet U15575EJ2V1PF
35
DEVELOPMENT ENVIRONMENT (8/18)
Other Software (2/2)
USFiles™
PEG™
[Manufacturer] United States Software, Inc.
[Marketer] AI Corporation
[Target devices] VR4300 Series
[Features]
◆ Converts embedded device data into PC-compatible files
◆ FD, HD, and RAM drivers are included
◆ Various optional drivers are available
• Memory Stick™ driver
• SD memory card driver
• MMC driver, etc.
◆ FAT12/16/32/VFAT-compatble
[Manufacturer] Swell Software, Inc.
[Marketer] AI Corporation
[Target devices] VR Series
[Features]
◆ Embedded GUI library
◆ Suitable for real-time systems such as µITRON, Linux
◆ Small footprint
◆ Included utility tool facilitates multilingual application development
on PC
◆ C++ language source code supplied
USNET™
FlashFX™
[Manufacturer] United States Software, Inc.
[Marketer] AI Corporation
[Target devices] VR Series
[Features]
◆ Embedded TCP/IP protocol stack library
◆ CPU/OS-independent design
◆ Various options available
• Email
• Web server
• SNMP
• NAT
• PPPoE, etc.
[Manufacturer] Datalight, Inc.
[Marketer] AI Corporation
[Target devices] VR4100 Series, VR4300 Series
[Features]
◆ Library for efficient utilization of flash memory
◆ Driver wear-leveling, garbage collection used to write on-board
flash memory to disk
◆ Widely supports NOR type, NAND type, AND type
◆ US patent granted
JBlend®
Kasago TCP/IP
[Manufacturer/Marketer] Aplix Corporation
[Target devices] VR Series
[Features]
JBlend
◆ Embedded Java solution
◆ Vastly reduces development period through simultaneous
development of hardware and software
◆ Hardware real-time control possible
◆ Enables efficient development of high-level GUI
◆ CLDC1.0-compliant Java execution environment microJBlend™
supplied
[Manufacturer/Marketer] Elmic Systems, Inc
[Target devices] VR4100 Series
[Features]
◆ Provides all the embedded-use, high-speed protocols required for
Internet connection, such as TCP/IP.
◆ Provides a variety of optional protocols and applications, such as
PPP, FTP, SNMP, Web server, Web browser, and mailer
◆ Processor/OS-independent, complete BSD4.4 Socket interface
supported, compact core of 32 KB (min.), easily comprehensible
ANSI-C-compliant source code provided
microJBlend
◆ Original technology KFTT to speed up KVF
◆ Hardware/OS-independent, high portability
◆ Library corresponding to target supplied
• Java API class library for i-mode
• MID Profile class library
◆ GUI matching can be customized according to "look-and-feel" of
included equipment
36
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (9/18)
Reference Platforms and Evaluation Boards (1/7)
SolutionGear ®
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR5432, VR5500
[Outline]
SolutionGear is a development tool kit used in developing application software for RISC processors.
SolutionGear is a platform common to VR Series/V850 Series™
◆ SolutionGear can be used for the following.
• CPU/OS/middleware evaluation for device selection
• Software development ahead of target board development
• Reference for target board development
[Features]
◆ CPU board provided for each microcontroller and CPU-independent motherboard can be used in combination
→ Motherboard can be used in common with V850 Series
→ Software resources can be utilized effectively even if CPU is changed
◆ Industry-standard (PC-compatible) interfaces (PCI, ISA, PCMCIA, E-IDE, USB, PS/2, Ethernet, etc.)
• Hardware for speech I/O and other middleware
→ Commercially available expansion board and peripheral devices can be used
→ Can be used with PC main unit, power supply, and peripheral equipment (ATX-compatible board size)
◆ Real-time OS, middleware, and sample drivers, etc., are bundled
• µITRON specification real-time OS (RX4000) • Speech recognition middleware
• Text To Speech middleware
• JPEG middleware
• TCP/IP network library (RX-NET)
→ Evaluation can be started immediately using the sample for this board
◆ Reference design information (circuit diagrams, sample driver source
code, etc.) is included
→ Can be used for reference during board design
SolutionGear II
[Manufacturer/Marketer] NEC Electronics
[Target devices] VR4131, VR5500
[Outline]
SolutionGear II is a development tool kit used in developing application software for RISC processors.
SolutionGear II is a VR Series-dedicated platform
◆ SolutionGear II can be used for the following.
• CPU/OS/middleware evaluation for device selection
• Software development ahead of target board development
• Reference for target board development
[Features]
◆ CPU board provided for each microcontroller and CPU-independent motherboard can be used in combination
→ Software resources can be utilized effectively even if CPU is changed
◆ Industry-standard (PC-compatible) interfaces (PCI, ISA, PCMCIA, E-IDE, USB, PS/2, Ethernet, etc.)
• High expandability with two 3.3 V PCI slots and three 5 V PCI slots
→ Commercially available expansion board and peripheral devices can be used
→ Can be used with PC main unit, power supply, and peripheral equipment (ATX-compatible board size)
◆ Reference design information (circuit diagrams, sample driver source code, etc.) is included
→ Can be used for reference during board design
◆ VxWorks, Windows CE, Linux supported on one platform
Pamphlet U15575EJ2V1PF
37
DEVELOPMENT ENVIRONMENT (10/18)
Reference Platforms and Evaluation Boards (2/7)
L-CardA
[Manufacturer/Marketer] Laser5 Co., Ltd.
[Target devices] VR4181A
[Features]
◆ Ultra-small Linux server
◆ Mounts Linux (kernel 2.4) in a single-board computer
◆ Embedded Linux platform usable immediately after power-on
◆ Equipped with 100BASE-TX LAN interface, USB 1.1 as standard
◆ Various CF cards usable such as wireless LAN and CompactFlash
◆ Equipped with expanded bus
◆ Enables linking electronic devices, industrial measuring instruments, and automatic
measurement systems to an IP network
L-Card+® 16M
[Manufacturer/Marketer] Laser5 Co., Ltd.
[Target devices] VR4181
[Features]
◆ Ultra-small Linux server
◆ Linux bootable from standalone
◆ Equipment expandable via CompactFlash connector
• CompactFlash camera • LAN card
• Wireless LAN
• HDD, etc.
◆ 16 MB flash memory
◆ 8 MB user area usable as flash disk
L-Board™
[Manufacturer/Marketer] Laser5 Co., Ltd.
[Target devices] VR4122
[Features]
◆ Linux-installed SBC
◆ Usable mounted on PC or standalone
◆ ATA-66 × 2 slots, various drives expandable
• Wireless LAN
• LAN card, etc.
◆ Equipped with PCI edge connector
◆ 16 MB flash memory
Linux starter kit
[Manufacturer/Marketer] MegaSolution Inc.
[Target devices] VR4122, VR4131
[Features]
◆ 2 boards (VR4122DIMM, EVA4122/4131) supported
◆ Source code including kernel supplied
◆ MegaSolution's original debugger monitor available
38
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (11/18)
Reference Platforms and Evaluation Boards (3/7)
VR10000 Series PCI base Board (VR14000)
Solution Platform
[Manufacturer/Marketer] DENSAN Co., Ltd.
[Target devices] VR14000
[Features]
◆ Workstation realized on one PCI board
◆ PCI bus (universal/33 MHz/32 bits) supported
◆ 4 MB secondary cache
◆ 512 KB flash memory as a boot ROM
◆ 64-bit data bus as main memory, 100 MHz DIMM socket × 2,
supports up to 4 GB
◆ Various interfaces
• RS-232-C: 1 ch
• Ethernet (10/100): 2 ch
• IDE connector (for primary only)
• Extended interface for PCI (33 MHz, 64 bits)
◆ PARTNER-ET II connectable
[Manufacturer] Kyoto Microcomputer Corporation
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
Application Corporation
[Target devices] VR4122, VR4131
[Features]
◆ Various CPUs supported by exchanging the CPU board
◆ Driver for immediate use of each interface included
◆ Included sample software facilitates application development
◆ Circuit diagram
◆ Various interfaces similar to ordinary computer on motherboard
• Keyboard • Mouse device
• IDE HDD
• FDD
• VGA
• Parallel interface
• USB
• Serial interface
• IrDA
• Network interface
◆ Various OS/middleware manufacturers planning to support BSP
TCS-8000 series
[Manufacturer/Marketer] DKK-TOA CORPORATION
[Target devices] VR4181A
[Features]
The TCS-8000 series is a card-case size embedded device for networks, which integrates the VR4181A as the CPU, thus achieving low power
consumption and saving space.
TCS-8000 series
◆ Small CPU board with Linux mounted
◆ SDRAM: 32 MB, flash memory: 4/8/16 MB
◆ Equipped with Ethernet interface (10BASE-T, 100BASE-TX), USB (USB 1.1) as standard
◆ Equipped with CompactFlash (Type II) as standard
◆ Various expanded buses (system bus, LCD controller, touch panel, analog I/O, serial interface)
Development tools for TCS-8000 series
◆ The expanded buses of the TCS-8000 series are connected to a connector via which signals can
be fetched
◆ GPIO40 to 47 are connected to an LED and can be used for evaluating operation
◆ SIU0 to 2 can be connected via serial conversion or TTL
◆ Bootable from a TCS-8000 series development tool by setting jumpers
Pamphlet U15575EJ2V1PF
39
DEVELOPMENT ENVIRONMENT (12/18)
Reference Platforms and Evaluation Boards (4/7)
TB Series
[Manufacturer/Marketer] TANBAC Co., Ltd.
[Target devices] VR4131, VR4181
[Features]
TB0193
◆ VR4181-mounted small MPU module
◆ SDRAM: 16 MB, flash memory: 4/16 MB
◆ Card case size (55 × 91 mm)
◆ Equipped with various interfaces
• RS-232-C
• 10BASE-T
• CompactFlash interface
• Extended bus
TB0225
◆ Multi-chip module in which the VR4131 (200 MHz) is mounted as a flip chip
◆ Integrates a 32 MB SDRAM and 16 MB flash memory in a very small space (CSP mounting)
◆ Size: 23 × 23 × 1.9 mm
TB0226
◆ MPU module with compact and high-performance router function, integrating the VR4131BGA module
(TB0225)
◆ SDRAM: 32 MB, flash memory: 16 MB
◆ Size: 88.9 × 63.5 mm
◆ Equipped with various interfaces
• 100BASE: 2 ch (PCI connection)
• USB 2.0: 2 ch (PCI connection), 480 Mbps serial communication
• Serial interface
• Debug interface (N-Wire)
TB0247
◆ Compact embeddable module integrating the VR4131BGA module (TB0225)
◆ SDRAM: 32 MB, flash memory: 16 MB
◆ Peripheral functions can be supported flexibly by FPGA
◆ Size: 60 × 85 mm
◆ Equipped with various interfaces
• CF slot
• MMC slot
• Serial interface
• Debug interface (N-Wire)
VR4131DIMM, VR4131DIMM-EK
◆ VR4131 (200 MHz)-mounted small MPU module
◆ SDRAM: 64 MB, flash memory: 4 MB (standard version)
◆ SO-DIMM size (37 × 67 mm), easy installation/uninstallation
◆ Equipped with various interfaces
• PCI bus
• 16-bit I/O bus
• Serial interface: 2 ch
• Debug interface (N-Wire)
◆ VR4131DIMM-mounted evaluation kit: VR4131DIMM-EK
• Sample source and flash memory writing program are bundled
• Equipped with various interfaces
• PCI bus (3.3 V) × 3
• Local bus
• Serial interface
40
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (13/18)
Reference Platforms and Evaluation Boards (5/7)
RTE-PC/CB Series
[Manufacturer] Midas Lab Co., Ltd.
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
CORE Corporation
Application Corporation
[Target devices] VR4310, VR5000, VR5432, VR5500
[Features]
◆ Monitor support for MULTI from GHS and Midas Lab's PARTNER
◆ High-speed program transfer via PC bus connection (except CB series)
◆ Connectable via serial communication (RS-232-C)
Solution Engine
[Manufacturer] Citizen Watch Co., Ltd.
[Marketer] Citizen Watch Co., Ltd.
Shinko Shoji Co., Ltd.
[Target devices] VR4181A
[Features]
CPU Board
◆ Module cut out from Citizen PDA's central unit (ROM, RAM, CPU, and various interfaces)
◆ Minimum functions required for Connecting to the Internet are integrated in a small space (size: 68 × 61 mm)
◆ VR4181A mounted as CPU
◆ SDRAM: 64 MB, flash memory: 32 MB
◆ All the CPU signal lines, excluding DRAM control, are output to a 240-pin connector
• Customized circuit can be designed and connected freely
• Can be embedded as is in user product
◆ Equipped with various interfaces
• USB (host)
• Serial interface (TTL level)
• IrDA
• CompactFlash connector (enables data communication using a communication card as well as expansion of various equipment)
Emulator Board
◆ Software can be developed with emulator board directly connected to the CPU board
◆ Standard peripheral circuits such as LCD and keyboard connectable. Software development and debugging possible in parallel with customer's
custom circuit design.
◆ Debugging in the final design stage possible by directly connecting emulator board to the customer's custom circuit
Pamphlet U15575EJ2V1PF
TEXT.p65
41
41
02.12.19, 3:43 PM
DEVELOPMENT ENVIRONMENT (14/18)
Reference Platforms and Evaluation Boards (6/7)
VR4181A-IDE, VR5500-TE, VR5500-ATOM
[Manufacturer/Marketer] SHIMAFUJI ELECTRIC CO., LTD.
[Target devices] VR4181A, VR5500
[Features]
VR4181A-IDE
◆ IDE connector-mounted network attachment storage
◆ VR4181A (131 MHz) mounted
◆ SDRAM: 64 MB, flash memory: 32 MB
◆ Module size: 72 × 43 × 17 mm
◆ Equipped with various interfaces
• IDE connector interface (40-pin connector)
• 10/100BASE • UART • AC97
VR5500-TE
◆ VR5500 embedded one-board computer
◆ VR5500 (400 MHz) + VRC5477 mounted
◆ SDRAM: 64 MB, flash memory: 16 MB
◆ Module size: 120 × 75 × 15 mm
◆ Equipped with various interfaces
• SIM card I/F • PCMCIA
• AC97
• Calendar
• LCD
• USB (host)
• Touch-panel • Serial interface • CPU expansion slot I/F
VR5500-ATOM
◆ Compact and powerful control engine module
◆ High expandability with internal I/O and PCI bus
◆ VR5500 (400 MHz) + VRC5477 mounted
◆ SDRAM: 64 MB, flash memory: 16 MB
◆ Module size: 50 × 50 × 20 mm
◆ Equipped with various interfaces
• 10/100BASE • UART × 2 • I2C • USB (host)
• AC97
• PCI bus (via 100-pin connector)
42
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (15/18)
Reference Platforms and Evaluation Boards (7/7)
T-Engine, a super-development platform in the ubiquitous computing age
[Manufacturer/Marketer] Personal Media Corporation
[Target devices] VR5500 (T-Engine), VR4131 (µT-Engine)
[Outline]
Efficient software development is an important factor to realize a ubiquitous computing environment. The T-Engine project was launched with the
aim of standardizing the development platform of embedded systems, including hardware and development environments, and improving
distribution and portability of software components. (See http://www.t-engine.org/ for details of the T-Engine project.)
The standard real-time OS that runs on T-Engine is called T-Kernel. T-Kernel acts as the implementation platform for various middleware and
applications that run on T-Engine, and is used as the common kernel in a ubiquitous computing environment.
[Features]
T-Kernel
T-Engine/VR5500 development kit,
µT-Engine/VR4131 development kit
eTRON
driver
Device
driver #1
Middleware
Manager #2
Device driver #2
Manager #1
Application #1
Application #2
◆ Standard real-time kernel of T-Engine
◆ Compact real-time, multi-task OS making use of ITRON experience
◆ T-Kernel/SM (System Manager) function that improves distribution and
dynamic embedding of various kinds of middleware
◆ Uniformity and maximization of software resources by standardization of
hardware configuration, device driver interface, and object format
◆ Various middleware and device drivers developed for T-Engine operable
T-Kernel
(T-Kernel/OS and T-kernel/SM)
T-Monitor
eTRON chip
◆ Kit for software developer integrating a CPU board, real-time OS (T-Kernel),
T-Engine board or µ T-Engine board
and development environment
◆ Middleware and applications can be developed on the T-Engine/µT-Engine
using this kit only
◆ Equipped with eTRON chip interface applicable to various Internet fields such as security,
electronic ticketing, and ID cards for user authentication
◆ Assumes a variety of applications and users
• Evaluation board for developing middleware and applications that run on T-Engine
• Can be embedded as is in the final product as an embedded product for AV equipment, etc.
• Can be used as a compact board computer for trials and education
◆ Major specifications of CPU board (T-Engine/VR5500)
• VR5500 (400 MHz) mounted
• RAM: 64 MB (128 MB max.), flash memory: 16 MB
• External dimensions: 120 × 75 mm
• Equipped with various interfaces: PCI bus, ISA-compatible bus, USB (host), serial I/F, LCD I/F
(240- × 320-dot color LCD, touch panel included), eTRON chip, PCMCIA card slot, audio IN/
OUT, infrared input port, etc.
◆ Major specifications of CPU board (µT-Engine/VR4131)
• VR4131 (200 MHz) mounted
• RAM: 32 MB, flash memory: 16 MB
• External dimensions: 85 × 60 mm
• Equipped with various interfaces: PCI bus, ISA-compatible bus, CF card slot, MMC card slot, serial I/F, eTRON chip
Chokanji (Super Kanji) for VR Series
◆ VR Series version of “Chokanji” OS, a proven multilingual character solution, runs on the PC (T-Kernel is employed as a micro-kernel for the
OS.)
◆ 1500 thousand Chinese and other characters of the world can be used together. Various multilingual middleware and applications such as word
processing, spreadsheets, databases, WWW browsers, and visual scripting language (MicroScript) are included and can be operated on
Chokanji.
◆ Used as a platform for high-functional embedded systems that require GUI and file functions, mobile computing, network terminals, cellular
phones, general-purpose remote controllers, PDAs, electronic books, electronic dictionaries, etc.
Pamphlet U15575EJ2V1PF
43
DEVELOPMENT ENVIRONMENT (16/18)
N-Wire IE and ROM Emulators (1/3)
PARTNER-ET II
RTE-TP Series
[Manufacturer] Kyoto Microcomputer Corporation
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
Application Corporation
[Target devices] VR Series
[Features]
◆ Highly functional ROM emulator rivaling that of an in-circuit
emulator
◆ Maximizes available user resources
◆ Supports 64 Kb to 8 Mb ROM (up to 4 ROM units can be
emulated)
◆ Includes hardware break, real-time trace, and profiling functions
◆ Includes a window-oriented source-level debugger with
exceptional operability
◆ Single-character input/output system calls to aid the debugging
process
◆ 4 MBps high-speed parallel
interface realizes fast and easy
operation
◆ 100BASE-TX/10BASE-T
network interface supported
◆ On-chip debug possible via
optional N-Wire connection
(VR4122, VR4131, VR4181A,
VR5432, VR5500)
[Manufacturer] Midas Lab Co., Ltd.
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
CORE Corporation
Application Corporation
[Target devices] VR4122,VR4131,VR4181A,VR5432,VR5500,VR7701
[Features]
◆ Connection possible with MULTI and PARTNER
◆ JTAG/N-Wire-IE with high-penetration emulation via onboard
processor emulation mode
◆ Emulation possible for up to 128 MB, 64-bit width ROM via ROM
emulation mode
◆ Equipped with real-time trace function
◆ External bus trace function can be added
◆ High-speed downloading
• ROM emulation area: 3 to 4 MBps
• Other JTAG access area: 500 KBps or faster
◆ Low voltage compatibility
◆ Various host interfaces
◆ Highly expandable product configuration
PARTNER-J
[Manufacturer] Kyoto Microcomputer Corporation
[Marketer] Naito Densei Machida Mfg. Co., Ltd.
Application Corporation
[Target devices] VR4122, VR4131, VR4181A, VR5432, VR5500
[Features]
◆ JTAG/N-Wire dedicated debugger easily and directly connectable
to special debugging pin of the CPU via N-Wire cable
◆ Downloading speed light-years ahead of conventional JTAG/NWire protocol (500 KBps)
◆ Programs executable in cacheable and DRAM spaces. Real-time
tracing available
◆ Break and real-time trace possible for data access
◆ Compact, lightweight body and simple connection facilitates use in
the field
44
UniSTAC Series, UniSTACII Series,
FEM Series
[Manufacturer/Marketer] Sophia Systems Co., Ltd.
[Target devices] VR4122, VR4131, VR4181, VR4181A, VR4300 Series,
VR5000 Series, VR5432, VR5500
[Features]
◆ High-level language debugger WATCHPOINT™ supported as a
common interface
◆ NEC Electronics, GHS, GNU, GAIO, and other languages supported
◆ Real-time OS (ITRON) supported
◆ High-level debugging possible through combined use with
UniSTAC and FEM
Pamphlet U15575EJ2V1PF
VRSERIES
DEVELOPMENT ENVIRONMENT (17/18)
N-Wire IE and ROM Emulators (2/3)
advicePLUS®
MDX700
[Manufacturer/Marketer] Yokogawa Digital Computer Corp.
[Target devices] VR4122, VR4131, VR4181A, VR5432, VR5500
[Features]
◆ System control block that communicates with the host computer is
commonly used, allowing low-cost introduction of required
functions by selecting the option module realizing the debug
function
◆ Trace clock: 150 MHz
◆ 32 MB emulation
◆ High-speed interface (support for 100BASE-TX, USB planned)
◆ SDRAM trace function
◆ SysAD trace function
◆ Trace capacity: 32K samples
◆ Time stamp resolution: 20 ns
◆ Windows native debugger microVIEW-PLUS employing MDI
• Supports highly functional C/C++/assembler source, which can
fully use the advicePLUS functions
◆ Fully supports various real-time OS necessary for embedded
systems
[Manufacturer/Marketer] Lightwell Co., Ltd. ZAX Division
[Target devices] VR Series
[Features]
◆ High-speed downloading (256 KBps)
◆ Controlled using the MULTI debugger from GHS
◆ Can be used with PC operating on Windows 3.1 or Windows 95
(PC-9801 or IBM PC/AT™)
◆ High-speed parallel interface
◆ Compatible with 8-bit, 16-bit, and 32-bit ROM bus widths
◆ High emulation memory capacity (2 MB standard, expandable to 4
MB)
◆ Target resources such as RAM and I/O are accessible via a
monitor program
◆ Monitor program features open source files, making it easy to add
initialization routines
EMUSE-GII
vision ICE, vision Probe
[Manufacturer/Marketer] Wind River Systems, Inc.
[Target devices] VR5432, VR5500
[Features]
◆ Support from hardware
activation to application
debugging
◆ Advanced debugger
visionCLICK7 that can fully
control GUI
◆ Flash write, target
diagnosis function
◆ Automatic boot code
generation function
◆ Integration with Tornado,
VxWorks possible
[Manufacturer] CATS Co., Ltd.
[Marketer] Midoriya Electric Co., Ltd.
[Target devices] VR4122, VR4131, VR4181, VR4181A, VR4300 Series
[Features]
◆ Logic analyzer-mounted ROM emulator
◆ No complicated probe connection
◆ High-speed downloading
Pamphlet U15575EJ2V1PF
45
DEVELOPMENT ENVIRONMENT (18/18)
N-Wire IE and ROM Emulators (3/3)
CodeTAP™
[Manufacturer] Applied Microsystems Corporation
[Marketer] Applied Microsystems Corporation
[Target devices] VR5432
[Features]
◆ Powerful CodeWarrior/IDE source-level debugger
◆ Crash-proof execution control
◆ Read/write access for registers and memory
◆ Breakpoints (software, hardware)
◆ C/C++ compiler support: CodeWarrior, GNU, Green Hills
◆ Windows 95/98/NT platform supported
CodeTEST® verification tool
[Manufacturer] Applied Microsystems Corporation
[Marketer] Applied Microsystems Corporation
[Target devices] VR Series
[Features]
◆ Performance analysis
Cache hit efficiency improved by RTOS task function performance graph, A/B timer, and call pairs
◆ Coverage analysis
Coverage graph per function, executed/not executed functions in a source are distinguished by
highlight display, BBC, SC, DC, and MCDC supported
◆ Memory analysis
Memory allocation graph, allocation error display, determination of memory leak and fragmentation
◆ Trace analysis
RTOS task, functions, branch execution trace, in-cache execution trace, data trace by printf, up to
500 thousand events traceable
Other Hardware
Logic analyzer
[Manufacturer/Marketer] Sony/Tektronix Corporation
Agilent Technologies
[Target devices] VR4300 Series
[Features]
◆ Directly connectable with CPU socket or foot pattern
◆ Disassemble display
46
Pamphlet U15575EJ2V1PF
VRSERIES
THIRD-PARTY CONTACT INFORMATION (1/2)
Company Name (Japanese)
Accelerated Technology,
Embedded Systems Division of
Mentor Graphics Japan
AI Corporation
TEL
FAX
Japan
Area
Accelerated Technology, Embedded Systems
Division of Mentor Graphics Japan
[email protected]
Company Name (Local)
81-3-5488-3041
81-3-5488-3021
U.S.A.
Accelerated Technology, Embedded Systems
Division of Mentor Graphics
http://www.acceleratedtechnology.com
1-251-661-5770
Toll free
800-468-6853
(U.S. only)
1-251-661-5788
Japan
AI Corporation
81-3-3493-7981
81-3-3493-7993
Other countries
United States Software Corporation
[email protected]
http://www.ussw.com/
1-503-844-6614
1-503-844-6480
Applied Microsystems Japan, Ltd.
U.S.A.
Applied Microsystems Corporation
http://www.amc.com
1-425-882-2000
Toll free
1-800-426-3925
1-425-883-3049
CATS Co., Ltd
Worldwide
Communication and Technology Systems, Inc.
[email protected]
http://www.zipc.com/
1-310-782-6601
1-310-782-6470
CORE Corporation
U.S.A.
MICROTEK INTERNATIONAL, INC.
1-310-687-5826
1-310-687-5954
Other countries
CORE Corporation
81-3-3795-5171
81-3-3795-5170
DENSAN Co., Ltd.
U.S.A.
DENSAN SYSTEMS, INC.
1-949-955-0552
1-949-955-0553
Elmic Systems
U.S.A.
Elmic Systems, Inc.
1-415-421-2700
1-415-421-1771
eSol Co., Ltd.
Worldwide
[email protected]
http://www.esol.co.jp/english/
81-3-5301-2538
81-3-5376-2538
ESS Technology, Inc.
U.S.A.
ESS Technology, Inc.
[email protected]
http://www.esstech.com
1-510-492-1238
1-510-492-1239
Grape Systems Inc.
Green Hills Software, Inc.
Japan
Grape Systems Inc.
81-45-222-3761
81-45-222-3759
U.S.A.
Express Logic, Inc.
[email protected]
http://www.expresslogic.com
1-858-613-6640
1-858-613-6646
Japan
Advanced Data Controls Corp.
81-3-3576-5351
81-3-3576-1772
U.S.A.
Green Hills Software, Inc.
1-805-965-6044
1-805-965-6343
Other countries
http://www.ghs.com/contact/index.html
LASER5 Co., Ltd.
Worldwide
[email protected]
81-3-5818-6626
81-3-5818-6627
Lightwell Co.,Ltd.
U.S.A.
ZAXTEK
1-510-915-1257
1-510-353-9466
Japan
Light Well
81-3-3392-3331
81-3-3393-3878
Korea
KM DATA, INC
82-2-785-3929
82-2-785-3927
Japan
Metrowerks Co., Ltd.
81-3-3780-6091
81-3-3780-6092
U.S.A.
Metrowerks Co., Ltd.
http://www.metrowerks.com
1-512-873-4700
1-512-873-4900
Europe
Metrowerks Europe
41-61-690-7500
41-61-690-7501
Microsoft Corporation
Worldwide
http://www.microsoft.com/windows/embedded/ce.net/default.asp
MontaVista Software Japan Ltd.
Worldwide
MontaVista Software, Inc.
[email protected]
http://www.mvista.com
Metrowerks Corporation
1-408-328-9200
1-408-328-9204
Naito Densei Machida Mfg. Co., Ltd.
Japan
Naito Densei Machida Mfg. Co., Ltd.
—
81-44-822-3681
QNX Software Systems Ltd.
Asia, Pacific
QNX Software Systems Ltd.
[email protected]
81-3-3511-6450
81-3-3511-6451
North America
QNX Software Systems Ltd.
http://www.qnx.com
1-613-591-0931
1-613-591-3579
UK
QNX Software Systems Ltd.
[email protected]
44-0-1223-204800 44-0-1223-204801
France
QNX Software Systems s.a.r.l.
[email protected]
33-1-64 61-81 61
33-1-64 61-81 62
Germany
QNX Software Systems GmbH
[email protected]
http://www.qnx.de
49-511-940910
49-511-94091199
Pamphlet U15575EJ2V1PF
47
THIRD-PARTY CONTACT INFORMATION (2/2)
Company Name (Japanese)
Red Hat Japan
Area
Company Name (Local)
U.S.A.
Red Had, Inc.
TEL
FAX
1-919-547-0012
1-919-547-0024
Other countries
http://www.redhat.com/
Sony/Tektronix Corporation
Worldwide
Tektronix, Inc.
Tektronix Worldwide Export Sales
[email protected]
http://www.tek.com
1-503-627-1916
1-503-627-6905
Sophia Systems Co. LTD.
U.S.A.
Sophia Systems Co. LTD.
[email protected]
http://www.sophia.com
1-408-467-9911
1-408-467-9910
Singapore,
Malaysia,
Hong Kong
Flash Technology
[email protected]
65-749-6168
65-749-6138
Korea
Hankook MDS
[email protected]
http://www.hkmds.com
82-2-2645-0386
82-2-2649-8290
Taiwan
SuperLink Technologies
[email protected]
http://www.superlink.com.tw
886-2-2698-34-56 886-2-2698-35-35
India
Trident Infosol
[email protected]
http://www.tridentinfosol.com
91-80-224-5037
91-80-229-1566
France, Germany, Antycip
Italy
[email protected]
http://www.antycip.fr
33-1-39 61 14 14
33-1-30 76 29 73
UK
Direct Insight
[email protected]
http://www.directinsight.co.uk
44-0-1280-700262 44-0-1280-700577
Israel
Sightsys
[email protected]
http://www.sightsys.co.il
972-3-922-2771
972-3-922-2059
U.S.A.
Wind River Systems, Inc.
http://www.windriver.com/
1-510-748-4100
1-510-749-2010
Other countries
http://www.windriver.com/
U.S.A.
Yokogawa Corporation of America
[email protected]
http://www.advice-plus.com
1-408-941-0130
1-408-941-0121
Wind River Systems, Inc.
Yokogawa Digital Computer
Corporation
Ashling Microsystems Limited
44-0-1256-811998 44-0-1256-811761
Korea
KMData, Inc.
82-02-3281-0333
82-02-3281-3117
Singapore,
Hong Kong,
China
Unidux Electronics Limited
65-6569-3611
65-6566-9271
Other countries
Yokogawa Digital Computer Corporation
[email protected]
http://www.advice-plus.com
81-42-333-6216
81-42-352-6106
Pamphlet U15575EJ2V1PF
48
TEXT.p65
Europe
48
02.12.25, 2:37 PM
VRSERIES
SOLUTION SERVICE (1/2)
NEC Electronics offers services for any application system
development phase, including design consultation, system
integration, and development environment configuration.
Advantages of using solution services
• Concentration of resources on application
• Smooth introduction of new technology
• Reduction of development time
Device SI Business Development Division
Software services
• Platform supply (Java, browser, OS)
• Middleware integration (Codec, speech recognition,
multimedia, Internet, etc.)
System module services
• System module supply
(Bluetooth, fingerprint recognition, small server, GPS,
PDA/DTV, etc.)
This division flexibly supports your system development
needs via three major services. These services are provided
as solution products.
System integration services
• System design
• Reference design
• Design-in support • Consultation
● For inquiries, please contact: [email protected]
What is a solution product?
• This is a product used to help the customer's set development.
• It reduces the customer's set development time.
• It resolves the customer's problems.
Effect of introduction
Development time before introduction
Before introducing
solution product
Application unit development
Original application unit development
Kernel development
Prototype
System design
System debugging
Device driver development
Middleware development
Platform evaluation
Extension board
H/W design
Mockup development
H/W DS (design/sample) development
(Month)
1
2
3
4
5
6
7
8
9
10
11
After introducing solution product
Before introducing
solution product
Original application unit development
Original device driver development
System debugging
Middleware development
Development
TAT reduced
System design
Supplied by NEC Electronics
H/W design
H/W DS (design/sample) development
(Month)
1
2
3
4
5
6
Pamphlet U15575EJ2V1PF
49
SOLUTION SERVICE (2/2)
NEC Micro Systems
NEC Micro Systems supports the steps from system
integration of OS/middleware/driver to development tool
setup/support, and high-end design consultation.
Consultation
• High-end design
• System design
Support
• Development tools
• OS
Software embedding, customization
• Browser
• OS
• Middleware • Driver
● For inquiries, please contact: [email protected]
Coordination in spec design
User
application
API
design
Proposal of development
method, delivery
Middleware
Driver
OS
Integration by NEC Micro Systems
50
Pamphlet U15575EJ2V1PF
Design
Embedding
Hardware
VRSERIES
INFORMATION
Web Site
Information on VR Series products and the development
environments for the V R Series is available from the
Microprocessor page on the NEC Electronics Web site (see
the URL below).
http://www.necel.com/micro/index_e.html
Pamphlet U15575EJ2V1PF
51
MEMO
52
Pamphlet U15575EJ2V1PF
VRSERIES
MEMO
Pamphlet U15575EJ2V1PF
53
SolutionGear, VR Series, VR10000 Series, VR4100 Series, VR4300 Series, VR5000 Series, VR4100, VR4110, VR4120, VR4122, VR4130,
VR4131, VR4181, VR4181A, VR4300, VR4305, VR4310, VR5000, VR5000A, VR5432, VR5500, VR7701, VR10000, VR12000, VR12000A,
VR12000L, VR14000, VRC4173, VRC5477, V850 Series, and EEPROM are trademarks of NEC Electronics Corporation.
MIPS is a registered trademark of MIPS Technologies, Inc. in the United States.
Windows, Visual Studio, and Microsoft are either trademarks or registered trademarks of Microsoft Corporation in the United
States and/or other countries.
Linux is either a trademark or registered trademark of Linus Torvalds in the United States and/or other countries.
UNIX is a registered trademark licensed by X/Open Company Limited in the United States and/or other countries.
EEMBC is a trademark of the Embedded Microprocessor Benchmark Consortium.
CompactFlash is a trademark of SanDisk Corporation.
SyncFlash is a trademark of Micron Technology, Inc.
Jini, Java and all trademarks and logotypes related to Java are either trademarks or registered trademarks of Sun Microsystems,
Inc. in the United States and/or other countries.
Green Hills Software and MULTI are trademarks of Green Hills Software, Inc.
WATCHPOINT and Sophia systems are trademarks of Sophia Systems Co., Ltd.
PC/AT is a trademark of International Business Machines Corporation.
CodeWarrior and metrowerks are trademarks of Metrowerks Corporation.
Tornado, WindPower, WindNet, WindRiver, and VxWorks are trademarks of Wind River Systems, Inc.
GNUPro and red hat are trademarks of Red Hat, Inc.
Ethernet is a trademark of Xerox Corp.
MDX700 is a trademark of Lightwell Co., Ltd.
Neutrino and QNX are trademarks of QNX Software Systems Ltd.
Elmic systems is a trademark of Elmic Systems, Inc.
TronTask!, GOFAST, USFiles, and USNET are trademarks of United States Software, Inc.
MontaVista is a trademark of MontaVista Software, Inc.
ThreadX, NetX, and FileX are trademarks of Express Logic, Inc.
ZIPC is a trademark of CATS Co., Ltd.
eBinder is a trademark of eSOL Co., Ltd.
code l lab , Nucleus, Mentor Graphics, and Accelerated Technology are trademarks of Mentor Graphics Corp.
Bluetooth is a trademark of Bluetooth SIG, Inc.
NetFront, Compact NetFront, JV-Lite are trademarks of ACCESS CO., LTD.
JBlend and microJBlend are trademarks of Aplix Corporation.
PEG is a trademark of Swell Software, Inc.
FlashFX is a trademark of Datalight, Inc.
Memory Stick is a trademark of Sony Corporation.
L-Board and L-Card+ are trademarks of Laser5 Co., Ltd.
advicePLUS is a trademark of Yokogawa Digital Computer Corporation.
CodeTAP and CodeTEST are trademarks of Applied Microsystems Corporation.
TRON stands for The Real-time Operating system Nucleus.
ITRON is an abbreviation of Industrial TRON.
µITRON is an abbreviation of Micro Industrial TRON.
TRON, ITRON and µITRON do not represent names of specific products or products groups.
Purchase of NEC Electronics l2C components conveys a license under the Philips l2C Patent Rights to
use these components in an l2C system, provided that the system conforms to the l2C Standard
Specification as defined by Philips.
54
Pamphlet U15575EJ2V1PF
Exporting this product or equipment that includes this product may require a governmental license from the U.S.A. for some
countries because this product utilizes technologies limited by the export control regulations of the U.S.A.
• The information in this document is current as of November, 2002. The information is subject to
change without notice. For actual design-in, refer to the latest publications of NEC Electronics data
sheets or data books, etc., for the most up-to-date specifications of NEC Electronics products. Not
all products and/or types are available in every country. Please check with an NEC Electronics sales
representative for availability and additional information.
• No part of this document may be copied or reproduced in any form or by any means without the prior
written consent of NEC Electronics. NEC Electronics assumes no responsibility for any errors that may
appear in this document.
• NEC Electronics does not assume any liability for infringement of patents, copyrights or other intellectual
property rights of third parties by or arising from the use of NEC Electronics products listed in this document
or any other liability arising from the use of such products. No license, express, implied or otherwise, is
granted under any patents, copyrights or other intellectual property rights of NEC Electronics or others.
• Descriptions of circuits, software and other related information in this document are provided for illustrative
purposes in semiconductor product operation and application examples. The incorporation of these
circuits, software and information in the design of a customer's equipment shall be done under the full
responsibility of the customer. NEC Electronics assumes no responsibility for any losses incurred by
customers or third parties arising from the use of these circuits, software and information.
• While NEC Electronics endeavors to enhance the quality, reliability and safety of NEC Electronics products,
customers agree and acknowledge that the possibility of defects thereof cannot be eliminated entirely. To
minimize risks of damage to property or injury (including death) to persons arising from defects in NEC
Electronics products, customers must incorporate sufficient safety measures in their design, such as
redundancy, fire-containment and anti-failure features.
• NEC Electronics products are classified into the following three quality grades: "Standard", "Special" and
"Specific".
The "Specific" quality grade applies only to NEC Electronics products developed based on a customerdesignated "quality assurance program" for a specific application. The recommended applications of an NEC
Electronics product depend on its quality grade, as indicated below. Customers must check the quality grade of
each NEC Electronics product before using it in a particular application.
"Standard": Computers, office equipment, communications equipment, test and measurement equipment, audio
and visual equipment, home electronic appliances, machine tools, personal electronic equipment
and industrial robots.
"Special": Transportation equipment (automobiles, trains, ships, etc.), traffic control systems, anti-disaster
systems, anti-crime systems, safety equipment and medical equipment (not specifically designed
for life support).
"Specific": Aircraft, aerospace equipment, submersible repeaters, nuclear reactor control systems, life
support systems and medical equipment for life support, etc.
The quality grade of NEC Electronics products is "Standard" unless otherwise expressly specified in NEC
Electronics data sheets or data books, etc. If customers wish to use NEC Electronics products in applications
not intended by NEC Electronics, they must contact an NEC Electronics sales representative in advance to
determine NEC Electronics' willingness to support a given application.
(Note)
(1) "NEC Electronics" as used in this statement means NEC Electronics Corporation and also includes its
majority-owned subsidiaries.
(2) "NEC Electronics products" means any product developed or manufactured by or for NEC Electronics (as
defined above).
M8E 02. 11-1
Pamphlet U15575EJ2V1PF
55
For further information, please contact:
NEC Electronics Corporation
1753, Shimonumabe, Nakahara-ku,
Kawasaki, Kanagawa 211-8668, Japan
Tel: 044-435-5111
http://www.necel.com/
[North America]
[Europe]
[Asia & Oceania]
NEC Electronics America, Inc.
2880 Scott Blvd.
Santa Clara, CA 95050-2554, U.S.A.
Tel: 408-588-6000
800-366-9782
Fax: 408-588-6130
800-729-9288
http://www.necelam.com/
NEC Electronics (Europe) GmbH
Oberrather Str. 4
40472 Düsseldorf, Germany
Tel: 0211-6503-01
Fax: 0211-6503-327
http://www.ee.nec.de/
NEC Electronics Hong Kong Limited
12/F., Cityplaza 4,
12 Taikoo Wan Road, Hong Kong
Tel: 2886-9318
Fax: 2886-9022/9044
Sucursal en España
Juan Esplandiu, 15
28007 Madrid, Spain
Tel: 091-504-2787
Fax: 091-504-2860
Succursale Française
9, rue Paul Dautier, B.P. 52
78142 Velizy-Villacoublay Cédex
France
Tel: 01-3067-5800
Fax: 01-3067-5899
Filiale Italiana
Via Fabio Filzi, 25/A
20124 Milano, Italy
Tel: 02-667541
Fax: 02-66754299
Branch The Netherlands
Boschdijk 187a
5612 HB Eindhoven
The Netherlands
Tel: 040-2445845
Fax: 040-2444580
Seoul Branch
10F, ILSONG Bldg., 157-37,
Samsung-Dong, Kangnam-Ku
Seoul, the Republic of Korea
Tel: 02-528-0303
Fax: 02-528-4411
NEC Electronics Shanghai, Ltd.
7th Floor, HSBC Tower, 101Yin Cheng Road,
Pudong New Area, Shanghai P.R. China
Tel: 021-6841-1138
Fax: 021-6841-1137
NEC Electronics Taiwan Ltd.
7F, No. 363 Fu Shing North Road
Taipei, Taiwan, R. O. C.
Tel: 02-2719-2377
Fax: 02-2719-5951
NEC Electronics Singapore Pte. Ltd.
238A Thomson Road,
Novena Square, #12-08
Singapore 307684
Tel: 6253-8311
Fax: 6250-3583
Tyskland Filial
P.O. Box 134
18322 Taeby, Sweden
Tel: 08-6380820
Fax: 08-6380388
United Kingdom Branch
Cygnus House, Sunrise Parkway
Linford Wood, Milton Keynes
MK14 6NP, U.K.
Tel: 01908-691-133
Fax: 01908-670-290
G02.11-1
Document No. U15575EJ2V1PF00 (2nd edition)
Date Published December 2002 N CP(K)
C
2002
Printed in Japan