ETC 今日电子2011.01

Viewpoint
李晓延
E-mail: [email protected]
编辑视点
神奇的游戏控制器
将打开电子技术的新大门
本人 是个 游戏爱 好者 ,工 作之余
叫Kinect,取自“kinetic”(运动)和
域,就可能出现工人不用操纵杆就能控
喜欢坐在电视机前锻炼大拇指。时间一
“c o n n e c t”(沟通)两个词,是一个
制设备,司机不用方向盘就能控制车辆
长,难免造成身体素质大幅下降。想进
高级的数字图像感应系统。其由两个传
的情况。人们对机器的驾驭将会更加的
行下身体锻炼,但惰性使然,休息时还
感器,电机和麦克风阵列组成。两个传
自如。
是多选择在家静坐。为此,很想在游戏
感器包括了8位VGA RGB传感器,用来
在K i n e c t诞生之前,苹果公司的
和运动之间找个平衡点,但是一直没有
从景物中分离出玩家的身形;结合红外
i P a d被认为是史上最畅销的消费电子
好的方法。虽然也见过别人在任天堂的
发射器的红外传感器,则通过感测接收
产品,但是这一桂冠已经被Ki nec t夺取
w i i前面手舞足蹈,但终觉得此物最多
的红外信号来判断玩家和电视之间的纵
了。在上市后的25天内,Ki nec t销售了
带来瘦臂的效果,离真正的运动还是有
向距离。电机是用来调整设备的俯仰角
250万台,而i P a d售出200万台设备则
十万八千里的距 离。就在一片迷茫之
度和转向,麦克风阵列则用来进行语音
花费了2倍的时间。而据最新的统计,
际,忽然得知微软公司要出一个新的游
识别。系统配有一套精密的数字识别控
K i n e c t的销售量已经突破了400万台,
戏控制器,能让玩家彻底远离沙发,实
制软件,它能根据传感器返回的信息,
在年底前有望达到600万台。对电子行
现全身运动,心中是既惊喜又怀疑。要
给玩家建立一个数字骨架。这个骨架以
业来说,这就意 味着另一个机会的到
知道此物真有如此功效,那可是万千蜗
人体上的二十个位置为节点,根据每秒
来。当初,苹果的iphone手机热卖的时
居宅男的福音,但是根据以往的经验,
三十帧的采集数据,灵活地模拟人体的
候,电容触摸屏控制器获得了巨大的商
很多产品往往是带给用户的希望越大,
活动。在这个过程中,还用到了机器学
机,因为跟进的智能手机都开始采用多
最终失望也越大。
习技术,即根据玩家的动作特征来判断
点触控屏技术,从而形成了一种风潮。
等到 今年的 十一 月, 该产品
其下一步的动作,从而做出调整。挑剔
而Ki nec t的热卖,很可能会使很多厂商
正式发售。在观望了两周过后,终于下
的读者可能会认为这套玩意并不新鲜,
做出基于图像捕 获技术的类似控制产
决心买了一台回家。拿到实物的当晚就
不过是w i i控制器的一种改良。但是,
品,这必将给图像传感器带来一轮新的
实际测试了一番,在气喘吁吁之后,确
这个设备背后的技术更加复杂,特别是
商机,也会给高速ADC、高速DSP等器
实体会到了该产品的强大功能。可以这
需要很多人工智 能技术作为基础。而
件带来广阔的市场空间。
么说,玩家的身体就是控制器,你做的
且,这一改良把人机交互技术又提升到
K i n e c t本身的技术也许不算最领
任何动作都能反应到屏幕上,虚拟的人
了一个新的水准。它把控制者的双手和
先,但是它的创意却是令人赞叹的。也
物会变成你在虚拟世界中的一个映像,
双脚从控制设备中彻底解放出来,实现
许,在它的影响下,新一轮的电子技术
你会融入到这个 虚拟世界中。说了半
了无接触的控制功能。我们可以大胆地
变革就要开始了。
天,还没有介绍 这个产品。它的学名
设想一下,如果这种机器推广到其他领
Outlook
技术前沿
物理所成功制备微纳米金属玻璃纤维
最近,中科院物理研究所/北京凝
性成型条件很难控制。
克服了金属玻璃脆性这一限制其应用的
聚态物理国家实验室(筹)汪卫华课
汪卫华课题组通过给金属玻璃预
致命缺点,如金属玻璃纤维柔韧性很
题组易军等人发展了一种新的工艺方
加一定的力,当金属玻璃被加热到过冷
好,可进行编织。由此可以预计金属玻
法,成功制备出金属玻璃纤维。相关
液相区的时候,其黏度会下降。当黏度
璃纤维将在微纳机电系统、复合材料、
结果发表在Adv Eng Mater 12, 1117
下降到无法承受所施加的外力的时候,
功能织物、传感器等领域有广泛的应
(2010)上。
金属玻璃棒将进行超塑性变形,从而形
用。此外,当金属玻璃的尺寸小于这些
能不能利用制备玻璃纤维的热塑
成金属玻璃纤维。该方法可以制备出了
特征尺寸的时候,其物理行为将跟宏观
性成型法来制备金属玻璃纤维是目前大
一系列表面光滑度极高,尺寸均匀而且
尺度金属玻璃的物理、力学行为大相径
家关心的问题。国内外科学家在这方面
横截面圆整度高的微纳米尺度不同体系
庭。这些表面光滑、尺寸可控、均匀和
做过很多尝试,但始终没有成功。其主
的金属玻璃纤维。获得的金属玻璃纤维
高圆整度横截面的微纳金属玻璃纤维是
要原因在于金属玻璃的过冷液相区没有
尺寸从纳米到微米可控,所跨越的尺度
研究金属玻璃力学性能,形变机制以及
普通玻璃的宽,其黏度随温度的变化速
范围是70纳米到数百微米。进一步的性
玻璃转变等非晶物理中基本问题的模型
率极快,而且金属玻璃在过冷液相区很
能研究发现,金属玻璃纤维不仅具备金
材料。
容易被氧化。所以制备玻璃纤维的热塑
属玻璃的优异力学和功能特性,而且还
—Scott
金属-绝缘体-金属的进展
会改变现代电子技术
俄勒冈州立大学(O S U)的研究
传统的硅基电子器件同控制电
于获得的材料来实现,如铜、镍或
者开发了一种高性能的金属-绝缘体
流的晶体管一起工作。尽管速度快且
是铝。“它更简单,便宜且易于生
-金属二极管,其可能会成为新电子
价格较低,但是电子在这些设备中的
产,”Keszler教授这样表示。OSU的
技术的基础。“之前,用其他材料制
移动仍然受到限制。相比之下,金属
二极管是在相对低的温度下构建的,
造的二极管,产量和性能都很差”,
-绝缘物-金属,或是M I M二极管能
它使用的技术能在很大面积的基底上
OSU化学系的Douglas Keszler表示,
以一种不同的基础方法来实现新的功
生产器件。想了解更多的信息,请登
“但这次的成果是制造电子产品的基
能。在这个系统中,器件就像三明
录http://oregonstate.edu/ua/ncs/
础方法的革新,相比于传统方法,它
治,绝缘体被两层金属夹在中间。当
archives/2010/oct/advance-could-
高速、产量大且低价,是消除对目
器件工作的时候,电子不必穿越绝缘
change-modern-electronics.
前电子器件电流速度限制的最好办
体,它会同时出现在两面金属上。
法。”
—Paul O’Shea
这种器件能用许多便宜和易
今日电子 · 2011年1月
25
技术前沿
Outlook
ADI: 不懈创新,永无止境
ADI公司长期致力于培养本土创新
人才,激发年轻人的创新能力和创造精
销毁固态硬盘数据”同时获得专业组
治疗提供指导。此系统可以用在敬老
最佳创意奖。
院或医护服务站等,便于医护人员对
病人进行看护。
神,进而不断提高他们将创新思维与实
此次参赛作品中,医疗电子方面
践相结合的能力。作为ADI大学计划的
的作品很多。对此,A D I董事会主席
一个重要组成部分,中国大学创新设计
兼合伙创始人Ray Stata先生说:“这
竞赛自2006年由AD I公司设立以来,已
反映了健康问题越来越受到重视。在
分别在电子科技大学、北京工业大学、
西方国家,便利的医疗设施相对发
西安电子科技大学和深圳大学成功举
达,中国在这个领域正飞速发展。”
办了四届。2010年度竞赛由华中科技大
其中,东南大学的“一种基于无线传
获得两项大奖的国防科技大学参
学主办。与往年不同的是,今年将由
感器网络的多生理参数监护系统”吸
赛队的“远程遥控擦除销毁固态硬盘
ADI“客户技术支持中心”团队亲自为
引了很多观众的眼球。
数据”提出了一种基于固态硬盘的防
图2
国防科技大学的“远程遥控擦除销毁
固态硬盘数据”
比赛全程提供专业技术的支持与指导,
失密遥控销毁系统的实现方案。设计
同时接受参赛队的咨询并负责答疑。为
将SSD可快速擦除数据技术、Flash脉
便于作品创作,ADI公司为本届大赛提
冲击穿技术和G S M远程遥控技术紧密
供了120余种样片供参赛队选择,其中
结合,保证当用户发现笔记本电脑丢
包含多款最新发布的、价值较高的器
失或失控后可主动通过短信或电话方
件。本届大赛共吸引了来自全国110多
式发起销毁操作。由于销毁采用Flash
所高校的460支团队报名参加,包括北
京大学、清华大学、浙江大学、华中科
技大学等知名高校,参与师生人数达到
1433名。
图1
东南大学的“一种基于无线传感器网
络的多生理参数监护系统”
系统主要测量体温,脉搏和肌
数据擦除与Flash销毁相结合的方式,
从而可以保证用户敏感数据销毁后的
不可恢复。另外,在设计中还加入了
电信号三种生理参数,其传感器分
防拆除检测电
大赛经过激烈角逐,最终,专业
别为D S 18 B20,P V D F压电薄膜和
路、销毁进程
组一等奖分别由华中科技大学参赛队
铜 电极 。 无 线传 输 模 块选 用 A D I 公
断电继续管理
的“基于有H a l l传感器的直流无刷电
司的A D F7020,测量的有效距离为
电路等,从而
机的电动车辆驱动系统”、国防科技
150m。无线传感木块中的微处理器选
为用户的敏感
大学参赛队的“远程遥控擦除销毁固
用A D I生产的A D u C7026。上位机用
数据提供了一
态硬盘数据”,以及浙江大学参赛队
V C++6.0编程。本系统可实现对病人
道安全屏障。
的“基于眼电信号的无线便携式的人
的实时监护,并为每个病人提供了数
机交互系统”获得。“远程遥控擦除
据库,以记录其生理参数,为以后的
26
今日电子 · 2011年1月
A D I董事
图3 ADI董事会主席
兼合伙创始人Ray Stata
会 主 席 兼 合 伙 先生参观参赛作品
技术前沿
Outlook
创始人Ray Stata先生
作品,一些作品已经成功
论水平日益提高、实践能力不断发展
和A D I亚太区总裁郑
的投入到产业化和商业化
的重要标志。作为主赞助商,我们希
永晖先生饶有兴趣的
进程中。
望能够通过这种长期的战略性合作计
郑永晖先生表示,
划,为有志学子提供一个展示自身创
“令我们欣慰的是,今年
造才能的广阔平台,为企业选拔适合
的A D I中国大学创新设计
其未来发展的后继人才,更为中国电
S t a t a先生和郑永晖先生指出:中国大
竞赛,参与人数众多,所涉及的题材
子行业的快速崛起储备优秀合格的生
学创新设计竞赛已成功举办了四届,
十分广泛,参与热情与参赛作品的质
力军。”
涌现出大量的极具创新和实用价值的
量均高于往届,这是中国高校人才理
参观了参赛作品,并
接受了记者的访问。
在访问中,R a y
图4 Ray Stata先生和郑永晖
先生接受访问
黑色星期五消费电子产品购买额同比增长4%
据美国消费电子产品协会(C E A)的调查结果,美国消
销售转移到了其它时段,包括零售商11月提前促销、黑
费电子产品的黑色星期五销售情况好于预期,购买额比
色星期五销售延长到周末,以及从感恩节到网络星期一
去年同期增长4%。
据C E A的调查,在所有美国购物者中,大约58%在黑
(Cyber Monday),消费者对零售商网站上推出的网上优惠活
动兴趣增强。
色星期五购买了某种消费电子产品。2009年同期该比例
平均 来看,整 个黑色 星期五 每名消费 者平均 花费
只有54%。2010年消费市场趋势看好,是因为消费者对
365.34美元,去年同一个周末是343.31美元。据美国零
新款游戏机和动作驱动附件的兴趣高涨,如微软Xbox 360
售联合会的调查,整个黑色星期五周末的总体消费额是
的体感游戏控制器Kinect、索尼的PlayStation Move导航控
450亿美元。
制器。同时消费者对新款电子产品的兴趣也很强烈,如
在网络星期一——感恩节后的第一个星期一,美国
Barnes & Noble的Nook Color彩色电子书,以及苹果公司的
人网上购物支出超过10亿美元,比去年同期增长16%,
iPad平板电脑。
使今年的网络星期一成为历史上最大的网上购物日。
为了判断黑色星期五消费者支出与商店客流情况,
以及随后而来的每年最热闹的周末销售情况,iSuppli公司
液晶电视折扣大
综合考虑了美国零售联合会(national retail federation)和CEA
根据iSuppli公司的研究,在黑色星期五促销活动中,
的调查结果。
据美国零售联合会,今年黑色星期五整体零售销售
零售商的液晶电视报价非常优惠。沃尔玛的一款32英寸
E me rso n电视报价是200美元,先到的顾客有机会购买一台
额比2009年同日小幅增长0.3%,从106.6亿美元上升到
甚至两台。黑色星期五广告中的其它电视最低价包括,
106.9亿美元。由于越来越多的消费者选择在这些特殊日
Fry’s Ele ctronics的一款32英寸L G高清电视为197美元,
子购物并利用商家的促销机会,据称今年客流量比2009
Target的一款40英寸西屋1080p电视价格是298美元,Sears
年增长2.2%。美国零售联合会也表示,今年黑色星期
的一款40英寸三星1080p电视报价是497.99美元。
五周末约有2.12亿人购物,不是前往商店就是在网上购
物。
供应过剩促使商家大幅打折,推动黑色星期五的总
体电视销售达到了不错的水平,与2009年相比增长率达
到一位数。
本来可以更好
消费 增长,对 于黑色 星期五 来说不错 ,但如 果不
是一些因素的不利影响,结果可能会更好。这些因素把
考虑到黑色星期五的销售和促销情况,iSuppli公司认
为,三星、松下和Vizio是业绩最好的液晶电视品牌,而沃
尔玛和百思买则是电视零售商中的赢家。
今日电子 · 2011年1月
27
专题特写:电路保护
Features
以创新的保护方法适应 ESD
保护界线变化
安森美半导体Leila Movahedian
设计工程师为了应对诸如HDMI、
S AT A、MI PI和Di spl a yPo rt等新的
关的失效可能发生的电压和电流电平
电路板介电厚度、板材料和走线上的元
也变小。
件等。增加任何ESD保护电路(由于其
输入/输出(I/O)接口要求的更高数据
2 片 上 保 护 减 少 —— 最 新 芯 片
本身的电容缘故)会影响线路的阻抗。
率,必须考虑降低E S D保护器件的电
越来越容易遭受E S D损伤的情况已经
因此,有必要通过阻抗匹配来为这种情
容。然而,由于更精微的工艺几何尺
广为人知。E S D目标规范行业委员会
况提供补偿。
寸更易受到E S D冲击的影响,制造商
(Industry Council on ESD Target
优化布线的最重要目标是匹配整
迫切期望提供更高等级的保护。传统
S p e c i fi c a t i o n s)近期公布了降低片上
条线路上的阻抗,而在HDMI规范中,
E S D架构如今极力提供更适宜的保护
E S D保护标准等级的举措,使得外部
允许的阻抗是100Ω±15%。在线路中
等级并支持所期望的数据率,使得设
E S D保护电路对提供足够的系统可靠
增加任何保护器件,无论是二极管、
计界面临左右为难的窘境。因此,工
性更为关键。
压敏电阻 、抑制器或聚合物,都会使
程师必须在系统可靠性和信号质量之
3 应用环境变化——笔记本电
电容增大,源头不仅来自器件本身,
间做出困难的折中取舍,实际上会使
脑、手机、MP3播放器、数码相机及其
还包括将器件与印制电路板(P C B)连
系统整体性能在某种程度上受损。对
他便携消费类设备市场海量扩张,而所
接在一起的焊盘。电容增加令信号失
于设计能够同时符合更高数据率和更
有这些设备的使用环境都未受控制(如
真,并可能导致视频质量较差,甚至
好E S D保护新需求的芯片的制造商来
未使用腕带接地线或传导型/接地型桌
是兼容性测试失败。有鉴于此,E S D
说,要实现这个目标极具挑战性。
面)。在这些环境下,用户可能接触I/O
保护供应商着重于降低器件的电容,
连接器引脚,同时连接线缆或断开线缆
但如前所述,这样会对E S D保护性能
连接。在正常使用期间,便携设备也可
构成负面影响。例如,可能通过缩减
能积累电荷,并在连接至计算机或电视
尺寸来降低二极管电容,但这可能导
时,将积累的能量释放。
致电阻增加,使得钳位电压更高,以
ESD保护领域的变化
由于采用更小的制造几何尺寸、
片上保护减少及应用环境不断变化,
E S D保护的界线已经大幅改变。我们
依次来审视一下这几种因素。
及抵达受保护器件的残余电流更大。
使用外部补偿来均衡线路阻抗
1 几何尺寸更小——随着当今最
高速布线方面的一个关键因素是
先进的专用集成电路(A S I C)半导体工
整条传输线路提供匹配的阻抗。影响特
系统设计人员为了给保护器件电
艺节点降至90纳米及以下,与E S D相
征阻抗的变量有很多,包括走线宽度、
容增加提供补偿,常常需要更改设计,
28
今日电子 · 2011年1月
增加电容的补偿技术
Features
降低电路板上其他位置的电容,或增加
新的保护方法
专题特写:电路保护
线方面变量无关的匹配阻抗。
安森美半导体开发出的PicoGuard
额外的电感。典型补偿技术包括:
新方法的技术原理
1 增加共模扼流圈或滤波器——
X S架构可以维持高速数据接口的信号
采用这种方法时,共模扼流圈的额外
完整性,同时提供更强的E S D保护。
图2显示了标准E S D保护元件的特
电感会补偿E S D器件的电容。不利的
这种架构向上布线并穿过封装,而不
征图。电感元件表示的是源自邦定线
是,在设计中增加高速共模扼流圈成
是位于封装下面,借此消除走线寄生
和连接至保护元件的P C B走线的寄生
本可能非常高,应该尽可能避免。
参数。这种方法将电感与E S D二极管
电感。在这种标准元件模型中,电感
2 减小保护器件所在区域的走线
集成在一起以匹配信号线路阻抗,从
元件为抵御高转换率(sl ew rate)ESD
宽度(增加走线电感)——这通常称作
而摒弃任何类型的外部补偿。集成电
冲击的高阻抗,限制了保护元件快速
走线颈缩(trace necking),在仅要求
感降低钳位电压及受保护AS I C所流入
吸收E S D能量的能力,使得更多的能
少量补偿时可能非常有效。这种方法
的残余电流,从而改善ESD性能。
量进入受保护的ASIC。
图1
图2 传统ESD器件表征
的一项局限是,在薄介电板上,如果
E S D保护器件的电容过高,就难于提
供匹配的阻抗。
3 降低走线下的电容——可以通
过消除走线下面的任何接地层及仅在
ESD元件所在区域降低电容来实现。
PicoGuard XS与传统ESD保护设计比较
虽然以上各种技术都业已成功使
X S封装的架构(见图1)让接地层一
用,但它们仅是极佳的次优选择,因
直贯穿于封装的下面,这表示所有焊盘
为会使设计复杂度和成本升高。它们
至裸片的线邦定的长度都相同,使得
要求设计和制造环境受到良好控制,
电感匹配,无须电路板设计人员采取
使用更昂 贵的外部元件 (如 共模扼流
任何补偿措施。此外,在另一个确保
圈)或更精密的P C B,令总体物料单
提供可靠ESD方案的重要因素——动态
作为对比,PicoGuard XS架构的
(B O M)成本更高。使用这些技术的另
电阻(RDYN)方面,采用PicoGuard XS
电感元件与连接至受保护A S I C的导电
一项主要不足是许多工程师没有足够
架构的产品能够比其他针对高速差分
通道串联,如图3所示。这元件实际限
的经验来设计阻抗受控的布线。欠缺
数据线路保护应用的传统穿越型(flow-
制冲击受保护器件的电流和电压。首
这方面的经验常常会导致设计错误,
through)元件表现得更好。
先,ESD冲击会出现的连接器端的电感
图3 PicoGuard XS器件表征
使开发成本和耗用时间如滚雪球般增
这种架构也省却了扼流圈的需要
元件L1存在电抗,这电抗的方向与ESD
长,因为可能涉及到多次电路板返
或P C B上的走线宽度修改。而且,这
电流方向相反,帮助限制峰值冲击电
工,以及设计和制造失控等。最后,
种架构与电路板堆叠无关,使系统设
压。然后,A S I C端的电感元件L2的电
许多大型制造商倾向于与多个P C B供
计人员能够使用多个电路板供应商的
抗迫使更有限的ESD冲击电流通过ESD
应商合作,故难于确保一种布线在所
产品,无须为各个供应商的产品进行
保护元件分流。与此同时,这两个串联
有这些不同供应商提供的P C B上都能
定制阻抗匹配。这种架构能够提供与
元件的电压降也发挥作用,降低受保护
发挥实效。
所涉及P C B层数、介电厚度及其他布
ASIC遭受的钳位电压。
今日电子 · 2011年1月
29
专题特写:节能
继无铅专题之后,《今日电子》杂志推出有关节能的系列文章,重点讨论如何利用半导体技术
提高能源,开发和利用新能源。欢迎业界厂商和节能方面的专家投稿。
用于风电系统的关键元件
Vishay公司
Bertram Schott
全世界使用风能发电的系统数
限制了元器件数量,并要求电路能在极
波、短路器和交流滤波电阻。预充电阻
量正在增加。在2009年,全欧洲的风
端温度和振动的艰苦环境下保持20年的
能限制浪涌电流在开关开启时进入直流
电装机容量是75G W,比2008年增加
使用寿命。
链路电容。为了做到如此,该器件需要
了10G W;在美国,风电装机容量是
在这篇文章中,我们将介绍用在风
高单脉冲能量和高额定电压。电阻的导
35G W,中国则是25G W,分别比2008
轮机和电网中的“大”电阻和电容的功
抗也有助于限制浪涌电流。因此,绕线
年增长了10G W和14G W。在全世界范
能,以及在这些应用对器件的要求。电
电阻是个最好的选择。用于预充电阻的
围内,风电装机容量在2009年增加了
容的应用包括了直流链、IGBT缓冲器和
单脉冲能量通常为5J。
38G W。全世界总计安装了100 000台
交流滤波器件。电阻应用包括了在电容
当斩波开关打开时,斩波电阻限制
风轮机,能满足全球能量需求的2%。
加压时的限流、直流斩波、短路器触发
了电流。斩波器通常以非常高的频率来
到2010年底,风电装机容量将达到
和电流测量。用在风轮机控制电路中的
控制直流电压。因此,电阻必须能耐高
29GW,对应增加了12 000台风轮机。
小电容和电阻,不在本文讨论范围内。
压和具有高额定可重复性脉冲能量(相
随着对风能的需求持续增长,对符
合风轮机要求的元件的需求也在相应增
加。风轮机中的现代功率电子技术不仅
当于高额定持续功率)。斩波电阻中必
电阻
须有低寄生电感,因为要工作在千赫级
风轮机中的电阻包括了预充、斩
别的高开关频率下,这可以由薄膜技术
来获得。在小体积中获得高额定功率的
需求,由可安装在散热器件中的电阻来
满足。这个电阻能和斩波开关一样被安
装在散热系统中。
短路器电阻限制了电流和电压,
以防止低、高电压通过。风轮机应用
对该器件的要求包括了高达4M J的能
量脉冲容量,10~100m Ω的电阻,1~
20m W的额定功率,最大额定电流为10
~20kA,典型失效周期为200ms。
在R C滤波器中,交流滤波电阻和
图1 风轮机中的电阻
30
今日电子 · 2011年1月
交流滤波电容一起使用;而交流滤波电
专题特写:节能
图2
在风轮机中的电容
阻同输出变压器中的感抗一起使用,可
高的电容体积率和性价比。但是铝电容
以组成R L滤波器。对于交流滤波器电
的额定电压是450V,因此该器件必须被
容来说,一个高的持续额定功率是必须
当作分压器使用,这会降低C/V效率,
的。波纹带结构增加了冷却效果,绕线
并增加成本。其缺点是缺少防备介质故
电阻器的感抗则对滤波效应有所贡献。
障的自恢复机制,且电解液损耗会导致
分流电阻器主要用于测量。其要求
寿命有限。然而,铝电解电容是在k W
包括:800~900A的额定电流,0.1~
0.6m Ω的阻抗,额定功率为500W,温
-6
度系数为100×10 /K。
级别的小风电系统的最好选择。
缓冲器电容减少了在功率半导体开
关应用中的电流和电压尖峰,可以保护
半导体和减少总损耗和E M I。风轮机需
要电容小于1μ F的器件,额定电压的
电容
在风轮机中的电容包括了直流链
范围是1000~1200V。
路、缓冲器和交流滤波电容。直流链路
交流滤波电容减少了行频率中的谐
电容用于稳定直流链路电压。无论是金
波。其应用要求为760V~24k V的电压
属薄膜或是铝电极,在风轮机中的电感
和50~800kVAr的功率。
容量应该为3300~4700μ F,额定电压
当从直流链路、缓冲器或交流滤
为690~1000V。风轮机中的薄膜电容
波电容,以及预充电、斩波器或短路器
有两个优势:在介质被电击穿后的自恢
电阻中为这些风轮机选择核心元件的时
复功能;不会因液体电极的损耗而影响
候,设计者不应该仅仅寻找拥有所需特
寿命。
性的器件,还应从牢靠的设计出发,以
铝电解电容可以使用在直流链路电
保证系统能在20年时间内可靠地工作。
容器中。其优点是具有比薄膜电容器更
今日电子 · 2011年1月
31
多媒体/娱乐电子
构建个性化家庭联网应用
德州仪器公司
Khasim Syed Mohammed和 Chase Maupin
开发人员使用可定制开源软件与
台,并结合适当的开源软件,开发人员
低成本低功耗开放式硬件设备,可控制
能够加入其他开发人员社群,共同解决
成本节省使他们能够在存储空间
整个家庭中的数字媒体,以自己想要的
各种共同面临的问题。系统对网络媒体
和连接选项上投入更多,它们是媒体服
格式通过家庭网络高效地组织、播放和
服务器的要求包括以下一些方面。
务器最重要的两个部分。更低的功耗不
的Linux软件协议栈。
捕获各种媒体内容。联网家庭中的设备
性能与功耗。对于媒体服务器而
仅意味着电费的降低,同时还可有效降
多种多样,其中包括录制最喜爱电视节
言,开发人员一般只需足以传输文件和
低热量生成,从而可取消对主动式冷却
目的电子设备、多房间音乐或视频播放
运行基本软件的性能。A R M9或A R M
的需求,在提高可靠性的同时,降低噪
器、网络媒体服务器乃至完整的家庭媒
Cortex-A8等支持全面存储器管理单元
声。
体中心。
的A R M处理器与其他架构相比,不但
存储外设。媒体服务器的重点在于
用于组织、存储和播放媒体内容
可帮助开发人员节省成本与空间,降低
存储容量。找到有适当存储选项的电路
的技术正在发生变化,以满足消费者
功耗,同时还可帮助他们运行功能齐全
板非常重要。对媒体服务器而言,连接
的需求。但是,典型的现成系统价格
高昂,而且没有客户想要的功能集。
如BeagleBoard-xM与HawkBoard开
发板等开放式硬件以及诸如G e e X b o X
u S h a r e媒体服务器与X B MC媒体中心
等开源软件可为开发人员提供控制创建
个性化、低成本、可扩展系统的能力,
帮助他们迅速弥合现有功能与期望功能
之间的差距。
网络媒体服务器
网络媒体服务器可作为联网家庭
应用的骨干,让所有者在多个本地或远
程网络设备中共享媒体内容。使用具有
最佳性能、外设与连接选项的开放式平
34
今日电子 · 2011年1月
图1 用于组织、存储和播放媒体内容的技术正在发生变化,
以满足消费者的需求
多媒体/娱乐电子
用户接口(UI)。开发人员要使用
硬盘驱动器的S A T A接口可能是最合适
与数字现场网络联盟(D L N A)媒体共
的存储选项,不过还要选择M M C/S D
享的普及型应用是支持i T u n e s集成的
其媒体播放器,可能还需要良好的U I
闪存卡或USD驱动器等其他选项。多种
Ge eX boX uSha re(ht tp://usha re.
来帮助他们控制媒体内容和回放。该
存储连接选项可实现备份存储或现有存
g e e x b o x.o r g/)或F i r e f l y(w w w.
U I不仅能够支持播放视频,还能够在
储介质的重复使用。
fireflymediaserver.org)。
屏幕上创建和渲染图形,一般是通过正
●
连接。网络媒体服务器的另一个要
媒体转码。使用DS P或视频加速器
在播放的视频覆盖控制图形。这就需要
件是连接,其不但可为用户实现对网络
等其他硬件资源来完成快速运行中的媒
一个可生成高清图形并支持将图形和视
上其他设备的访问,例如,个人及膝上
体转码可让媒体服务器在无须存储多个
频混合成统一输出的系统。XBMC媒体
型电脑、视频游戏控制器以及智能电话
版本的相同文件的情况下,将内容交付
中心(http://xbmc.org)等现有开源
等,而且还可为其实现对诸如Web无线
给各种设备。这样可以节省存储空间,
应用可满足这种UI需求。
电广播等在线媒体内容的存取。大多数
简化媒体内容的组织。
开源开发板都提供10/100G b以太网,
这是一种高可靠选项,而USD Wi-Fi
●
性能与功耗。要支持上述各种音
频/视频内容以及用户接口,使设备具
网络媒体播放器
有响应能力,用户将需要更高的性能,
除了在网上存储和提供媒体内容
其性能可能将超过媒体服务器。然而,
用户接口(U I)。要浏览媒体数
外,专用回放(渲染)设备对联网家庭
该设备功耗应低至可以取消风扇,因此
据库,执行系统配置和查看其他网
体验而言也很重要。在这种情况下,需
其不需要有噪声的风扇或大型器件,以
络设备,开发人员就需要某种形式
要支持高清视频回放以及对多种格式的
便使用户尽情欣赏媒体内容。
的用户接口(U I)。它可以是运行使用
支持。网络媒体播放器的系统要求包
Q t等图形U I创建工具套件创建的U I
括:
道尔芯片则可用于替代以太网线缆。
的L C D面板,也可以是支持远程管
●
音频/视频解码器。对
理,并使用Li n ux、A p c h e、My S QL
MP EG2、MP EG4与H.264等普及型视
与P H P(L A M P)等标准软件协议栈的
频编解码器以及Ogg Theora和WebM
W e b接口。要实现U I输入,既可使用
等不常见编解码器的支持,对网络媒体
UART或USB接口进行遥控通信,也可
播放器而言非常重要。此外,使用支持
使用USB主机连接鼠标和键盘。每个开
各种容器文件格式的GStreamer(www.
图2 BeagleBoard-xM等开放式硬件可帮助开
发人员都可通过开放式软件,根据系统
gstreamer.net)等开源多媒体框架可帮
发人员创建个性化、低成本的可扩展系统,
帮助他们迅速弥合现有功能与期望功能之间
功能与要求进行个性化选择。
助开发人员确保不会遇到不能播放的文
的差距
件,即便是遇到,也可通过修改软件来
超越网络媒体
媒体管理软件。L i n u x操作系统
可帮助开发人员为各种媒体专用应用
添加支持。
由于本文简要介绍的解决方案都是
音频/视频输出。拥有可直接
建立在易于获得的开放式软硬件基础之
务器而言,管理服务器上的内容,将
连接至HDMI输入的DVI-D输出,并拥
上的,因此开发人员不应局限于媒体服
视频、音乐与图片放入有组织的文
有立体声音频输出,就可使用现成的线
务器和媒体播放器。他们可以选择如网
件夹,是一项非常重要的工作。通
缆实现高清电视等消费类电子设备的连
络视频摄像机或家庭自动化等其他家庭
用即插即用(U P n P)音频/视频(A/V)
接。
联网方式。
选择现已上市的解决方案。对媒体服
●
(下转第39页)
今日电子 · 2011年1月
35
多媒体/娱乐电子
掌握音频协议和标准
ADI公司 Aseem Vasudev
过去几年里,音频技术取得了巨大
进步,特别是在家庭影院和汽车音响市
与扬声器之间、D V D播放器与A V R之
专业音频所用的A ES/E BU标准发展而
间,而不必将数据转换为模拟信号。
来。二者在协议层上一致,但从X L R
场。汽车中的传统四扬声器立体声系统
本文将讨论与音频行业相关的各种
到电气R C A插孔或光学T O S L I N K的
正逐渐被多声道多扬声器音频系统所取
标准和协议,同时也会探究不同平台的
物理连接器发生了改变。本质上,S/
代。在印度,带双扬声器立体声系统的
音频系统结构以及各种音频算法和放大
PDIF是AES/EBU格式的消费型版本。
电视机现已被带5.1多声道的家庭影院
器。
S/P D I F接口规范主要由硬件和软件组
系统所取代。
当今的音频设计挑战在于如何模拟
成。软件通常涉及S/P D I F帧格式,硬
标准和协议
件则涉及设备间数据传输所使用的物理
实际的声音并通过各种音频设备进行传
S/P D I F标准——该标准定义了一
连接媒介。用于物理媒介的各种接口
送。声音可以来自任何方向,实际上,
种串行接口,用于在D V D/H D-D V D
包括:晶体管与晶体管逻辑、同轴电
我们的大脑能够计算并感知声音的来
播放器、A V R和功率放大器等各种音
缆(以R C A插头连接的75Ω电缆)和
源。例如,当战斗机从一点飞到另一点
频设备之间传输数字音频数据。当通过
TOSLINK(一种光纤连接)。
时,它所产生的声音实际上来自无数个
模拟链路将音频从D V D播放器传输到
位置点。但是,我们不可能用无数个扬
音频放大器时,会引入噪声,该噪声很
声器来再现这种音频体验。
难滤除。不过,如果用数字链路代替模
利用多声道、多扬声器系统和先
拟链路来传输音频数据,问题就会迎刃
进的音频算法,音频系统能够惟妙惟肖
而解。数据不必转换为模拟信号就能在
地模拟真实声音。这些复杂的音频系统
不同设备之间传输,这是S/P D I F的最
使用A S I C或D S P来解码多声道编码音
大优势。
图1
S/PDIF双相标记编码流
S/P D I F协议——如上文所述,它
频,并且运行各种后处理算法。声道数
该标准描述了一种串行、单向、
是一种单线串行接口,时钟嵌入数据之
量越多,意味着存储器和带宽要求越
自备时钟的接口,可互连那些采用线性
中。传输的数据采用双相标记编码。时
高,这就需要使用音频数据压缩技术来
P C M编码音频采样的消费和专业应用
钟和帧同步信号在接收器端与双相解
编码并减少所要存储的数据。这些技术
数字音频设备。它是一种单线、单信号
码数据流一同恢复。数据流中的每个数
还能用来保持声音质量。
接口,利用双相标记编码进行数据传
据位都有一个时隙。时隙以一个跃迁开
与数字音频一同发展的还有音频
输,时钟则嵌入数据中,在接收端予
始,并以一个跃迁结束。如果传输的数
标准和协议,其目的是简化不同设备之
以恢复(见图1)。此外,数据与极性
据位是“1”,则时隙中间还会增加一
间的音频数据传输,例如,音频播放器
无关,因此更易于处理。S/P D I F是从
个跃迁。数据位“0”则不需要额外跃
36
今日电子 · 2011年1月
多媒体/娱乐电子
迁,跃迁之间的最短间隔称为单位间隔
(SCK)、左右时钟或字选择(WS)以及串
频率1发送音频数据到另一端的S R C和
(UI)。
行数据。W S线表示正在进行传输的声
编解码器,然后以采样频率2从S R C读
S/P D I F帧格式——首先驱动数据
道。当W S为逻辑高(H I)电平时,右声
取音频数据。
的最低有效位。每个帧有两个子帧,
道进行传输;当W S为逻辑低(L O)电平
S R C分为两种类型:同步S R C和
分别是32个时隙,共64个时隙(见图
时,左声道进行传输。发送器以二进制
异步SRC。与同步SRC连接的输出器件
2)。子帧以一个前导码开始,后面跟
发送数据,首先补足M S B。几乎所有
为“从机”,与异步SRC连接的器件为
2
随24位数据,最后以携带用户数据和通
D S P的串行端口都将I S作为串行端口
“主机”。“主机”是指驱动SCK和帧
道状态等信息的4位结束。子帧的前4个
模式之一。音频编解码器也支持这种模
同步信号的器件。
时隙称为前导码,用于指示子帧和块的
式。
SRC利用输出采样速率极高的插值
开始。前导码有三个,每一前导码均包
采样速率转换器(S R C)——这是音
滤波器和零阶保持器(Z O H)将离散时间
含一个或两个持续时间为3U I的脉冲,
频系统的一个重要组成部分。采样速率
信号转换为连续时间信号。插值值被馈
从而打破双相编码规则。这意味着,
转换既可以通过软件实现,也可以通
送至ZOH,并以Fs out的输出采样频率
该模式不可能存在于数据流中的其他
过一些处理器的片内硬件来支持(见图
进行异步采样。
地方。每个子帧都以4位前导码开始。
3)。它主要用于将数据从一个采用特
块的开始用前导码“Z”和子帧通道的
定采样速率的时钟域转换到另一个采用
开始“A”表示。前导码“X”表示通
相同或不同采样速率的时钟域。
音频系统
大多数手持式音频设备支持双声
道“A ”子帧的开始(不同于 块的开
道,并能解码M P3、O g g、W M A媒体
始),前导码“Y”表示通道“B”子
格式。这些设备大多依赖电池供电。还
帧的开始。
有许多手机,其中一些称为“音乐手
机”,也属于此类设备。另一方面,
家庭影院系统支持多扬声器、多声道
音频,例如,Dolby、DTS和各种其他
图3 采样速率转换过程的四个不同阶段
音频可以采用不同采样速率进行编
图2 S/PDIF子帧、帧和块格式
等)。
码,其他任务由编解码器完成。某些情
I 2 S 总 线 —— 在 当 今 的 音 频 系 统
况下需要改变编解码器的主时钟,以支
中,数字音频数据在系统内部的各种器
持特定采样速率。从采用某一采样速率
件之间传输,例如编解码器、D S P、数
的音频转换为采用不同采样速率的音频
字I O接口、A D C、D A C和数字滤波器
时,即时改变主时钟并不是一件容易的
之间。因此,为了增强灵活性,必须有
事,有时甚至不可能完成,因为需要更
一个标准的协议和通信结构。专为数字
改电路板上的硬件。因此,采样速率转
2
音频后处理算法(THX、ART、Neo6
图4 手持式音频系统框图
音频而开发的I S总线规范现已被许多
换一般在将数据驱动到编解码器之前执
便携式音频系统——有些手持式音
I C厂商采用,它是一种简单的三线同
行。这样,编解码器的采样速率不需要
频系统采用A S I C,有些则采用D S P。
步协议,包括如下信号:串行位时钟
改变,可以保持恒定。串行端口以采样
M P3、O g g和其他媒体文件等音频内
今日电子 · 2011年1月
37
多媒体/娱乐电子
容通常存储在高密度存储设备中,如
的D 4用 于与S D 卡进行命 令和响应 通
闪存器件进行引导加载。应用程序下载
NAND闪存、安全数字(SD)卡、多媒体
信。由于命令字必须通过C M D信号串
至其内部存储器后执行。处理器利用其
卡(MMC)和安全数字高容量卡(SDHC)
行发送,因此一系列8位字形成内部存
内部SRAM为IO数据缓冲器存储编码音
等。
储器中的帧,使得各个字的D4依次具
频帧(从存储介质读取)和解码音频数
图4显示了与A S I C/D S P的主要系
有命令字的一位。这种数据重排是通过
据(驱动至DAC)。
统接口。S D和M M C还支持串行S P I模
函数调用在软件中完成。类似地,软件
式,D S P和各种微控制器/微处理器通
对接收的状态信息和来往S D卡的实际
常提供此种模式。某些处理器片内支持
数据执行数据重排。S D卡时钟信号自
这些标准。利用处理器的其他资源/接
ARE/(读取选通)和AWE/(写入选
口,如并行端口或异步存储器接口等,
通)信号获得。ARE/和AWE/连接到
也可以通过软件实现这些协议。当然,
一个具有开集输出的缓冲器的输入端。
软件实现方法会增加开销。对于运行操
A M S3/(异步存储器片选选通)连接
AVR/家庭影院系统——家庭影院
作系统(O S)或内核的系统,必须使这些
到此缓冲器的输出使能引脚。此缓冲器
音乐系统通常是多声道音频系统(见
接口和驱动程序与O S兼容,而不应依
的输出执行“线与”处理,所产生的信
图6)。Dolby 5.1和DTS 5.1是主流
赖中断服务等。O S环境下可能会引起
号作为时钟提供给S D卡。数据线也通
多声道音频系统。D V D播放器通过光
不可预测的延迟,影响接口时序规格,
过一个双向缓冲器进行缓冲。A M S3/
纤或同轴电缆S/P D I F接口发送编码音
使得接口不可靠,有时甚至无法工作。
驱动缓冲器的输出使能引脚。要求对缓
频数据流。系统利用S/P D I F接收器芯
为了确保O S兼容,可能需要使用额外
冲器进行隔离,以便其他异步存储设备
片解码双相标记编码数据,并提供与处
的硬件胶合逻辑。
也能共享数据总线。D5驱动双向缓冲
理器相连的串行帧接口。S/P D I F接收
器的D I R(方向控制)引脚。缓冲器两
芯片通常向处理器提供I 2S格式的数据
端均需要上拉电阻。B F-54x等其他一
流。某些处理器片内集成S/P D I F接收
些Blackfin产品提供片内SD支持。
器,无须使用外部接收器芯片。处理器
图5
Blackfin BF-527处理器异步存储器接
口和并行外设接口上的SD设计
例如,一个设计示例(见图5)
图6 多声道音频系统框图
文件系统——需要实现F A T16/32
运行自动检测算法来确定数据流类型,
来管理存储卡上的音频文件和文件夹。
如D o l b y、D T S或非编码P C M音频流
这些代码与音频解码器代码集成。解
等。
码后的音频数据接着被送至数模转换
此算法在后台持续运行。自动检
器(D A C),经过放大后再被送至音频立
测流程基于I E C61937非线性P C M编码
体声连接器。与D A C相连的接口通常
比特流国际标准。调用主算法,并将主
2
在处理器的外部存储器接口上实现了
是串行I S接口。D A C配置通过串行外
音频解码器算法所需的各种参数正确传
S D 2.0规范。数据总线不仅用于数据
设接口(S P I)或I2C兼容外设来完成。运
递给函数。解码后的音频数据被复制到
传输,而且用于与S D卡交换命令和响
行时,可以通过此控制接口改变各种
已分配的输出缓冲器中。串行端口用
应。在S D卡的4 位模式下,数据总线
D A C参数,如采样率、增益/音量控制
于将此解码音频数据以I 2S格式驱动至
的D0至D3信号连接到S D卡的数据线
等。
D A C,然后将模拟信号馈送至功率放
(D A T0至D A T3)。处理器数据总线
38
今日电子 · 2011年1月
处理器或F P G A从S P I引导R O M/
大器,最后再馈送至扬声器。
多媒体/娱乐电子
器。由于晶体管始终导通,因此会消耗
数字输入在逻辑高电平和逻辑低电平之
音频算法可以分为两类:主解码
大量功率,功率效率较低。这使得A类
间来回切换,因此晶体管工作在饱和区
器算法和后处理算法。主解码器算法
放大器不适合用作功率放大器。为了提
间或截止区间,但决不会工作在有效区
包括D o l b y、D T S 5.1、D T S 6.1、
高效率,晶体管必须关闭一定的时间,
间,因此功耗始终最低。这使得功率效
D T S96/24、A A C等。后解码或后处
为此需要降低直流负载线上的静态点,
率大幅提高,但同时也会引起较高的总
理算法包括Dolby ProLogic、Dolby
使它偏向截止区间。这样就得到其他类
谐波失真(THD)。
ProLogic II、DTS Neo6、Surround
型的放大器,如B类、A B类和C类。采
为了解调P W M并重建原始模拟波
EX、Dolby耳机、Dolby虚拟扬声器、
用推挽配置的B类放大器是首选功率放
形,需要使用由LC(电感+电容)构成
TH X、原始环绕声、Dyna mic EQ、
大器。它以推挽方式使用两个晶体管,
的高质量低通滤波器。由于大多数音频
D e l a y等。必须使用高性能信号处理
各晶体管导通180°。但在交越时,存
系统使用D S P,因此D类放大器对音频
器,而且能执行房间均衡等额外功能。
在一个二者均不导通的区间,这会导
系统设计很有利。音频信号可以由D S P
致交越失真。C类放大器的功率效率可
本身调制为P W M,然后直接馈送至D
以达到80%,但由于晶体管的导通比例
类放大器的输入端,而无须使用音频
放大器可以分为如下几类:A类、
不足输入信号的50%,因此输出失真较
D A C或编解码器。因此,除了提高放
B类、A B类和C类。放大器的类别基本
高。在有效区间使用晶体管还要求利用
大器功率效率以外,它还能通过消除编
上由晶体管放大器的工作点或静态点决
散热器来保护晶体管,而这正是D类放
解码器/D A C来降低系统成本。对于D
定。此点位于共发射极配置中晶体管输
大器技术优于其他类型的地方。
类放大器设计而言,低通重建滤波器是
音频算法
音频放大器
确保良好THD指标的最重要因素。
出特性的直流负载线上。静态点表示相
对于特定基极电流“I B”的特定集电极
电流“I C”。基极电流“I B ”取决于晶
结语
体管的偏置,集电极电流“I C”是直
音频系统设计近年来发展迅猛,特
流电流增益“h f e ”与基极电流“I B”的
别是在家庭娱乐和汽车音响领域。各种
乘积。A类放大器的静态点几乎位于负
图7 模拟域中的D类放大器系统框图
标准、编码技术和强大的处理器已使得
载线有效区间的中点,对于任何给定的
图7为一个D类放大器系统。有时
多声道高清音频成为现实。音频系统设
输入信号变化,晶体管总是在有效区间
将这种放大器称为数字放大器,但事实
计人员仍在攻克各种难题,例如,保持
工作,忠实放大输入信号,而不会引起
并非如此。其工作原理仍然与其他类型
高功率效率、实现更低的T H D和再现
任何中断或失真。此类放大器用于小信
放大器相同,但D类放大器的输入信号
高质量声音等。
号放大,然后该信号即可驱动功率放大
为P W M(脉冲宽度调制)信号。由于
(上接第35页)
例如O p e n C V(h t t p://o p e n c v.
供的许多开发板都带有其他扩展连接
案,减少从初始概念到工作系统的时
willowgarage.com)等开源视频分析库
器,其可帮助开发人员使用标准接口
间和工作量。更多信息,可以访问:
可帮助用户为其视频摄像机添加智能
将自己的硬件连接到现有的硬件上,
h t t p://de s i g n s o m e t h i n g.o rg上的
技术,如果有人在夜间走到前门,其
或者使用通用I/O创建定制接口。开发
HawkBoard、BeagleBoard-xM以及
就会自动打开门厅灯。此外,目前提
人员可通过使用免费的软硬件解决方
LeopardBoard社区。
今日电子 · 2011年1月
39
多媒体/娱乐电子
解决高清音频 IC 设计难题
CEVA公司 Eyal Ben-Avraham
视频流和图像绘制技术的进步,
极大地提高了高清 (H D) 运动图像的
挑战,并提出高效实现高清音频的解决
方案和设置方法。
从模拟向数字广播或播放的转换有
一个重大挑战,就是高清音频应用所需
质量。加上家庭娱乐中心逐渐流行,这
的数据处理和数据流量。任何基于IC的
些因素已成为追求“家庭影院”体验
高清音频解决方案要获得成功,都需要
以及便携式电子设备发展的重要推动
在开发和实现阶段把这一点考虑在内。
力。除了高清视频之外,高清音频(H D
D T V的另一个挑战是必须降低消费者
Audio)也随之引入,为日益扩大的多媒
的成本,因为向D T V 的转换是强制性
体娱乐世界增加更丰富的音频体验。本
图1 7.1音箱系统的放置
文将对高清音频市场的三大领域予以介
绍,即
视,因此他们会对价格非常敏感。
高清音频的应用机会
●
数字电视
DTV
●
机顶盒 STB
●
蓝光DVD
的,消费者必须按照法规决议更换新电
下面介绍高清音频的三大主要应用
机会。
2 机顶盒(STB)
机顶盒(S T B)是一种连接电视机和
外部信号源,并把信号转换为能够在电
1 数字电视(DTV)
视机屏幕上显示的内容的设备。数字机
根据最新报道,预计到2011年,
数字电视(D T V)使用分立(数字)信
顶盒可以帮助没有内置数字调谐器的电
D T V、机顶盒和蓝光D V D的销售量将
号来实现运动图像和声音的发送与接
视机接收数字电视广播节目。在直接广
分别达到1.87亿、1.6亿及1.16亿台。
收。从模拟电视到数字电视的转换始于
播卫星系统中,机顶盒是一个集成式接
除此之外,A/V接收器、高清便携式
1990年代末期,而因为它提供了全方位
收器/解码器。
摄像机、I P T V及手机等其他市场领域
的新商机,所以很快成为了电视广播和
在美国等市场,由于模拟广播将于
也将大幅增长。
消费电子行业备受瞩目的技术。在早期
2009年终止,因此音频质量成为机顶盒
不过,在处理要求、音频声道、比
采用D T V的国家中,荷兰和芬兰分别
制造商关注的焦点,以确保音频信号具
特率和精度要求方面,标清和高清音频
在2006年和2007年就完成了模数转换;
有和视频输出相匹配的质量。
规范之间存在着很大的差异。高清音频
而美国从2009年6月12日起,国内所有
3 蓝光光盘
系统的众多新要求,不单影响着集成电
的电视台都将只使用数字模式来播送节
蓝光光盘(也称作“蓝光”或
路(I C)设计的各个方面,而且也给这些
目。另一方面,英国已开始向D T V的
“B D”)是一种光盘存储媒体。蓝光这
新设备实现高质音频带来了重大挑战。
转换,并预定在2012年全面实现D T V
个名称源于这种磁盘格式采用蓝色激光
本文将介绍各种不同的高清媒体分
广播。中国方面则计划到2015年完成到
(实际上是紫蓝色的)来进行读写,主要
DTV广播的转换。
用于高清视频和数据存储。由于蓝光
发技术,探讨I C 设计人员面临的设计
40
今日电子 · 2011年1月
多媒体/娱乐电子
光盘的光束波长(405n m)远远短于标准
比TrueHD 7.1声道,用于播放光盘。
蓝光格式的可选音频编解码器
D V D编码所用的波长(650n m),故它的
蓝光格式的可选音频编解码器包括
子音频流可采用D TS-HD Exp re ss或
数据存储量相比也大得多。一个标准的
有损和无损编解码器。有损编解码器包
杜比数字P l u s,以获得额外的数据,例
双层蓝光光盘可以存储多达50G B的数
括:
如,从互联网下载电影中的导演加注。
据,差不多比双层D V D多6倍,比单层
●
杜比数字 Plus
一种基于AC-3
的增强型有损编解码器,可支持高达
DVD更是高出10倍。
在2008年2月的一项重要公布中,
6.144M b/s的比特率和7.1音频声道。
东芝表明退出H D-D V D播放器和录像
它还能提供更先进的编码技术,降低压
机业务,至此,以东芝为代表的H D-
缩失真(compression artifact),并后向
DVD阵营和以索尼为代表的蓝光光盘阵
兼容现有的AC-3硬件。
音效流则是一个简单的PCM音频流,为
屏幕菜单增添音效的选择。
图2 5.1编码系统
编码流可使用DTS 5.1编码器或杜
一种
比数字5.1编码器,而编码必须把数据
这使蓝光一举成为领先的多媒体高清记
可扩展原始DTS格式的有损编解码器,
以压缩的格式传送给一个兼容的音频/
录媒体。目前有大约1000部各种语言的
支持96k H z和24位深度分辨率的7.1声
视频接收器(比如经由S/P D I F电缆)。
电影以蓝光光盘发行,而在H D-D V D
道。D T S-H D高分辨率音频可提供高
混合信号在发送给扬声器之前可能需要
和蓝光阵营之间的格式大战结束之后,
达6.0Mb/s的恒定比特率。
后处理功能,以补偿声音失配播放环境
营之间的光盘格式大战终于尘埃落地。
市场预计这个数字将会大幅增长。
●
或各种不同的音频不完整性。
无损编解码器则有:
●
强制性的蓝光格式音频编解码器
D T S高清高分辨率音频
杜比数字TrueHD 一种主要用
于高清家庭娱乐设备(如蓝光光盘)的高
高清音频IC的设计挑战
蓝光格式规范定义了两套可在蓝光
清多声道音频编解码器。最大编码比特
在设计高清音频I C时,有若干因
播放器中实现的编解码器。其中第一套
率为18M b/s(未压缩速率)。这已显示
素需要考虑。高清音频最重要的特性
是强制性的,必须用作蓝光光盘的主要
了高清音频的高数据流量要求。
是数据流量,因为相比传统的音频应
D T S-H D主音频
以前被称为
用,高清音频数据流量大大提高。仅对
一种用于商业/影院应用
DTS++或DTS-HD,是原始DTS编解
I/O 而言,这种数据流量在 某些编解
和视频游戏等消费应用的多声道数字环
码器的扩展版本。这是一种无损音频,
码器就可能达到24.5M b/s的输入速率
绕声格式。
具有高达24.5M b/s的可变比特率,并
和在27.6M b/s的输出速率下达致每秒
支持192k H z采样频率和24位信号分辨
96k H z×8×24位的输出。这就需要一
率的7.1分立式声道。
种新的IC设计方案来确保这些挑战得到
音频声道。这些编解码器包括:
●
●
DTS
杜比数字或AC-3
一种可容纳
多达6个分立式音频声道的编解码器,
●
解决,同时保证音频的质量。
最大编码比特率为640k b/s,而35m m
电影胶片使用320k b/s的固定速率,
蓝光高清音频用例
另外,一些采样频率达192kHz、带
一个高清音频的计算密集型蓝光
6个或8个声道,并且运算精度很高的无
一 种采样频 率为
使用案例包含主音频(main audio)和子
损音频编解码器,如DTS-HD主音频或
48k H z或96k H z、每样本16,20或24
音频(s u b a u d i o)流,以及一个音效流
杜比T ru e H D,它们的计算要求极高。
位,可容纳多达8个音频声道的无压缩
(effects stream)。主音频流可结合DTS-
如果不予以改进,单单一个编解码器就
音频格式。最大比特率为6.144MB/s。
H D 主音频(见前述蓝光光盘一节)或杜
可能消耗掉传统DSP的全部MHz预算。
DVD视频光盘则限于448kb/s。
●
线性P C M
今日电子 · 2011年1月
41
多媒体/娱乐电子
性能要求
任务切换的存储器交换
如上所述,高清音频实现方案(如
高清音频系统中必须执行大量并行
蓝光光盘应用)的数据处理要求非常
任务,故需要非常频繁的存储器交换。
高。在如此高的数据率下,很多现有的
这些交换必然会致使存储器带宽过载,
单核D S P解决方案都无法保证高质量的
让系统无法处理增加的总线流量,最终
数据处理,故业界不少解决方案开始倾
快速降低音质。另外因为指令集常常采
向于采用能够满足视频结合音频的处理
用32位格式编写,这又使得指令更大,
开销要求的双内核方案。
指令间间隔更长,进一步加剧数据过载
面完善的单核D S P解决方案,能够满足
最严苛的高清音频使用案例的要求。
图3
CEVA TeakLite-III结构框图
而且,在DSP解决方案的实现中,
问题,而16位指令集可以减轻这种负
除了强制性及可选音频编解码器之
载。在数据方面,某些高清音频编解码
C E V A-H D-A u d i o是基于
外,还需要许多后处理功能,而这些
器需要100K b以上的数据R A M外加相
CEVA-Te akLi te-III DSP内核的系
后处理功能正是众多实现方案的差异
当大的数据表,也就是强制要求利用存
统。CEVA-TeakLite-III拥有本地32
化因素。由于在处理最小的高清音频
储器交换以高效利用RAM存储器。
位处理能力和双乘法累加(M u l t i p l yAc cumula te, MAC)架构,是需要先
编解码器时,许多单核DSP都会有过载
的情况,所以几乎没有什么剩余能力
慢速外部存储器存取
进音频标准的高清音频应用的理想
许多在DS P上运行的音频算法传统
DSP方案。另外,CEVA-T eakLit e-
上均以非序列(non-sequential)的方式
I I I还具有良好平衡的10级管线,使其
对大容量缓存进行存取。一般而言,这
内核在65n m工艺下的运作频率仍超过
些缓存都太大,无法驻留在处理器的片
550MHz(在最差条件和工艺)。CEVA-
由于制造商和设计人员不得不应对
上存储器中,故它们必须置于速度较慢
HD-Audio集成了一个带有32位寄存器
挑战,把所有必要的处理功能全部塞入
的外部存储器中,如DDR SDRAM。
文件、64位数据存储带宽、32×32位乘
尺寸越来越小的芯片中,这使现有的芯
另外,非序列存取也给维持高性能的目
法器和自动32位饱和的本地32位S I M D
片尺寸也面临着巨大的压力。采用多核
标带来一个挑战。由于音频解码器常常
DSP处理器。CEVA-TeakLite-III还
解决方案虽然可以提供这些处理能力,
与视频解码器争夺数据总线吞吐量,故
具有 一个 带有完 善M A C 指 令集的 双
但芯片尺寸、相应的价格增加和驱动子
存储器存取效率非常重要。要提供高质
16×16 MAC,可实现语音/VoIP和全
系统所需的电能之间的权衡都可能往往
量的音频体验,就必须解决这个难题以
面的流处理位操作(bit-manipulation)
令人望而却步。特别在满足具有特殊功
实现稳定的性能。
功能,这对流处理十分有用。除了带
可言,即便有,也差不多都是用于强
制性后处理。
芯片尺寸/功耗考虑
率和外形尺寸限制的高清设备(如便携
游戏机)要求时,这一点尤其关键。
有多精度点的固有32位数据处理功能
解决难题
之外,单周期32位M A C单元还包括用
即使对于非移动设备,功耗也是一
要解决影响高清音频DS P领域的众
于无损编解码器的72位M A C累加,和
个重要的考虑因素,因为它影响到设备
多问题,需要一个基于功能强大的数字
独特的单精度与双精度F F T蝶形指令
的散热性能。较高的功耗可能需要某些
信号处理器的系统,其中应包括合适的
(butterfly instruction),以及一个2/4
冷却手段,从而对产品的总体设计造成
软件和外设。CEVA-HD-Audio就是
周期内核。
影响。
这种高清音频系统的实例,它是一个全
42
今日电子 · 2011年1月
CEVA-TeakLite-III架构嵌入了
多媒体/娱乐电子
C E VA-Q uar k指令集,是全面的独立
由于它拥有更小的存储器,所以尺寸更
带有指令缓存的存储子系统、用于数据
式嵌入紧凑型指令集架构(I S A)。这种
小,性能更高,比市场上其他竞争解决
的紧密耦合存储器和AHB/APB系统接
独特的I S A旨在仅利用16位指令,减小
方案更为优胜。单核实现方案也意味着
口(包括主和从接口)。这些特性能帮助
芯片的尺寸和成本,同时降低功耗,
不论从硬件还是软件的角度来看,应用
CEVA-HD-Audio用户满足复杂音频
减少存储器存取次数。C E VA-Q uar k
开发和集成都更为容易。
使用案例、外部存储器存取的高延时和
有限的系统速度等严苛要求。它们也易
I S A是一套完整的指令,包括存储器存
取、算术与乘法运算、逻辑、移位和流
于集成到基于CPU的SoC中,可以实现
本地音频处理
完整音频系统的快速产量提升。
处理位操作指令以及控制操作。应用程
CEVA-HD-Audio具有32位本地
序开发人员还可以把C E VA-Q uar k指
处理能力,故能为高清音频算法提供很
令与其他更先进的CEVA-T ea kLit e-
高的精度。此外,64位的数据存储器带
III指令相混合,无须切换到不同的运作
宽可确保D S P不断有数据样本与系数馈
一套包括C编译器、汇编器、链接
模式。这种组合特性可使代码量减少4
入,从而实现连续处理。为应对这些挑
器、代码库、调试器和仿真器的完整的
倍,周期数减少了近9倍。
战,CEVA-HD-Audio解决方案还备
软件开发工具也是非常重要的,因为它
有一套完整的音频编解码器。音频编解
们能够帮助用户迅速方便地进行系统的
码器算法设计使用一个普通的D M A引
开发和集成。一个基于G U I的开发环境
上面提到的处理效率,显示
擎,使数据传送和算法执行能够并行进
也让编程人员能够轻松遵循不同的处理
C E V A-T e a k L i t e-I I I能够利用单核
行,有助音频算法和编解码流程。另
流程,提高编程、编译和调试流程的效
D S P,轻松提供完整的高清音频支持。
外,CEVA-HD-Audio还包含了一个
率。
利用单核实现高性能高清音频
高清音频的软件开发
IBM宣布在激光芯片领域实现重大突破
I B M近日宣布,在芯片领域实现了一项突破,可以利用
连接。企业目前都在竞相提升零部件速度,并大幅降低成
光脉冲快速发送数据,从而借助激光通信技术加速电脑的
本,以便使光学连接也能够用于提升P C和消费电子设备内
变革速度。
部及其相互间的通信速度。
接下来,I B M将讨论该公司在利用硅制造光通信元件
I B M硅整合纳米光子项目主管尤里·弗拉索夫(Y u r i i
领域的优势,而硅原本是传统电脑芯片的主要材料。I B M表
V l a s o v)表示,首款基于该研究的商用产品将在3至5年内上
示,该研究为未来的芯片开辟了一条道路,使之可以每秒
市。“我们做好了向前推进的准备。”他说。
发送超过1T B的数据,比当今的高性能电脑经常使用的光学
元件快了25倍。
光学技术已经给长距离通信带来了革命,用激光和光
许多光学组件通常都是利用砷化镓和磷化铟等材料制
成。而作为一种替代物,硅的成本要低得多,但是在发光
效率等方面却存在不足。
缆的组合代替了传输电信号所使用的低能铜线,从而通过
研究人员已经逐步克服了这些挑战,利用硅开发了一
众多高速通道发送信息。包括IBM、英特尔和Lu x te ra在内的
系列基础材料,其中一些零部件可以调节激光脉冲,从而
众多企业都在借助高容量半导体制造技术,在微观层面复
对数据进行编码并探测这类信号。
制这种方法。
这项技术的基本要素有时被称作硅光子( s i l i c o n
I B M的重点是将光学元件与必要的电器元件整合到一个
硅片上,大幅降低体积和成本。
photonics),已经开始被超级计算机用于建立服务器间的高速
今日电子 · 2011年1月
43
逻辑分析仪中数据延迟触发的应用
1.引言
含若干个“B us D a t a”块,并且它可以重复出现;第二
在嵌入式系统日益进步的今天,它的功能在不断的
行为第一次采集到的数据,它以“R e s e t”为触发起始数
丰富,时钟在不断的提高。当然,这里面的设计问题也越
据,并且没有延迟。第三行为第二次以“R e s e t”为触发
来越多,因此逻辑分析仪成了每个嵌入式工程师系统开发
起始的数据,但它是延迟了一定时间后采集到的数据。我
时的必备工具。系统开发过程中,有时会对整个系统开机
们可以这样一直继续下去,直到得到我们需要的所有数
或者上电复位一直到稳定运行这段时间内的总线或者某个
据。通过后期的重组,就可以得到想要的所有数据,间接
功能模块进行监控。一开始,用户有可能对这个功能模块
实现采样时间的延长。
不是很熟悉,对其一些内在数据结构等没有很深的认识,
只能通过对其整个数据分析进行更深层次的理解。而整个
数据的捕获过程往往需要数秒,甚至更长时间。下面我们
介绍如何用广州致远电子出品的L A B6000系列逻辑分析
图1 多次采集同一数据
仪LAB6052进行系统上电后的长时间记录。
3.延迟触发应用
2.原理分析
下面我们进行硬件实际的测试,首先我们搭建一个
长时间记录数据,在采样率一定的情况下,主要看
硬件环境,它由一个小的测试板与逻辑分析仪L A B6052
存储深度。在普通异步采样模式下,L A B6052以其最高
组成,测试板产生一个8b i t的Bu s_X与1bi t的C t r l,然后
采样率500M H z,最高存储容量16M b,每通道可以记录
将逻辑分析仪的Probe0~7连至Bus_X[0:7]上,将Probe8
16×1048576×2ns,大概为33.55ms。
连至C t r l上。硬件测试环境搭建完毕。为了比较容易看
LAB6052提供了【Timing-State】功能,它可以对
数据进行无损压缩,提高存储容量的利用率。它对某些
出延迟的效果,令B u s_X产生一个缓慢递增的8位数据,
Ctrl产生快速跳变的周期信号。
长时间不活动、偶尔突发传输数据的设备中作用特别明
然后进行逻辑分析仪软件的设置。
显。关于该模式的具体介绍在之前的讲座中有所介绍,
依次 点击 逻辑 分析 仪软 件菜 单栏 【设 置】、 【采
这里就不做赘述(参考逻辑分析仪─从入门到精通讲座
集】,在弹出的对话框中进行如下设置:采样频率设置为
【18】)。
异步采样,频率为500M H z,存储容量选择16M,将触发
如果还要提高采样存储时间,当前的硬件感觉已经
无能为力了。我们知道系统开机或者上电复位在一定条件
下是一个重复的过程。那么我们可不可以通过多次分段采
集来达到我们的目的呢?当然可以,L A B6052的触发功
能有“数据延迟触发”的功能。该功能正好可以帮助我们
实现捕获时间的延长。
如图1所示。第一行为我们所要采集的数据,它包
44
今日电子 · 2011年1月
图2 采样选项设置
位置设置为25%。在采集控制里面勾选【Timing-State】
在第一次触发的基础上延迟256.24506ms触发。
选项,在【预触发控制】中选中【触发状态优先】。其他
选项不做修改。如图2所示。
●
第一次采集
然后重复第一次采集的动作,点击逻辑分析仪【启
动】按键,然后启动测试板。观察逻辑分析采集到的数
据。如图5所示。
依次点击逻辑分析仪软件【设置】、【触发】,单
击“数据到来延时”,将总线名称选择为之前命名的控制
信号“Ctrl”,数据设置为“0x00”,表示下降沿触发。
将“Delay Time”设为0μs,表示第一次触发不需要延
时立即触发。设置如图3所示。
图4 无延迟时触发
图5 有延迟时触发
对比观察图4与图5,可以发现图5中触发点的位置与
图3 数据到来延迟0ms设置
点击逻辑分析仪【启动】按键,然后启动测试板。
观察逻辑分析采集到的数据,如图 4所示。可以看出逻辑
图4中“M1”的位置重合了,实现了数据的无缝对接。这
说明我们硬件测试达到了预期的效果,可以使用数据延迟
触发来捕获更长时间的有用数据。
分析仪记录了约530m s的数据,其中对我们有用的数据大
概记录了310m s(去除了逻辑分析仪启动到测试系统启动
4. 总结
之间的无用数据,它是测试板在启动之前的初始状态)。
从上面的实例中,我们可以看出,面对有些可重复出
可以看出,使用【T im i ng-S t a t e】模式,比普通模式下
现的信号,而我们对其内部信号又不是很了解,需要全部
33.55ms的记录时间增加了9倍之多。
采集下来进行分析的时候。可以使用数据延迟触发功能,
将鼠标移至触发点,放置“M2”标尺,使之与触发
多次重复一定延时进行采集,得到更长的采集数据。广州
点重合。然后将鼠标移至数据的结尾处,在倒数第三个有
致远电子有限公司推出的中高端逻辑分析仪都支持高级触
效数据处放置“M1”标尺,软件自动在左下角显示(M1-
发,里面有更丰富的功能,我们将在以后的文章中介绍。
M2)的相对时间,为256.24506ms。
●
第二次采集
在进 行第 二次 采集 前, 依次 点击 逻辑 分析仪 软件
【设置】、【触发】,选择“数据到来延时”,这次将
“Delay Time”由原来的0ms修改为256.24506ms,表示
公司地址:广州市天河区车陂路黄洲工业区3栋2楼
电
话:(020)22644372
22644249
技术支持:(020)22644375
28872624
电子邮箱:[email protected]
今日电子 · 2011年1月
45
经典产品大拆解
苹果 Mac Mini
苹果Mac Mini(A1347)是苹果公司极受欢迎的桌面型电脑的最新版本。1.4
英寸厚一体式机身是由整块铝加工而成。这种新型设计采用了用户易于使用的面
板,背板可以轻易取下,以方便更换内存和风扇,苹果Mac Mini(A1347)拥有两
个SO-DIMM插槽,可方便进行内存扩展。
苹果Mac Mini(A1347)占位面积有7.7平方英寸,高1.4英寸。内置2.4GHz
或2.66GHz英特尔酷睿2双核P8600处理器,处理器带3MB二级缓存、1066MHz前端
总线以及2GB(两个1GB SO-DIMM)1066MHz DDR3 SDRAM。该升级版桌面
计算机的显卡采用Nvidia GeForce 320 M图像处理器,该图像处理器带256MB DDR3显存。苹果Mac Mini(A1347)采用320GB
5400转SATA硬盘驱动器。其他功能包括:内置电源、1920×1200 HDMI接口、Mini DisplayPort接口、蓝牙2.1+EDR、火线800
接口。新型苹果Mac Mini(A1347)满足能源之星5.0规范,而且其铝外壳是可回收再利用的。
不同功能的器件在总成本中所占的百分比
存储器
24.43%
I/0及接口
7.53%
电源
6.87%
芯片组
13.50%
处理器
20.72%
机电/机械器件
15.40%
内存
11.55%
不同类型的器件在总成本中所占的百分比
更多信息请参考:http://electronicproducts.com
46
今日电子 · 2011年1月
不同装配区的器件在总成本中所占的百分比
存储器
29.44%
主板
65.39%
其他
0.61%
无线局域网
/蓝牙模块
0.85%
Misc.PCB
1.82%
Box Contents
1.89%
应用设计:嵌入式系统
Applications
自动售货机控制模块 VHDL 程序设计
及 FPGA 实现
哈尔滨理工大学
王鹏 陈亭 邢维东 李敏
北京信息科技大学
闫健
近年来, 随着集成电路技术的迅
能优化;具有方便的逻辑仿真与调试
位按钮按下时,自动售货机回到初始
猛发展, 特别是可编程逻辑器件的高
功能,在设计早期就能查验系统的功
状态。
速发展, EDA(Elec tronic Design
能,方便地比较各种方案的可行性及
开发软件选用功能强大的
A ut om a t i o n,电子设计自动化)技术
其优劣。目前,VHD L作为I EEE的工
A l t e r a公司的最新可编程逻辑器件
成为电子设计工 程师的新宠。E D A
业标准硬件描述语言,得到众多E D A
开发工具Q u a r t u s I I 8.0,实现芯
技术以计算机为工具完成数字系统的
公司的支持,在电子工程领域已经成
片选用A l t e r a公司F L E X10K系列的
逻辑综合、布局布线和设计仿真等工
为事实上通用硬件描述语言。
E P F10K10L C84-4;首先在计算机上
作。电路设计者只需要完成对系统功
本文采用V H D L作为工具描述了
完成程序设计、编译及时序仿真,然
能的描述,就可以由计算机软件进行
自动售货机控制模块的逻辑控制电
后将经过验证的设计文件下载到选择
系统处理,最后得到设计结果,并且修
路,并在F P G A上实现。该自动售货
的可编程逻辑器件中,并在电子设计
改设计方案如同修改软件一样方便。
机能够根据投入硬币额度,按预定的
自动化实验系统中进行硬件模拟和测
利用E D A工具可以极大地提高设计效
要求在投入硬币大于规定值时送出饮
试。
率。
料并找零。
利用硬件描述语言编程来表示
逻辑器件及系统硬件的功能和行为,
状态机VHDL程序设计
设计方案
有限状态机F S M(F i n i t e S t a t e
是E D A设计方法 的一个重要特征。
本文所设计的简易自动售货机可
Ma c h i ne)及其设计技术是实用数字系
VHDL(Very High Speed Integrated
销售矿泉水,假设每瓶1.5元。设两
统设计中实现高效率、高可靠逻辑控
C i rc ui t H a r d w a r e D e s c r i p t i o n
个投币孔,分别接收1元和5角两种硬
制的重要途径。传统的状态机设计方
L a n g u a g e,超高速集成电路硬件描述
币,两个输出口,分别输出购买的商
法需进行繁琐的状态分配、绘制状态
语言)是硬 件描述语言的一 种,对系
品和找零。假设每次只能投入一枚1元
表、简化次态方程等,而利用V H D L
统硬件的描述功能很强而语法又比较
或5角硬币,投入1元5角硬币后机器自
可以避免这些烦琐的过程,直接利用
简单。V H D L具有强大的行为描述能
动给出一瓶矿泉水;投入2元硬币后,
状态转换图进行状态机的描述。此
力,设计者可以不懂硬件的结构,只
在给出一瓶矿泉水的同时找回一枚5角
外,与V H D L的其他描述方式相比,
需集中精力进行电子系统的设计和性
的硬币。另外设置一复位按钮,当复
状态机的V H D L表述丰富多样,程序
今日电子 · 2011年1月
47
应用设计:嵌入式系统
Applications
层次分明,结构清晰,易读易懂;在
comb_outputs表示。comb_outputs(0)
排错、修改和模块移植方面也有其独
表示输出货物,comb_outputs(1)表示
ELSIF rising_edge(clk) THEN
到的特点。
找5角零钱。输出信号为1表示输出货
c u r r e n t_s t a t e<=n e x t_s t a t e;
状态机有摩尔(M o o r e)型和米
立(M e a l y)型两种。M o o r e型状态
物或找零,输出信号为0表示不输出货
END IF;
根据图1 所示的状态转换图,用
M e a l y型状态机的输出信号不仅与当
VHDL中的CA SE_WHEN结构和IF_
前状态有关,还与输入信号有关。
T H E N_E L S E语句实现控制功能,源
结合本文设计,由于输出仅与状态有
程序如下:
售货机控制模块,状态转换图如图1所
END PROCESS;
c o r n:P R O C E S S(c u r r e n t_
state,state_inputs)
--组合进程
BEGIN
L I B R A R Y
I E E E ;
CASE current_state IS
--库和程序包的使用说明
WHEN S0=>comb_outputs<="
USE IEEE.STD_LOGIC_1164.
示。
--异步复位
--状态转换
物或不找零。
机的输出信号只与当前状态有关;
关,选用了M o o r e型状态机设计自动
state<=S0;
00";
ALL;
--现态S0
IF
ENTITY sellmachine IS
--实体定义
s t a t e_i n p u t s<="00"
THEN next_state<=S0;
--输入不
同,次态不同
PORT(clk,reset:
IN
std_
logic;
E L S I F s t a t e_i n p u t s<="01"
THEN next_state<=S1;
s t a t e_i n p u t s:I N
s t d_l o g i c_
vector(0 TO 1);
E L S I F s t a t e_i n p u t s<="10"
THEN next_state<=S2;
comb_outputs:OUT std_logic_
END IF;
vector(0 TO 1));
WHEN S1=>comb_outputs<="
图1 自动售货机状态转换图
END sellmachine;
1)状态定义:S0表示初态,S1表
AR CHITEC TURE sta te OF
示 投入 5 角硬 币, S 2 表 示投 入1 元硬
sellmachine IS
币,S3表示投入1元5角硬币,S4表示
T Y P E
投入2元硬币。
2)输入信号:取投币信号为输入
逻辑变量,用两位的矢量state_inputs
表示。stat e_i nputs(0)表示投入1元硬
00";
--结构体
f s m _ s t
(S0,S1,S2,S3,S4);
IF
s t a t e_i n p u t s<="00"
THEN next_state<=S1;
I S
--输入不
同,次态不同
--状态
枚举类型定义
E L S I F s t a t e_i n p u t s<="01"
THEN next_state<=S2;
SIGNAL current_state,next_
state:fsm_st;
--现态S1
--状态信号的定义
E L S I F s t a t e_i n p u t s<="10"
THEN next_state<=S3;
币,s t a t e_i n p u t s(1)表示投入5角硬
BEGIN
END IF;
币。输入信号为1表示投入硬币,输入
r e g : P R O C E S S (r e s e t, c l k)
WHEN S2=>comb_outputs<="
信号为0表示未投入硬币。
3 )输出信号:给出矿泉水和找
零为两个输出变量,用两位的矢量
48
今日电子 · 2011年1月
--时序进程
BEGIN
IF reset='1' THEN current_
00";
--现态S2
IF
s t a t e_i n p u t s<="00"
THEN next_state<=S2;
--输入不
Applications
1)编译:编译是EDA设计中的核
同,次态不同
应用设计:嵌入式系统
资源情况及引脚使用情况等信息。
心环节。软件将对设计输入文件进行
2 )时序仿真:编译后对系统和
逻辑化简、综合和优化, 适当地用一
各模块进行时序仿真,分析其时序关
片或多片器件进行适配,最后产生编
系,估计设计的性能及检查和消除竞
程用的编程文件。主要包括设计编译
争冒险是非常有必要的。仿真前,先
END IF;
和检查、逻辑优化和综合、适配和分
利用波形编辑器建立波形文件,仿真
WHEN S3=>comb_outputs<="
割、布局和布线、生成编程数据文件
结果将会生成报告文件和输出信号波
等过程。自动售货机控制模块V H D L
形,从中便可以观察到各个节点的信
文件编译报告如图2所示。报告中给出
号变化。若发现错误,则返回设计输
了进行编译的时间、采用的开发软件
入中修改设计逻辑。自动售货机控制
的版本、顶层设计实体名、选用器件
模块仿真波形如图3所示。
E L S I F s t a t e_i n p u t s<="01"
THEN next_state<=S3;
E L S I F s t a t e_i n p u t s<="10"
THEN next_state<=S4;
10";
--现态S3
IF
s t a t e_i n p u t s<="00"
THEN next_state<=S0;
--输入不
同,次态不同
3) F P G A实现:将编译阶段生
E L S I F s t a t e_i n p u t s<="01"
成的编程数据文件通过Quartus II 下
THEN next_state<=S1;
载到芯片E P F10K10L C84-4中,并在
E L S I F s t a t e_i n p u t s<="10"
电子设计自动化实验系统中进行测试
THEN next_state<=S2;
END IF;
图2 编译报告
WHEN S4=>comb_outputs<="
的系列和型号、时序分析情况、占用
11";
得到了正确的结果。
--现态S4
IF
s t a t e_i n p u t s<="00"
THEN next_state<=S0;
--输入不
同,次态不同
E L S I F s t a t e_i n p u t s<="01"
图3 时序仿真波形
THEN next_state<=S1;
E L S I F s t a t e_i n p u t s<="10"
THEN next_state<=S2;
END IF;
END CASE;
END PROCESS;
END state;
可配置英特尔凌动处理器满足多领域应用需求
英特尔公司推出的六款英特尔凌动E600系列系统芯片(研发代号“Tunnel
Creek”),能帮助用户轻松设计差异化定制产品,加快产品上市速度。前不
久,英特尔公司进一步发布了可配置的英特尔凌动处理器E600C系列,它将
英特尔凌动E600处理器和Altera现场可编程逻辑门阵列(FPGA)融入了一个封
装内。最新的英特尔凌动处理器可为客户提供更高的设计灵活性并加快产品
研发上市的进程。现在,客户无须重新进行复杂的硬件设计,即可轻松应对
不同的设计要求,从而降低了其开发成本。此外,由于采用单一封装、简化
编译、仿真及FPGA实现
在A l t e r a公司的可编程逻辑器件
的生产流程以及来自于英特尔的独家支持,新处理器还能节省主板空间,让
客户更好地控制盘存情况。
基于英特尔架构,英特尔凌动E600C系列处理器可以让原始设备制造商
集成开发平台Quartus II 8.0下完成程
灵活采用各种标准I/O接口和用户自定义I/O接口、高速连接技术、内存接口
序的编辑、编译并进行时序仿真。
以及处理加速技术,满足嵌入式设备市场不断增长的需求。
今日电子 · 2011年1月
49
应用设计:嵌入式系统
Applications
基于 TMS320DM6446 的 H.264
编码器的设计与优化
同济大学
白玉洁
2003年发布的H.264视频压缩编
在不降低编码质量的情况下提高程序
码,与解码所需的一些信息一起组成
码标准在一定程度上解决了要在尽可
运行效率,降低运算复杂度的一个实
一个压缩后的码流,经N A L供传输和
能低的码率下获得尽可能好的图像质
现方案。
存储用。
H.264编码器的算法流程
编码硬件平台概况
量这一问题。在相同的重建图像质量
下,H.264能够比H.263节约50%左右
的比特率,此外H.264还增强了其对
H.26 4编码器结 构如图1所示,
本文采用的达芬奇数字视频
网络的适应性,差错的恢复能力,使
输入的F n为当前帧或场,编码器以宏
评估模块D V E V M(D i g i t a l V i de o
其非常适用于数字视频存储、I P TV及
块为单位进行处理,每个宏块可以选
Evaluation Module)是TI提供的用
手机电视等视频质量要求高而信道传
择帧内或者帧间预测两种编码方式。
来评估DaVinci技术和DM644x体系架
输环境不稳定的场合。
如果采用帧内编码模式,其预测值
构的评估模块,是强调片上能力的一
由于加入了多模式位移估计、
P R E D(图中为P)是由本帧之前已经
个很好的参考平台。其硬件资源包括
基 于 4 × 4 块 的 整 数变 换 等 多 种 新 的
经过编码、解码、重建的一些样本点
T M320D M6446的D S P和A R M9的双
算法,使H.264算法本身的复杂度
生成。而如果采用帧间模式,则P由一
核芯片、128MB的SDRAM、16MB的
大 幅增 加。 因此 本文 采 用基 于T I 的
个或者多个参考帧的运动补偿预测生
NAND Flash以及丰富的外设接口。
TMS320DM6446的DAVINCI_EVM平
成。预测值P和当前块相减后,产生一
T M320D M6446中用于编码器具
台作为算法的硬件平台,提出针对达
个残差块D,经块变换、量化后产生
体实现的C64x+ DSP的时钟频率达到
芬奇平台对H.264编码器进行优化,
一组量化后的变换系数X,再经熵编
600MHz。C64x+ DS P的内部存储器
的配置包括32K B的程序存储器L1P、
80K B的数据存储器L1D和64K B的二
级缓存L2。图2为T M320D M6446中
DSP端的核心C64x+的结构原理图。
编码器在TM320DM6446上的实现
由于D S P平台与P C平台的差异
图1 H.264编码器结构
50
今日电子 · 2011年1月
性,必须对P C上开发的编码器程序进
Applications
应用设计:嵌入式系统
据搬移进行优化。
1 对编码器算法运动估计模块的
优化
由于D S P硬件资源有限,因此有
必要对H.264编码器中所耗时间较多
的模块进行优化,表1为H.264各模块
复杂度比较。
由表1 可见运动估计占了一半左
右的时间,运动估计复杂度高的主要
原因是采用了全搜索算法,虽然精度
非常高,但带来了大量的计算量。针
对这一问题,本文在已有的快速算法
图2 C64x+结构原理图
菱形搜索算法基础上进行进一步的优
行结构上的调整,并进行合理的内存
为D D R2,并将可执行的C代码及C代
分配才能在D S P平台上正常的运行。
码的堆存入外部存储器中。
化。
为了减少静止宏块被编码以及大
3 对DSP端的BOOT的设置
模板搜索所带来的运算量,首先在用
由于T M320D M6446采用双核的
菱形算法进行运动搜索之前以待编码
P C平台上用C语言实现的编码器
设计,A R M端只负责对整个工程的控
宏块周围已编码宏块的运动矢量信息
在D S P平台上的编码帧率(f p s)非常
制而不参与编码算法的具体实现。为
及S K I P状况为依据预测当前宏块是否
低,平均2秒才能编完一帧,其主要原
了保证编码算法能在D S P端无中断的
使用S K I P模式编码。当待编码宏块为
因是无法利用D S P的并行处理机制。
全速运行,需要对A R M端进行屏蔽,
非静止宏块时,再根据周围已编码宏块
因此针对C64x+的特点,将程序中对
并通过对DAVINCI_EVM跳线的设置
的S A D值预测当前宏块的运动剧烈程
流水线操作影响较大的的循环拆分成
使DSP端自BOOT。
度,若是运动平缓的宏块则直接使用小
主要实现步骤如下。
1 编码器C语言结构调整
若干小循环实现。对编码器运行速度
通过以上步骤编码器效率虽然
模板进行搜索。只有当待编码宏块被判
影响较大的模块如sad的计算,DCT变
有所提高,但仍无法满足实时性的要
定为剧烈运动的宏块时才进行大模板搜
换等采用C C S自带的图像库以提高编
求,因此必须结合DM6446本身的特点
索。由于多次的大模板搜索循环带来较
码效率。
对编码器算法进行进一步的优化。
大的计算量,因此在进行大模板搜索之
前首先根据周围宏块的信息对最大搜索
2 DSP端的内存配置
由于视频编码的数据存取量较
次数Ma x N um进行预估值,当大模板
编码器的优化
大,而 DAVINCI_EVM提供了256MB
本文对H.264算法的优化主要有
的搜索次数大于M a x N u m时直接跳转
的外部存储 器D D R2,因此通过对
两个方面:1)对算法中耗时较多的运
至小模板搜索。此流程设计可使静止宏
D S P/B I O S的设置将外部存储器设置
动估计模块进行优化。2)对D S P的数
块和运动平缓的宏块不进入运算量大的
表1 编码各模块所占时间百分比
运动估计
53%
环路滤波
0.10%
大模板搜索环节。优化后的菱形算法的
整数变换
15.20%
CAVLC
18.20%
其他
13.50%
流程如图3所示。
今日电子 · 2011年1月
51
应用设计:嵌入式系统
Applications
和外部内存中的代码和数据的效率。
出于上述考虑可以将L2作为L1与外部
存储器之 间的数据过渡 区。L1和 L2
之间的数据传递采用C64x+新引入的
I D M A,其原理跟E D M A相似,实现
两个内部存储器的高速数据传递。
为了 使E D M A可 以不间 断的实
现数据的搬移,本文采用了二级乒
乓传输的方式,首先在L1 S R A M和
L2 S R A M中开辟两个缓冲区,C P U
在处理一个当前宏块组数据之前先
处理E D M A和I D M A的传输申请,当
图3 优化算法流程图
C P U编码完一个宏块组时I D M A已将
2 对DSP数据搬移的优化
D M A(E D M A)实现。E D M A传输
数据搬移至离核最近的L1缓冲区,当
视频编码需要处理较大的数据
的发起方式有三种,包括手动触发方
C P U继续处理下一个宏块组前再次处
量,如一帧C I F格式的Y U V数据约有
式、外设事件发起方式及Q D M A模
理E D M A和I D M A的传输申请。如此
150K B,而H.264除了要存储当前帧
式。在编码算法中,每处理完一组宏
以乒乓传递的方式搬移数据可以保证
的信息外还必须存储重建帧和参考帧
块就要向CPU提出DMA传输申请,因
C P U处理数据时最短的等待时间。图
的信息,为此必须使用DM6446的片外
此采用Q D M A模式的传输发起方式更
4为L1、L2及外部存储器D D R2之间的
存储器,也即DDR。但是DSP的CPU
适用于编码算法。
对不同的存储器的访问速度是不一样
D S P核对两级内部
的,访问速度最快的是离D S P核最近
存储 器L 1和 L2 的 访问速
的L1P和L1D,其次是二级缓存L2,访
度也不同,如果将外部
问速度最慢的是D S P的片外存储器。
存储器的数据直接通过
D S P对不同的存储器的访问速度相差
E D M A传入L1D和L1P,
数倍。为了提高编码器的运行效率,
这样的传输方式虽然较
节省D S P核对各个模块访问所消耗的
快,但需要分配比较大
时钟周期,需要启用D S P的D MA作为
的L1 S R A M,这意味着
数据在两个存储器之间的传输通路。
L1的C a c h e就会变小,过
DMA的的特点是可以在不需要CPU干
小的L1 C a c h e会影响L2
预的情况下,在后台执行数据的高速
表2 优化前后的编码器的性能比较
传输,能够有效减轻CPU的负荷。
C 6 4 x +在 外 部 存 储 器 与 内 部 存
储器之间的数据传递可以通过增强型
视频名称
视频格式
Foreman
Suzie
Carphone
CIF
CIF
CIF
图4 存储器数据传递流程图
优化前
帧频率 (f/s)
PSNR (dB)
0.42
32.35
0.58
35.19
0.52
33.22
优化后
帧频率 (f/s)
PSNR (dB)
31.17
32.27
33.12
34.16
33.02
33.20
(下转第55页)
52
今日电子 · 2011年1月
Applications
应用设计:嵌入式系统
基于 PIC18F8520 的 GPS 精准时钟实现
石家庄开发区冀科双实科技有限公司
孙晓晔
G P S(G l o b a l P o s i t i o n i n g
耗低,首次定位和重捕获时间短,有
G P S15X L可以输出两种时间信
System,全球定位系统)是世界上最完
较强抗遮挡和抗干扰能力,性能极其
号:一是包含在串口输出信息中的
善的卫星导航系统。它不仅有覆盖全
稳定可靠,且操作简单,易于开发。
UTC(Coordinated Universal Time协
球的实时、连续的高精度的三维定位
可工作在3.3~5.4V电压范围内,本
调世界时)绝对时间(年、月、日、时、
能力,同时也有精准的授时功能。利
系统采用+3.3V供电;精密授时类型
分、秒);二是间隔为1s的同步脉冲信
用高度稳定、精确的星载原子钟作为
精度可达±50n s(典型值);具有串行端
号1PPS,其脉冲前沿与UTC的同步误
信号源进行时间传输和比对,已成为
口,输出为R S232,输入可为R S232或
差不超过1μ s。本系统主要是利用串
众多领域获取时间的重要手段。基于
者具有RS232极性的TTL电平,波特率
口输出的N M E A 0183语句获取U T C
此,本文利用G P S所提供的精确授时
从300~38 400可选,默认为4800,无
时间信息。
功能,采用单片机技术,实现了一种
奇偶校验,8个数据位,1个起始位,1
N ME A 0183语句以“$”开始,
G P S时钟,并将时间信息通过L C D进
个停止位。G P S15X L输出的数据以美
以<C R><L F>,即A S C I I字符“回
行显示。
国国家海洋电子协会(National Marine
车” (十 六进 制的 0D )和 “换 行”
Electronics Association)的NMEA0183
(十六进制的0A)结束。下面以本文
系统构成及硬件实现
A S C I I码接口协议为基础,可输出多
使用的GPRMC语句为例说明其格式:
1 系统构成
条语句,内容包括经度、纬度、速
$GP RMC,<1>,<2>,<3>,
G P S 时钟 系统 主要 由G P S 接收
度、方位角、高度、世界时、星历等
<4 >,<5>,<6 >,<7>,<8>,
机、单 片机、L C D显示器三 部分组
信息。还可输出G a rmi n二进制格式信
< 9 > , <1 0 > , <1 1 > ,< 1 2 > * h h
成,如图1所示。
息。非常适合应用于车辆导航、海事
<CR><LF>
导航、电力系统校时等。
图1 GPS时钟系统构成框图
$G P R M C:语句起始标志
对G P S15X L的配置,可以利用单
(Recommended Minimum Specific
片机通过NMEA 0183语句在程序中实
G P S/T R A N S I T D a t a推荐定位信
现,也可以利用S N S R C F G软件来进
息)
行。配置参数将被保存在永久性存储
GPS接收机
器中,下次加电时自动生效。为简化
在本系统中,G P S接收机采用
设计,本文采用第二种方法,即通过
Garmin公司的GPS15XL,它是12通道
SNS RCFG软件对GPS15XL进行初始
的G P S接收机,体积小,重量轻,功
化配置。
<1> U TC时间,h hmmss(时分
秒)格式
<2 >定位状态,A=有效定位,
V=无效定位
<9>U T C日期,d d m m y y(日月
今日电子 · 2011年1月
53
应用设计:嵌入式系统
Applications
应用系统中应用广泛。它可以显示两
在电路设计中,采用模拟电源、数字
最后的校验码*h h用于做奇偶校
行,每 行16个字符 ,采用单+ 5V电
电源分离,模拟地、数字地分离,模
验,通常不是必需的,但当周围环境有
源供电,外围电路配置简单,价格便
拟电源和数字电源之间通过磁珠连
较强的电磁干扰时则推荐使用校验码。
宜,具有很高的性价比。
接,模拟地和数字地通过0Ω电阻在单
年)格式
hh代表了“$”和“*”之间所有字符的
2 硬件电路
点连接,以避免模拟信号与数字信号
按位异或值(不包括这两个字符)。
系统的硬件电路如图2和图3所
之间的干扰,提高系统的可靠性。
单片机PIC18F8520
示。
系统采用M i c r o c h i p公司生产的
电路板上有3.3V和5V两种电压,
高性能RISC CPU PIC18F8520作为
其中L C D采用5V供电,而单片机和
控制器,它内部有32K B的F L A S H程
MA X3232工作在3.3V电压下。外部电
序存储器和2K B的S R A M数据存储
源为5V,经A S2830转换为3.3V,给
器、1K B的EEPROM数据存储器;运
单片机和M A X3232供电。从图2中可
算速度可达10M I P S;可以工作在D C
以看到,单片机的电源脚没有与3.3V
~40M H z的时钟频率范围之内;具
直接相连,而是通过J2、J3跳线即可
软件由主程序和中断子程序组
有US A RT接口,支持R S-485和R S-
连到3.3V上,也可连到V D D上。这
成,在MPLAB IDE v8.53环境下,
232,可与G P S模块相连,用来获取时
是因为单片机在采用P I C16-M C D2编
采用MPLAB C18编译器,用C语言编
间信息;支持I C S P在线串行编程,便
程器通过I C S P接口进行在线编程时由
程实现。
于软件的升级和维护;支持I C D,可
MCD2供电,这时,单片机电源脚需连
在主程序中进行系统的初始化、
以在线调试,缩短开发周期。
接到V DD上(M C D2输出电压V DD为
数据处理、LCD显示,GPS数据的接收
LCD显示器
5V);而正常运行时单片机由电路板
则通过串口中断实现,每收到一条完整
系统采用L C D16 02 作为显示设
供电,J2、J3跳线连接到3.3V上,单
的N M E A语句,就设定一个标志,在
片机就工作在3.3V,可以降低功耗。
主程序中通过查询该标志进行U T C时
备。L C D16 02液晶显示模块具有体
图3 单片机与GPS模块的连接
软件实现
积小、功耗低、显示内容丰富、超薄
P I C18F8520既有模拟电源、模拟
间的提取以及转换成北京时间,再通过
轻巧等优点,在袖珍式仪表和低功耗
地引脚也有数字电源、数字地引脚,
L C D显示。由于将复杂的数据处理放
到主程序中,这样就可以使中断程序尽
量简短,在发生其他中断时,单片机可
以及时做出响应,提高了系统的实时
性。流程图如图4所示。
由于G P S模块输出的时间为U T C
时间,根据习惯,需转换成本地时间
(北京时间),这项工作在主程序中
完成。根据本地时间=U T C时间+时
区值,而北京位于第8时区,时区值为
图2 单片机外围电路连接
54
今日电子 · 2011年1月
+8,故北京时间=U T C时间+8。在转
Applications
应用设计:嵌入式系统
换过程中,须对16:00:00—23:59:59
U T C时间作相应的处理,+8后判断小
时是否大于24,若大于,则减去24,
同时日期+1,另外,还涉及到闰年、
大月、小月的处理。
中断服务程序流程图中,串口接
收数据时判断字头,只判断了“$”
一个字符,这是因为之前已通过
SNS RCFG软件将GPS15XL设置为只
输出GPRMC一条NMEA语句。
图4 流程图
调试及运行
电,须注意电路板上跳线的设置。
精度时钟,并在L C D上显示,所实现
首先,对G P S15X L进行初始化。
最后,将G P S15X L与电路板连
的时钟系统是配电线路故障远程监测
将G P S15X L通过串口与P C连接好,给
接。给电路板和G P S15X L上电,授时
及定位系统项目的一部分,经测试,
GPS15XL上电,用软件SNSRCFG设置
接收系统进入正常工作状态,单片机
该设计运行稳定,能够为整个系统提
初始化信息,波特率设为9600,只输出
通过R S-232串行方式接收G P S15X L
供精确的时间信息,具有较高的可靠
一条GPRMC语句(要获取UTC日期和
的卫星数据,获取准确的时间信息,
性、准确性和实用性。此外,还可以
时间,一条GPRMC语句就足够了),
并转换成北京时间,通过LCD显示。
将G P S时钟的内核嵌入其他系统,以
将这些信息写入O E M板内的永久性存
储器中,下次上电时将会自动生效。
然后,通过电路板上预留的I C S P
注意:使用时,GPS授时天线一定
其时间作为系统时间,例如:金融/证
要放在开阔的室外,否则接收不到卫星
券实时结算、民航/铁路/交通调度系
信号,也就无法获取UTC时间信息。
统、各种计算机网络系统、实时通信
接口,利用P I C16-M C D2编程器将
编译好的程序写入单片机。编程时不
给电路板供电,单片机通过M C D2供
网络系统、体育彩票和福利彩票等实
时销售系统等,应用非常广泛。
结语
本文利用P I C18F8520提取G P S高
(上接第52页)
数据传入示意图。
化,减少了D S P核等待数据搬入所消
及D S P在编码时的数据搬移进行了优
3 优化结果及分析
耗的时钟周期。表中PSNR的值在优化
化,取得了初步的效果,基本可达到
表2为优化前后的H.264编码器对
前后并没有明显变化,说明优化后编
C I F格式序列的实时编码要求。由于
码质量未受大的影响。
D M6446具有D S P和A R M9的双核构
三个测试序列在DM6446上编码后的结
果比较。在表2中,优化后的帧频率比
优化前有了较大幅度的提高,这是由
架,A R M端负责对整个视频解决方案
结束语
的控制和对编码算法的调用,因此,
于对编码器的运动估计模块进行优化
本文结合D M6446的硬件结构特
下一步的工作重点为实现在A R M端对
后,有效减少了这一模块所消耗的时
点,将H.264编码器在D M6446中成
优化后的编码算法进行合理的调用和
钟周期。而对D S P数据搬移方式的优
功实现,并对编码器运动估计模块
控制。
今日电子 · 2011年1月
55
技术人生
Career
谢谢 21ic 中国电子网 10 年伴我行
程祥
有这样一个朋友,从来不向你提
朋友相识需要个缘分。佛教文
w w w.21i c.c o m”。张哥发话了,后
出任何要求,仅仅是尽其所能的来帮
化很注重这个缘分,如果甲和乙有了
果还真不错,你几乎可以找到你想要
助你,默默的伴随你前行,执着从没
缘分,就会发生某种联系。我和21i c
的所有,10年来相继浏览许多电子网
有过放弃你。10年弹指一挥间,他迎
就是有缘分的。大约10年前,那时在
站,可未曾发现一个像21i c中国电子
来了自己的10周年生日庆典,我想深
武汉求学,本来学的是电力系统强电
网那样精、准、全的。从此我与21i c
情的说声“谢谢朋友,辛苦了”。这
专业,在导师的指导下开始接触变电
中国电子网结下了情缘,10年不离不
个朋友就是与我每天见面的21i c中国
站自动化的弱电设计工作,逐渐对电
弃,相濡以沫。
电子网。
子信息技术产生了兴趣,找电路图、
21i c中国电子网,不仅给了我急
早晨起来,按照习惯打开了每日
电子器件,焊板子,调试程序,忙的
需的电子设计资料,同时,它的网友
都要浏览的21i c中国电子网,看到21i c
是不亦乐乎。电子技术仿佛为我打开
杂谈栏目也给了我许多人生的启迪。
电子网10周年庆典正文栏,勾起我1
了一扇窗,看到了一个新天地,风景
有关于如何创业的、如何规划职业
个月前想法——为相伴同行的老朋友
很迷人。当时的网络发展在国内只是
的、如何与老板相处的,许多都是同
庆祝一下生日,表达一下多年来的内
起步阶段,远没有今天发达,最麻烦
行朋友的心声和人生经验,使我感同
心感激之情。也许是中国人生来的含
的一件事就是很难搞到各种集成电路
身受,颇受启发。感谢某网友关于技
蓄,也许是生活工作的繁忙,总之我
的说明书。好在武汉有一家电子器件
术人格的剖析,很清晰、很透彻,感
们已经习惯了一种模式,即默默的奉
供货商力源公司,可以提供一些器件
谢某网友针对某个技术专题的见解,
献,默默的接受,有些时候让中国人
的影印服务。2001年,求学阶段结束
更感谢 21i c中国电子网搭建起一个电
说声感谢,还真不容易。再看一下征
了,分配到了老家沈阳,开始专门从
子技术交流的平台,让我们技术同行
稿的截至日期是8月15日,就是今天,
事电子设计工作,器件说明书的问题
交换心得,获得启迪。
不能再拖了,否则就得再等10年,等
变得更加困扰了。如果说武汉还有一
10年,在一个社会变革时期,
到21i c中国电子网20周年才能表达一
家可以提供器件说明书的,尽管有时
能够坚持去做一件非高盈利的服务事
下感激之情了。于是,把6 岁的孩子
影印的模模糊糊,好歹还是有啊,沈
业,需要的是执着和坚持,需要的是
送去幼儿园,把老人昨天要买的药买
阳可到好,发扬了一贯的东北人粗狂
一种信念。一个网站,10年背后又有
来,留下一点时间坐到电脑前,写上
豪迈的性格,只管卖,不管别的。怎
几多欢喜与忧愁。生存与发展,是一
几百字对老朋友的感激。不是什么作
么办呢?对了,有了问题先自己解
个永恒的主题,岂是一句简单的“不
家,也不是什么写手,文笔谈不上,
决,自己解决不了就看书,看书解决
容易”可以道出的。感谢那些服务于
抱着“言为心声,至诚难得”的想
不了就问朋友。后来,把这个问题扔
21ic中国电子网背后的朋友,谢谢了!
法,鼓励自己把这份感激写下来,表
给了来沈阳认识的一个做电子产品的
执子之手,与子偕老。20年后,
达出去。
高手张哥。张哥对我说:“上网,
56
今日电子 · 2011年1月
我将再文相助。谢谢!辛苦了!
特别报道
2010年的电子技术在市场复苏中发展
李晓延 王丽英
2 0 1 0 年 就这 样 飞快 地离 开了 我
2.4~5.5V输入产生高达14V输出电
率、电流模式架构确保在宽电源和输
们,在这一年中,电子行业的技术有
压,效率高于85%。第二路升压调节
出电压范围内稳定工作。
怎样的发展状况呢。在下文中,我们
器产生GON电压。LDO可提供300mA
就为大家做个简单的梳理。
负 载电 流 以及 高 精 度输 出 电压 。 集
数据转换和放大器
成运放用于驱动V C O M背板,具有
这两类是最基础的模拟元件,拓
150m A短路电流和45V/μ s摆率。
扑已经非常成熟,厂商所努力的就是
延 续了 上一 年的 势 头, 2 0 1 0 年
其还包含一个I2C控制的V C O M校准
在工艺上进行提高,以具有更优异的
的电源管理市场依然保持了强劲的增
器,可提供7位设置精度。器件还集
性能和更低的功耗;另外,针对特定
长 。除 了 传统 的 应 用领 域 外, 新 能
成了18Kb EEPROM,用于设置和控
的应用,进行具体的优化。模拟器件
源领域开始成为电源管理应用的新热
制VCOM电压。
的市场人气正旺,各个模拟器件厂商
电源管理
点。预计在未来的五年内,其将成为
电源管理产品增长的新动力。
随着国内很多城市采用了L E D作
为景观照明,L E D驱动器市场持续升
都开足了马力,加大生产能力,力争
获得更大的市场份额。
随着工艺的进步和对功率密度的
温。新型L E D驱动器的带负载能力和
从 2 0 1 0 年所 发 布的 产品 来看 ,
追求,电源管理I C本身所肩负的功能
调光比都大为增加。以L i n e a r公司的
通 信依 然 是高 性 能 的模 拟 原件 最 大
越来越多,P M I C的大量出现就是一
L T3496为例,其工作频率为2M H z,
的应用场合。 随着3G /4G的 推广,
个证明。这种芯片往往集成了多路的
为三通道恒流L E D驱动器。该器件
通 信基 础 设施 的 建 设正 在 加快 , 市
D C/D C,还有L D O,电源校准,甚
三个通道中的每一个都能驱动多达8
场 对大 量 高性 能 模 拟器 件 的需 要 在
至有简单的控制单元。以M A X I M公
个串联的500m A L E D,从而能够以
增加。T I公司就在今年推 出了超低
司的M A X17106来说,它就集成了2
高达96%的效率驱动多达24个500m A
功耗11位200MSPS ADC系列,可以
路升压调节器、一个L D O、一个带有
LED。LT3496在LED高压侧检测输出
满足远程无线电头端(r e m o t e r a d i o
缓冲器的可编程V C O M校准器、3路
电流,从而可实现降压、降压-升压
h e a d)、软件无线电、无线中继器以
高速运算放大器、一个高压电平转换
或升压型配置。每个通道都由独立的
及M I M O分集接收机等应用需求。
扫描驱动器以及18K b的E E P R O M。
真正色彩PWM(True Color PWM)
这些器件采用了可编程S N R B o o s t技
一路升压调节器具有1.2M H z的开关
信号控制,从而使每个通道都能以高
术能实现60M H z带宽下高达72.3d B
频率,且内置功率MOSFET,能够从
达3000: 1的调光比独立调光。固定频
的 S N R 性 能, 或 3 0 M H z 带 宽 下 达
今日电子 · 2011年1月
57
特别报道
75.4dB的 SNR性能,从而满足客户
值)的共模抑制比(C M R R),以
控制局域网(C A N)2.0B标准研制的控
3G与4G接收机灵敏度规范的要求。
及 1 0 2 d B( 典型 值) 的 电源 抑制 比
制器,可以满足工业和嵌入式网络应
在大带宽的背景下,通行系统对采样
(P S R R),可以应用在汽车,医疗
用需求。
率也有这更高的要求。A D I公司推出
和工业控制领域。
一样,在极力追求低功耗化。这也是
的A D9644就是具有14位分辨率的高
速ADC。AD9644在80MSPS 时功耗
MCU和DSP
为什么ARM架构能大行其道的原因。
为423m W,它采用多级、差分流水
在经历了2008和2009两年的低靡
相比于MCU,DSP的世界还处于
线 架构 , 并集 成 了 输出 纠 错逻 辑 。
后,2010年的MCU市场开始复苏,汽
战国时代,各种架构的D S P都有自己
在70M H z和80M S P S时,A D9644的
车电子,工控和家电依然是MCU应用
的一方天空。不过,从技术方面讲,
SNR(信噪比)为73.7dBFS,SFDR
的三驾马车,32位M C U开始成为主
D S P同其他类型数字I C的融合开始显
(无杂散动态范围)为92d B c。该产
流,过去意义上的低端市场也开始向
现,包括了同M C U的融合,同C P U
品 可以 适 合于 多 种 标准 下 的基 站 设
32位MCU开始靠拢。而8位MCU的应
的融合,甚至还有同F P G A的融合。
备。
用逐渐转向了无线方面,于Z i g b e e等
也许在未来,D S P将成为数字芯片中
低功耗无线标准相结合,形成无线控
的一个核心而不是独立的芯片而存在
制产品。
下去。
除了通信行业,医疗电子也是
数据转换器的应用热点,大型医疗诊
断 设备 的 精密 度 在 不断 提 高, 这 就
从产品的架构上来说,今年可谓
对 数据 转 换器 的 精 度也 提 出了 更 高
是ARM架构极为辉煌的一年,市场上
的要求。A D I公司针对医疗市场推出
出现大多数M C U采用了A R M内核,
随着全球经济的逐渐复苏,半
了A D C A D5791,其精度可以高达
其已经隐然成为市场的主流。良好的
导 体行 业 又迎 来 了 新一 轮 的增 长 。
1p p m。该产品具有最大±1L S B的相
授权,完备的产品线使其涵盖了所有
F P G A及P L D市场尤为明显,这个即
对精度指标,并且可以在最大±1LSB
市场领域。具体来说,C o r t e x-M3
便在2008年行业一片衰退的大环境下
D N L指标下保证单调性输出;此外,
架构主攻高端市场,C o r t e x-M0则
仍然保持增长的领域,更是率先进入
瞄准了低功耗应用。S T、T I、N X P
快速增长期。市场的强大需求促使可
等一批厂商纷纷推出了基于A R M内
编程器件厂商加大投入,为数不多的
相对于数据转换器来说,放大
核的产品。S T公司的S T M32是基于
几 家厂 商 摩拳 擦 掌 ,纷 纷 推出 自 己
器 产品 的 种类 可 谓 是举 不 胜举 。 但
C o r t e x-M3架构的,包括12个16位
的杀手产品,一时间,可编程器件市
是,从整体来说,今年放大器产品的
计 时器 , 其中 一 个 是电 机 控制 专 用
场,竞争纷起。
最大特点就是低功耗。以M i c r o c h i p
P W M计时器。计时器通道多达26
在高端F P G A市场,主要的竞争
公司的M C P6 H0 1和M C P 6H02
条,封装引脚数量最多100针。该系
在 使用 更 新的 工 艺 制程 , 降低 功 耗
(M C P6H01/2)为例,这两款通用
列还 提供 一个 1 2位高速 模数 转换 器
和成本。赛灵思公司和Alt era公司都
运算放大器的静态电流只有135μ A。
(A D C),以解决各种工业控制的
推出了28nm产品。赛灵思的28nm 7
不 过, 低 功耗 并 没 有影 响 其性 能 ,
要求。同时,在C o r t e x-M0架构方
系列F P G A功耗锐减50%,容量高达
这两 款器件 还具有 3.5m V(最 大
面,则有如NXP公司的LPC11C12 和
200万个逻辑单元,配合其目标设计
值)的输入失调电压、100d B(典型
L P C11C14这样的产品,它们是针对
平台战略,将F P G A、I S E设计套件
-6
它还具有0.025×10 的低频噪声和
-6
0.05×10 /C的输出漂移。
58
另外,M C U器件也同其他器件
今日电子 · 2011年1月
FPGA及PLD
特别报道
软件工具和I P、开发套件以及目标参
术,安捷伦公司在2010年4月推出了
降低40%。
考 设计 整 合在 一 起 ,使 客 户能 够 充
在中低端F P G A及P L D市场,则
硬件实现模拟带宽32GHz的infiniium
分利用现有的设计投资,降低整体成
更 注重 产 品面 向 市 场的 细 分及 差 异
90000 X 系列示波器,这款示波器
本,满足不断发展的市场需求。前不
化。莱迪思公司的MachXO2 PLD系
具 有极 低 的本 底 噪 声和 本 底抖 动 ,
久,赛灵思又宣布推出业界首项堆叠
列,为设计人员提供了一个适用于大
能 够确 保 卓越 的 测 量精 度 。力 科 公
硅 片互 连 技术 , 即 通过 在 单个 封 装
批 量、 成 本敏 感 设 计的 “ 全功 能 的
司在9月份宣布推出带宽达45G H z的
中集成多个F P G A芯片,实现突破性
P L D”,由于采用了低功耗65n m嵌
W a v e M a st e r8Zi-A数字示波器、串
的容量、带宽和功耗优势,据赛灵思
入式闪存技术,与上一代产品相比,
行数据分析仪,其基于第二代锗化硅
称,通过采用3D封装技术和硅通孔
M a c h X O2提供了3倍的逻辑密度、
半导体材料,30~45G H z带宽8Z i-A
(TSV)技术,其28nm 7系列FPGA目
10倍的嵌入式存储器、降低了100倍
型号示波器采用了第六代D B I技术有
标设计平台所能满足的资源需求,是
以上的静态功耗并 减少了高达30%
效可靠地 扩展带宽,消除 了D S P 提
最大单芯片F P G A所能达到的两倍。
的成本 。另外,混合 信号F P G A 为
升 带宽 带 来的 有 害 影响 。 泰克 公 司
A l t e r a公司在进入28n m新工艺制程
F P G A开创了新的应用市场。A c t e l
则通过收购SyntheSys Research,
后,也采用了一系列创新技术:嵌入
公司推出了S m a r t F u s i o n——带有
拥有了B E R T S c o p e误码率分析仪,
式HardCopy模块、部分重新配置新
A R M C o r t e x-M3处理器和可编程
BERTScope是检验 PCIE、SATA、
方法以及嵌入式28G b/s收发器。其
模拟资源的F P G A器件,让嵌入式产
U S B 3.0等接收机一致性的首选解
Stratix V FPGA系列可提供110万逻
品设计人员使用单芯片便能轻易构建
决方案,它简化了根据一致性测试规
辑单元(L E)、53M b嵌入式存储器、
所需要的系统,获得全部所需功能,
范进行测试的工作,并完全实现了自
36 80个1 8×18 乘法器,以及工作在
而且无须牺牲产品性能。莱迪思公司
动 化, 使 工程 师 能 够非 常 简便 地 完
28G b/s超高速率的集成收发器。另
推出了可编程混合信号产品Pl at fo rm
成 一致 性 测试 , 除 一致 性 测试 外 ,
一家FPGA新创公司Achronix则后来
Ma na g e r,它集成了电源管理和数字
BERTScope也是调试、验证和检定任
居上,帅先进入22n m工艺制程。据
化管理功能,大大减少了电路板的元
务的最优选择。
Achronix介绍,其基于英特尔22nm
器件数量,不仅节省了涉及时间和电
工艺的Speedster22i FPGA产品将打
路板的面积,还可以降低设计风险。
端的市场更广阔。以示波器为例,全
球示波器市场规模在10亿美元左右,
破现有F P G A的各种极限,以高性价
比 实现 各 种高 性 能 器件 的 生成 , 这
相比高端应用市场,其实,中低
2G H z带宽以下的中低端示波器,无论
电子测量仪器
些器件在规模上将超过250万个查找
伴随着行业的复苏,电子测量仪器
是从出货量还是销售额都占有相当大
表(L U T),相当于一个具有2000
厂商开始加大投入,以占领更多市场。
的比例,广阔的市场需求吸引了更多
3.0、
的投入。2010年6月,R&S公司首次进
过借助英特尔22n m工艺技术的性能
D i s p l a y P o r t等高速串行总线的快速
入示波器市场,推出两个带宽500MHz
和功耗优势,S p e edst e r22i还将扩展
发展,催生着高端测试仪器的开发。
~2G H z的中端示波器产品,泰克公司
F P G A的速度和功效界限,与采用其
安捷伦、泰克和力科公司都推出了自
于12月宣布对其现有示波器产品家族
他工艺技术的F P G A相比,可实现性
己 的一 系 列解 决 方 案。 借 助于 专 有
进行重大扩展,推出全新的混合信号
能提升达300%、功耗降低50%和成本
“磷化铟(I n P)”集成电路制程技
示波器——MSO/DPO5000系列,以及
万门的专用集成电路(A S I C)。通
USB
3.0、P C I
E
(下转第61页)
今日电子 · 2011年1月
59
特别报道
创新,让世界更美好
王丽英
在当今充满挑战的市场环境中,
在自动化测试测量领域,以软
研机构Frost&Sullivan公司发布的报
如何推出差异化的创新产品已经成为
件为中心的模块化架构已经成为一种
告显示,近年来,P X I系统发布数量
制 胜的 关 键。 如 何 创新 , 对于 工 程
主流趋势,它由两个部分组成:模块
一直以17.6%的年平均复合增长率增
师来说是个巨大的挑战。11月4日,
化硬件和灵活强大的软件。模块化I/
长。目前,全球已发布超过100000套
由美国国家仪器(N I)公司主办的
O允许用户根据自己的应用需求来自
P X I系统,发布的P X I模块数量超过
NIDa ys 2010全球图形化系统设计盛
由组合前端的模块化仪器,并进行方
600000个,应用于多个行业领域。通
会 在上 海 举行 , 为 工程 师 奉上 了 一
便的配置重组和升级维护。模块化I/
过 开放 的 软件 工 具 不仅 可 以将 来 自
场内容丰富的工程创新博览会。作为
O在整个架构中可以帮助我们获取前
不同厂商的P X I模块集成在一个系统
已成功举办了11届的年度大型技术盛
端的原始数据信号,而隐藏在原始数
中,还可以兼容集成其他不同的总线
会,今年的N I D a y s以“创新,让世
据背后我们感兴趣的信号特征则可以
平台,从而构建混合总线系统。N I提
界更美好”为主题,通过全天五大专
通 过软 件 来获 得 。 软件 的 灵活 性 可
供了从底层驱动服务、应用开发软件
题、近20场技术讲座、六大互动展示
以 帮助 我 们实 现 自 定义 的 信号 分 析
到测试管理软件等的一系列完整的软
区的产品与应用展示,向与会工程师
和处理,软件的另一个作用是可以对
件工具,帮助用户构建适合自己需求
介绍了N I的产品与技术如何帮助全球
模块化I/O进行配置,甚至自定义模
的测试系统。
的工程师实现创新应用。
块化I/O本身的功能。P X I是目前主
在工业嵌入式领域,工程师迫切
流的模块化硬件平台,它不仅是一个
需要快速实现各种定制需求,例如,
总线标准,更是关于模块化仪器架构
动态信号分析、自定义控制算法设计
的 定义 规 范。 根 据 世界 权 威市 场 调
等。N I图形化系统设计平台可以帮
创新的工具平台
正如N I中国总经理陈大庞在致辞
中所指出的:“做创新的工作需要有
最好的创新手段和工具”。对于工程
师,要开展创新工作,首先要选择合
适的工具。而N I图形化系统设计平台
就为工程师提供了一个这样的创新工
具,可以帮助工程师在各种应用领域
更轻松地开展创新工作。
60
今日电子 · 2011年1月
图1 以软件为核心的模块化架构通过软件可以集成PXI和其他多种硬件平台
特别报道
领域的应用,也让人大开眼界。
助他们最大限度地利用商业现成可用
性、如何提高开发效率以及LabVIEW
的硬件平台和软件工具实现各种自定
编 程技 巧 与方 法 等 ,让 与 会来 宾 对
随着现代化进程的推进与全球
义 功能 , 从而 降 低 系统 设 计的 复 杂
L a b V I E W有了更加深入的认识和了
范围的技术竞争,工程创新已成为企
度,缩短投产时间。这一平台中针对
解。
业核心的竞争优势,作为技术的掌门
人,工程师更是肩负起了实现工程创
工业嵌入式应用的核心硬件平台是N I
Comp actR IO,它由可编程的嵌入式
多领域的创新应用
新、引领科技发展的职责。三十多年
实时控制器、带有F P G A的背板以及
大会上,主办方N I公司借用了世
来,各行各业的工程师在N I平台的帮
不同的I/O模块所组成,通过软件编
博会的概念,别出心裁地在展示区设
助下,走在了创新之路的最前沿。如
程可以快速配置F P G A所实现的逻辑
立了不同的国家馆,展示世界各地的
今,从最新的光纤光栅采集技术,到
功能,相当于通过软件快速进行硬件
工程师和科学家基于N I平台在不同行
实时在线的信号处理技术;从为人类
级自定义。
业实现的各种创新应用。除了国家馆
无论是自动化测试测量还是
区,NIDays的展示区域还包括前沿技
工 业嵌 入 式应 用 , 作为 核 心软 件 的
术馆、测试测量馆、工业嵌入式馆、
L a b V I E W无疑是大会的一个热门话
L a b V I E W主题馆等专题展区,不仅
题。从诞生起至今20余年,LabVIEW
主题突出,而且更加强调互动性。展
凭借其图形化的创新开发方式、与各
出的创新应用吸引了众多参观者,如
类硬件的无缝集成以及不断与最新前
“中国企业联合馆”的汽车电子测试
沿 技术 的 融合 , 成 为了 工 程师 与 科
系统、音视频测试系统、结构健康监
学 家实 现 技术 飞 跃 与创 新 的得 力 工
测系统、机器状态监测系统以及生物
健康而考虑的生物医电技术,到为建
具。在本次大会上,主办方专门开设
医电演示等;其他国家馆中展示的盲
筑安全而设计的结构监测技术,N I公
的L a b V I E W专题讲座向与会工程师
人驾驶车辆、参加RoboCup的仿人机
司通过开发与融合更多新技术,正帮
全面介绍了LabVIEW 2010的最新特
器人,以及半导体测试、航空航天等
助工程师实现更多的创新应用。
升级的MSO/DPO4000 B系列示波器。
迎。作为成功的模块化仪器的一种,
表(DMM)和开关等产品。
在低端市场,泰克则推出了TDS2000C
P X I系统发布数量一直以17.6%的年
系列和针对中国市场的T D S1000C-
平均复合增长率增长。据2010年P X I
S C 系 列 示波 器, 带 宽从 5 0 M H z 至
T A C会上的介绍,全球已发布超过
2010年是市场全面复苏的一年,
200MHz,型号丰富,起价更低,让低
100000套PXI系统,发布的PXI模块数
各个厂商都挣得钵满盘满,一些新鲜
端市场的用户有更多选择。
量超过600000个。传统的台式仪器厂
的电子产品也出现在市场中(i P a d,
模块化仪器正进入更广泛的应用
商也开始加大对模块化仪器的投入,
k i n e c t)。展望即将到来的一年,不
领域,由于具有一定的灵活性和用户
安捷伦公司前不久推出了几十款P X I
知还有什么产品会吸引大家的目光,
自定义性,以及低成本性,基于软件
和AXIe产品,包括数字转换器、任意
就让我们拭目以待吧。
的模块化仪器受到越来越多用户的欢
波形发生器、数字示波器、数字万用
图2 中国企业联合馆展示的车载应力测试
及机箱式应力系统
(上接第59页)
总结
今日电子 · 2011年1月
61
产品汇总:微控制器/微处理器
高性能、低功耗32位浮点数字信号
处理器
高性能SHARC 2148x及低功耗SHARC 2147x系列
处理器集成高达5M b的存储器,为多种应用提供了单芯
片、浮点信号处理精度,并为便携式设备实现了高端系统
功能。 SHARC 2148x系列处理器比其他32位浮点DSP产
品在性能上提高了33%(400MHz),SHARC 2147x系列处
理器功耗仅为363m W(典型值),比其他同类处理器降低
达20%,并提供最高266M H z的性能。S H A R C 2148x和
SHARC 2147x处理器具备专用的硬件加速器和独立的计
算单元以及D M A存储映射,实现了后台执行F F T/F I T/
I I R信号处理工作的能力,可减轻内核处理负担。存储器
的使用率是通过变量指令集架构(VISA)支持实现优化的,
这样可以通过缩减指令操作码大小,为应用代码释放多达
30%的存储空间。
ADI
电话:800-810-1742
http://www.analog.com
集成图形加速单元的PIC24F单片机
Products Update
低功耗8位微控制器
低功耗的A T t i n y 10/20/40微控制器系列专门针对
按键、滑块和滑轮等触控感应应用予以优化,具有低功
耗特点和极佳的触控感应性能。该系列产品以高性能的
AVR MCU为基础,包括一个RISC控制器架构、优化的
触控电路,是一款具有高集成度的低成本方案。A T t i n y
10/20/40器件集成有1~4K B的闪存,带有32~256K B
的S R A M。这些器件支持S P I和T W I(具备I2C兼容性)通
信,提供1.8~5.5V的工作电压。A T ti nyA VR使用专有
的picoPower技术,耗电极低。通过软件控制系统时钟频
率,取得系统性能与耗电之间的极佳平衡。通过在不使用
时选择关闭计时器、串行接口或A D C,可进一步省电。
器件以1MHz在1.8V活动模式(active mode)下耗电少于
200μA,而在关电模式下,仅是100nA。
Atmel
电话:021-6280-9234
http://www.atmel.com
高性价比交互式终端ARM处理器
P r o c h i p S E P4020处理器定义为面向以e P O S为代
表的高性能、低成本嵌入式处理器。芯片内含8K D/
这8款P I C24F J256D A单片机系列器件集成了3个图
I C A C H E,标准M M U,可运行标准L i n u x,主频最高
形加速单元和1个显示控制器,以及96K B的R A M。这种
96MHz;EMI(外部存储)接口支持NOR Flash和低成本
集成因不需要外部R A M和现实控制器,既降低了系统成
NAND Flash启动,内嵌64KB高速ESRAM;内置LCDC,
本,又为范围广泛的嵌入式应用增加了先进图形显示功
可直接驱动640×480以下的TFT数字屏;内置10M/100M自
能。通过集成用于U S B和电容式触摸传感的外设,进一
适应以太网MA C,可满足低成本的以太网接入应用;内含
步节省了成本。PIC24FJ256DA系列的应用实例包括:消
USB DEVICE、I2S、PWM、UART接口(均支持红外);
费类(恒温器、无绳电话、遥控器和游戏配件),家电
内嵌符合I S O7816时序的两路智能卡控制器,GP I O最多支
(咖啡机和其他台式电器、烤箱、冰箱和洗衣机),工业
持97个,极大满足软件灵活控制外围各种慢速设备,支持
(P O S机和远程终端)及便携式医疗(血糖仪、血压监视
多种功耗模式,待机Sleep模式下典型功耗仅为19.42mW;
器和便携式心电图)等。
满足工业级温度标准。
62
Microchip Technology
南京博芯电子技术有限公司
电话:021-5407-5533
电话:025-8319-6327
http://www.microchip.com
http://www.prochip.com.cn
今日电子 · 2011年1月
New Products
新品发布
内首款采用单一厂商提供的W i-F i、
电压条件下工作。除L C D控制功能以
HomePlug AV和以太网芯片的解决方
外,“MB95470系列”和“MB95410系
具有浮点单元的32位AVR微控制器
案,其经过优化后,提供了极佳的有线
列”还搭载了通用性高的各种定时器、
AVR UC3 C系列产品是首个带有
和无线网络体验,包括:混合路由器参
模拟比较器、高分辨率的A/D转换器和
浮点单元(floating point unit, FPU)
考设计——这些路由器基于创锐讯首款
振荡电路,大大减少了客户的系统构成
的32位A V R微控制器,主要面向工业控
混合解决方案,设计作为数字家庭的中
零部件的件数,从而降低了成本。该系
制应用,具有高处理能力、真正的5V运
枢,可以为有线和无线网络设备提供超
列产品均采用单线式片上调试功能,用
作、高速通信和先进的安全性与可靠性
过500M b/s的网络容量,并能可靠地接
户开发时只需占用极少引脚数即可进行
的独特组合,并且采用一系列小型和微
入互联网及整个 家庭中的联网媒体内
调试。
型封装。
容;电力线/以太网适配器参考设计——
富士通微电子(上海)有限公司
创锐讯P L C适配器分为单端口版本和四
电话:021-6146-4260
端口版本,为连接家庭媒体中心提供了
http://www.fujitsu.com
集成电路
Integrated Circuits
理想的解决方案,可以为四台非无线设
备提供宽带接入,如电视机、蓝光光碟
内建智能纠错的新型闪存
播放机、机顶盒和存储设备。这些适配
ClearNAND通过在同一个NAND
U C3 C系列工作电压范围设计为
器即插即用,设置轻松简便,采用家中
封装内整合错误管理技术,解决了
3.0~5.5V,能够实现真正的5V工作。
现有的电路,数 据传送速率可以高达
NA ND在传统上面临的由工艺微缩方面
5V供电电压是众多工业控制应用为获得
200M b/s;W i-F i/电力线范围扩展装
所带来的问题。C l e a r N A N D采用传统
较好的信噪比而提出的要求,尤其是那
置参考设计——通过将范围扩展装置简
的N A N D接口,且为高容量和高性能
些需要很大的开关电流或非常灵敏的模
单地插入电力插座中,消费者可以扩展
应用进行了专门优化。C l e a r N A N D产
拟器件的应用。U C3 C系列带有一条9
无线网络,把网络延伸到家庭远处拐角
品率先采用25n m多层单元(M L C)工
层数据总线、64+4K B高速S R A M,以
及其他信号不易触及的移动W i-F i产品
艺,提供两种版本产品:标准型和增强
及一组混合高速通信外设,其中包括一
中,与P L C适配器一样,范围扩展装置
型ClearNAND。
个100Mb/s以太网、双CAN端口和一个
也可以连接带有以太网端口的非无线设
全速U S B接口。较大型的器件还包含一
备。
标准型C l e a r N A N D产品提供8~
32G B的封装容量,其特点在于通过最
个S D R A M接口。系统设计人员利用分
Atheros
少的驱动程序改动消除主处理器的纠错
层数据总线和和分离式S R A M架构,能
电话:021-6182-0900
码(ECC)负担。标准型Cl earNAND
够轻松消除高速通信冲突,从而避免数
http://www.atheros.com
产品组合是专门针对便携式媒体播放器
和其他消费类电子产品而设计的。
据包丢失或系统性能的降低。
Atmel
内置段式L C D控制器的高性能8
电话:021-6280-9234
位微控制器
http://www.atmel.com
混合家庭网络解决方案
该混合家庭网络解决方案是业
增强型C l e a r N A N D产品除了移除
主处理器上纠错码(E C C)的负担,更提
这些内置了段式L C D控制器的
供针对企业应用需求的特定功能,强化
高性能8位微控制器包括12款64引
超大容量设计,提供增强的性能和可靠
脚“M B95470系列”、6款80引脚
性。该系列产品提供16~64G B的封装
“M B95410系列”,可在2.4~5.5V的
容量。增强型C l e a r N A N D产品专门针
今日电子 · 2011年1月
63
新品发布
New Products
多达72个13.1Gb/s收发器,能够提供高
号L6470)目前已投入量产,采用散热
Micron Technology
达2.8T b/s的全双工吞吐量。这些功能
强化型HTSSOP28封装(L6470H)。
http://www.micron.com
继续扩展了Virtex-7系列的整体系统性
STMicroelectronics
能,使其逻辑容量提高2倍,存储带宽
电话:010-5984-6288
提高1.3倍,静态功耗效率提升2倍,现
http://www.stmicroelectronics.com.cn
对企业级和数据处理类产品的应用。
针对安全及多应用的微控制器
S m a r t MX2微控制器产品采用了全
有带宽则是同类竞争产品的2.7倍。支
新的IntegralSecurity架构,不仅提供前
持Virtex-7 FPGA的ISE Design Suite
所未有的多重多应用安全性能,同时还
软件工具已上市。首批Virtex-7 HT器
不影响产品的便利性、整体性能以及设
件预计将于2012年上半年上市。
高集成度解调器IC
A D R F6850适合蜂窝基站、卫星通
信、点对点无线电和防务系统等宽带应
计效率。S m a r t M X2的灵活性和可扩展
Xilinx
用。与使用多个芯片的分立解决方案不
性适于广泛的应用,例如电子政务、金
电话:00852-2424-5200
同,ADRF6850将一个60dB VGA(可
融结算、付费电视、移动交易、公共交
http://www.xilinx.com
变增益放大器)、一个小数N分频P L L
通、门禁管理和设备验证。主要特性:
IntegralSecurity架构,具有100多个防攻
(锁相环)频率合成器、一个VCO(压
单片电机控制器
控振荡器)和两个基带A D C驱动器全
击的安全功能,并符合CC EAL 6+认证
该单片电机控制器dSP I N采用先进
部集成于一个8mm×8mm LFCSP封装
要求;高性能SmartMX2 CPU,具有增
的独有制程,支持数字、模拟以及功率
中。A D R F6850工作在100~1000M H z
强的8
32位应用指令集;高功效、高速
器件集成,除了在单片上整合更多的功
的宽频率范围,支持最高达250M H z的
加密协处理器,支持RSA/ECC和DES/
能外,d S P I N还采用创新的电压模式控
窄带和宽带信号模式。该器件提供优异
A E S加密算法;优化I S O/I E C 14443接
制演算法,确保电机极其顺畅平稳的运
的R F性能,输入I P3(三阶交调截点)
口,支持小天线尺寸;支持M I F A R E
转。电压模式可 实现全数字化电机控
为22 .5d B m,P1d B(输入压缩点)
D E S F i r e、P l u s C P U和M I F A R E
制,向电机相位馈入精确的正弦波形,
为1 2d B,噪声 系数为1 1d B。此外,
Classic,可实现无缝应用整合。
达到每步128微步的分辨率。此外,新
A D R F6850还支持用户可选的S P I/I2C
串行接口。
NXP Semiconductors
电话:010-6517-2288
ADI
http://scn.nxp.com
电话:800-810-1742
http://www.analog.com
内置28Gb/s收发器的Virt ex-7
HT系列FPGA
用于汽车数字仪表板和导航系统
Virtex-7 HT FPGA内置4~16个
产品可以降低共振、机械噪声以及低速
符合O I F C E I-28G标准(光互连论坛
振动,在低速模式下还能降低转速和转
M B86R11是一款单芯片系统L S I,
的28G b/s通用电气输入输出规范)的
矩波动。该产品主要针对安全摄像头、
集成了A R M最新的C o r t e x-A9T M
28Gb/s收发器,Virtex-7 HT FPGA
自动取款机、自动售票机、舞台灯光、
CPU核心、4路视频输入端口和多达3路
致力于为用于下一代100~400Gb/s系统
打印机以及自动售货机等应用所开发,
显示输出端口,以及各种外设接口以满
线路卡的C F P2和Q S F P2光纤模块提供
让设备厂商以更低的成本实现反应更快
足汽车应用的要求。其可使系统可以根
接入互连。Virtex-7 HT FPGA还拥有
的高性能电机驱动器。d S P I N(产品型
据不同的驾驶情境自由改变显示内容。
64
今日电子 · 2011年1月
的图像显示LSI芯片
New Products
新品发布
除此之外,通过允许驾驶员从摄影机视
电话:021-6335-1198
色的7n s快速响应时间。此外,该器件
频画面来查看汽车周围的状况,支持环
http://www.intersil.com
还具有一个传播延迟为9n s的内置快速
比较器。该比较器的门限电压可由用户
保驾驶,以直观的方式向驾驶员传递各
种信息来提升驾驶安全性。
性 能 特
低噪声、低失真、1.35G H z差分
设定,因而提供了一个灵活的跳变点。
放大器
LTC5564能够测量-24~+16dBm的RF
点:4个视频
MA X9626 MA X9628非常适合用
输入信号。该动态范围由一个具有4种
输入端口可
于驱动通信、医疗成像、自动测试设备
增益设定值的可编程增益输出放大器提
以同时处理各
和高性能仪表等应用中的12
16位流水
供,而这4种增益设定值由两个二进制
种不同的视
线A D C。该系列器件集成增益设置电
加权的逻辑输入引脚进行数字式控制。
频图像。输入端口支持高达1280×720
阻,因而无须外部反馈电阻。这种方式
像素的分辨率;内置3个输出显示控制
可有效降低寄生效应,提高带宽和THD
器,其中2个可复用并各自输出两个显
性能,同时节省空间和成本。
示屏,共计支持多达5个显示输出;内
置专用视频处理引擎可进行边缘增强、
色彩校正和背光的调整。
富士通微电子(上海)有限公司
因此,在测量低电平信号时可实现灵敏
电话:021-6335-1560
度的改善。除此之外,输出放大器的带
http://www.fujitsu.com
宽还能满足对高达75M H z的R F调制信
号进行包络解调的要求。L T C5564可从
MAX9626 MAX9628的输出共模电
单3.3~5V电源来供电。标准操作模式
I S L28218是一款双通道运算放大
压通过一个输入引脚(VOCM)设置,无须
的标称消耗电流为44m A。该器件的额
器,采用单电源和对地基准输入、轨到
使用耦合变压器或交流耦合电容。这种方
定工作温度范围为-40~+85℃。
轨输出,单电源或双电源工作为设计提
式能够避免外部元件非理想特性的影响,
Linear Technology
供了灵活性。其可靠的输入级具有电路
因而可节省电路板空间、提高性能。此
电话:00852-2428-0303
保护功能,宽共模电压最大范围低于负
外,宽输出共模范围和在单电源供电时接
Email:[email protected]
电轨0.5V。ISL28218可供设计人员用于
受负输入信号的能力,使该系列器件能够
http://www.linear.com.cn
各种恶劣的工作环境,以及信号低于对
与市面上大多数A D C接口,从而可大大
地电压的传感应用。
提高设计灵活性、缩短上市时间。
40V单电源双通道运算放大器
I S L28218采用I n t e r s i l自主研发的
Maxim
混和信号微控制器
M A X Q7670A在之前推出的
硅绝缘体(S O I)双极性工艺技术,具有
电话:010-6211-5199
M A X Q7670基础上,将混和信号测量的
极为可靠的抗闩锁能力。该器件额定静
http://www.maxim-ic.com.cn
性能扩展至12位,电压测量范围可达微伏
级,能够用于多种类型的传感器。其具有
电放电为HBM 3keV,机器放电模式为
300V,在-40~+125℃温度范围内恶劣
的工业环境下具有可靠的性能。
Intersil
精准RF功率检波器
八路单端/四路差分输入、可编程增益差
LT C5564在600MH z~15G Hz的频
分放大器(1倍增益或16倍增益)和12位分
率范围内工作,对脉冲R F信号具有出
辨率的125ksps ADC。器件能够对传感器
今日电子 · 2011年1月
65
新品发布
New Products
输出进行数字化处理,以实现超高精度的
MEMS压力传感器
功率放大器提供 负电压,从而可简化
物理参数测量;监测电源电压,以响应电
L P S001W P是一款微型压力传感
设备设计并节省成本。只需一个3.0~
源失效事件;内置的看门狗定时器可确
器,采用创新的传感技术,能够精确地
4.8V的外部电源即可维持放大器信号输
保系统可靠工作。器件的数字接口包括
测量压力和海拔高度。它的压力检测量
出,线路驱动器输出信号的电压最高可
CAN 2.0B控制器、SPI接口、JTAG接口
程从300~1100m P a,相当于从-750~
达2V r m s。主要特性:当连接16Ω负载
和7个通用I/O。此外,还提供带捕获、
+9000m海拔高度之间的气压,可检测
比较和PWM功能的通用定时器。
到最小0.065m P a的气压变化,相当于
M A X Q7670A包含一个16位R I S C
80cm的海拔高度。
M A X Q微控制器内核,能够以16M I P S
速率在单指令周期内完成数据获取和执
行。此外,器件还内置2K B数据SR AM
和64K B汽车级闪存。内部8K B固定用
时,立体声耳机输出功率100m W,1%
途R O M支持在系统编程功能,可实现
总谐波失真及噪声(T H D+N);在整
现场升级。硬件密码功能可防止未经授
个电源电压范围内,立体声线路驱动器
权访问闪存。
L P S001W P内的压力传感器是通过
输出电压2V r m s;两个功能的静态电流
Maxim
覆盖在气腔上的柔性硅薄膜检测压力变
均为5m A;关断电流1μ A,睡眠唤醒
电话:010-6211-5199
化。与传统的硅微加工薄膜相比,新产
时间30m s;耳机和线路驱动器输出的电
http://www.maxim-ic.com.cn
品的薄膜非常小,内建的微机械止动结
源电压抑制比(PSRR)均为90dB。
构可防止气压破坏薄膜。这个薄膜包括
STMicroelectronics
集成高速USB 2.0-to-Gigabit
电阻值随着外部压力变化而改变的微型
电话:010-5984-6288
以太网器件
压电电阻器。压力传感器监控硅薄膜电
http://www.stmicroelectronics.com.cn
L A N7500是S M S C U S B-t o-
阻的变化,采用温度补偿方法修正变化
E t h e r n e t系列解决方案的最新成员,
偏差,把检测到变化信息转换成二进制
具有极强抗干扰能力的新款红外
它符合U S B V1和V2规范,以及I E E E
比特数据,通过工业标准I2C或S P I通信
接收器
802.3/802.3u/802.3a b以太网标准。
接口将数据传送至设备主处理器。
A G C5是用于遥控系统的完整系列
S MS C的Ne t De t a c h低功耗技术可让主
STMicroelectronics
微型自动增益控制分类5(A G C5)的红外
处理器进入C3低功耗状态,以进一步
电话:010-5984-6288
接收器。每款接收器包括一个安装在环
节能。此外,LAN7500支持Windows、
http://www.stmicroelectronics.com.cn
氧树脂密封的模块里的光探测器和前置
放大器电路,透明的模块可用做红外透
Ma c和Li nux最新版操作系统的多种驱动
程序。经测试,LAN7500可达到350Mb/s
立体声音频放大器芯片
镜。A G C5接收器可在2.5~5.5V的电
的持续数据传输率,非常适合于通用扩
T S4604集成100m W立体声耳机输
源电压下工作,根据封装的不同,最小
展基座(docking stations)、网络打印机、
出和可连接液晶 和等离子电视、机顶
辐射照度为0.1~0.15m W/m 2。这意
DTV/PVR和机顶盒等应用。
盒、个人录像机、蓝光播放器以及电脑
味着大多数标准 遥控器在黑暗环境中
SMSC
音频产品(如声 卡)的音频线路输出
的传输距离可以达到45m。模块的载波
http://www.smsc.com
驱动器。T S4604集成电荷泵电路,向
频率范围为30~56k H z,供电电流低至
66
今日电子 · 2011年1月
新品发布
New Products
军品级性能的零阻值贴片电阻
0.35m A,符合R o H S 2002/95/E C和
颗螺丝就可固定,从而可帮助需要在光
WEEE 2002/96/EC规范。
源设计中采用单颗器件的客户简化制造
RCWPM Jumper零阻值贴片电阻
Vishay Intertechnology
流程。在用于传统的筒灯应用时,采用
通过了M I L-P R F-32159的R C Z型认
Email:[email protected]
C X A20的灯具亮度比26W的紧凑型荧
证,对于军工、 航空、航天、医疗及
http://www.vishay.com
光灯(C F L)或100W的白炽灯泡高38%,
其他在恶劣环境中的应用,R C W P M
且输入功率仅为14W。CX A20 LED阵
Jumper可提供确定的可靠性和军品级的
列可分别在11W和27W输入功率下提供
失效率。
光电器件
Optoelectronics
1050l m和2000l m的光通量,为3000K暖
用于无线鼠标的低功率光学传感器
ADNS-2080和ADNS-3000 LED
传感器利用先进的低功率架构和自动电
白色温。
膜电阻体和96%氧化铝衬底,“B”型
Cree
接头的镍阻挡层外又裹了一层锡/铅合
http:www.cree.com
金。器件的电镀 镍阻断层使器件能在
源管理模式,使无线鼠标在只使用一枚
A A电池的情况下可以操作长达一年之
久。
R C W P M J u m p e r采用稳定的厚
+150℃的高温下工作。该跳线按照p e r
元器件与组件
Components & Subassemblies
MIL-PRF-32159的Group A标准进行
了100%的筛选,符合I E C 61249-2-21
定义的无卤素标准。
具有极低导通电阻的30V MOSFET
PSMN1R0-30YLC是NextPower
系列首款30V Power-SO8 MOSFET,
Vishay Intertechnology
Email:[email protected]
http://www.vishay.com
拥有极低的导通电阻,4.5V时仅为
1.4m Ω,专门针对4.5V开关应用
专用于无线Modem的脉冲电容器
A D N S-2080和A D N S-3000光学
优化,采用L F P A K 封装技术,是目
固钽贴片电容器592W专门针对无
传感器可对无线鼠标进行精确高速的跟
前业界最牢固的P o w e r-S O8封装。
线调制解调器中 的脉冲工作模式而设
踪,可进行高达30i p s的运动检测和20g
计,在6.3~10V电压范围内,具有330
的加速度检测。 该传感器还具有高达
~2200μ F的高容值,3种外形尺寸的高
2000每英寸测量次数(c p i)的可选择分辨
度为1.6mm和2.0mm。
率,以便进行极为精确敏感的导航。
Avago Technologies
电话:0755-8207-2628
http://www.avagotech.cn
NextPower技术已专门针对高性能DC/
D C转换应用进行了优化,例如,隔离
照明级LED阵列
C X A20 L E D阵列能够以11W的
电源和电源O R-i n g中的同步降压调节
器、同步整流器。
电容器经过了各项浪涌电流测
NXP Semiconductors
试, 符合R o H S 规范 ,可在-5 5℃~
C X A20阵列采用单个均一光学系统、
电话:010-6517-2288
+125℃(电压降额)的温度范围内工作,
22m m×22m m紧凑型封装,且只需两
http://scn.nxp.com
标准的容值容差为±20%。可应用在无
功率实现60W
A 型 白 炽灯 的 亮 度 。
今日电子 · 2011年1月
67
新品发布
New Products
线调制解调器、固态驱动器和移动立体
Diodes Incorporated
低至0.6V的较低输出电压而设计,并具
声音频中,作为 大容量储能电容器使
电话:021-5241-4882
有±1.5%总D C输出误差以及电压和负
用。
http:www.diodes.com
载调节性能。每路输出都提供高达8A电
流。其具内部时钟,可实现多相工作,
Vishay Intertechnology
Email:[email protected]
6输出DC/DC微型模块稳压器
L T M8008包含一个3~72V输入的
http://www.vishay.com
S E P I C转换器和6个线性稳压器,采用
电 源
Power Sources
小型15mm×15m m×2.82mm L GA封
装。其运用一个具降压和升压转换功能
新型低压差线性稳压器
的内置SEPIC转换器对3~72V的输入电
有助于降低所需的大容量电容。此外,
该系列包括300m A、150m V压降
源进行调节,以产生5.6V输出。这个
这个双路微型模块稳压器含有一个内置
的A P7335和600m A、300m V压降的
5.6V电压反过来再为 6个线性稳压器
的温度二极管, 允许用户监视内部温
A P7365,能够在以上工业温度范围内
供电,以产生5个5V轨和一个3.3V轨,
度。跟踪和裕度控制功能在需要各种不
提供精度2%的可调及固定输出电压操
同电压轨的复杂系统中简化了电源排序
作。这两款器件 的宽输入电压范围为
和电压改变。
2~6V,可提供0.8~5V的可调输出电
Linear Technology
压以及0.8V、1.0V、1.2V、1.5V、
电话:00852-2428-0303
1.8V、2.0V、2.5V、2.8V、3.0V、
Email:[email protected]
3.3V和3.9V的固定电压。其35μA极低
http://www.linear.com.cn
静态电流意为着这些器件能够有效节省
同时输出电流范围为150~500m A。该
低功率和电池操作产品的电力。
器件可为面向汽车、国防和航空电子等
市场应付极其密集的负载点电压调节需
求。
高亮度LED驱动器
L M3450内置主动式功率因素修正
器(P F C)和相位调光译码器,确保可
Linear Technology
在极宽的可编程调光范围内灯光的明暗
电话:00852-2428-0303
变化稳定,不会出现闪烁情况。
Email:[email protected]
http://www.linear.com.cn
A P73x x能够驱动低等效串联电阻
DC/DC微型模块稳压器
(E S R)1μ F积层陶瓷电容(M L C C)输出
L T M4 6 2 8是含有 两个降 压型8 A
电容,有助提高 稳定性并降低电路成
D C /D C 转换器 电路 的微型 模块 稳压
本。该系列器件也能够在1k H z的情况
器,该器件在15m m×15m m×4.32m m
L M3450可以在准确调整输出的同
下,提供高达65d B的额定电源抑制比
LGA封装中集成了电感器、MOSFET和
时,又可大幅提高输入端的功率因素。
(P S R R),为音频和射频(R F)应用提供
其他必需的组件。L T M4628专为将4.5
内置的相位调光 译码器可以解译相位
低噪声、高质量的电源。
~26.5V的电源电压转换至两个5.5V到
角,并将之变换为适用于500H z P WM
68
今日电子 · 2011年1月
New Products
新品发布
输出的D I M信号,以便驱动器可以调
控L E D的亮度。由于L M3450驱动器具
备这些功能,因此它是适用于驱动1 0
~100W负载的可调相位离线L E D驱动
器。L M3450芯片还有其他优点,其中
包括模拟调整输 入功能。工程师可利
用这一功能提供热能反馈(T h e r m a l
Foldback)、传感器线路联系或调光范
围调校等不同功能。
National Semiconductor
电话:021-5206-2288
http://www.national.com
高度集成的离线式开关IC
LinkZero-LP可在负载断开后自动
进入创新的零输入功率模式,从而将空
载功耗降至0W。新款I C适用于小型便
携设备,如手机、媒体播放器、电子书
阅读器、电动工具和电动牙刷等产品所
使用的充电器和适配器,最高输出功率
达3.2W。
LinkZero-LP适合低成本的无钳位
设计,它具有非常严格的I C参数容差,
能提升系统制造的良品率。S O-8C封
装中的爬电距离非常大,加上带迟滞热
关断保护的集成700V MOSFET,可进
一步增强系统的 现场应用可靠性。而
且,100k H z的工作频率可减小充电器
尺寸,频率抖动功能可大幅降低E M I滤
今日电子 · 2011年1月
69
新品发布
New Products
号传输的边缘与相位失真;可降低待机
滤器,以便在探头的菜单下增加一个新
Power Integrations
流耗;支持中国及欧洲设计要求以及普
的HiTemp选项,即可使用。
http://www.powerint.com
及的专利充电模式;
波的成本。
用于蓝光录放机的电源芯片
LeCroy
采用“无峰值”
电话:010-8280-0318
电流限制拓
http://www.lecroy.com
S T O D D01整合的三个电源可驱动
扑,其 可
低压数字I C、模拟电路以及激光头驱动
大幅 提升 电
器。其每路电源通道均采用高效开关式
流限制准确度,缩
转换器,可最大幅度地降低能耗和热产
小电源尺寸;充电时间的缩短:与典型
信号示波器具有 极高的性价比。其功
生量。该产品还具有真正的关机模式,
USB端口相比,可加速设备充电速度。
能特点包括:最大波形捕获率大于
高性价比中端示波器
M S O/D P O5000系列数字和混合
Texas Instruments
250,000wfm/s的FastAcq功能——用来
电话:800-820-8682
发现瞬间信号;FastFrame分段存储器
http://www.ti.com
采集和长记录长度以高分辨率捕获长时
间周期;大量内建工具用于深入分析复
测试和测量
可最大幅度地降 低待机功耗。主要特
杂信号;基于Windows的用户界面,能
轻松联网,操作直观。
Test & Measurement
性:可实现数字电路的0.8A降压转换
高低温环境下的示波器探头附件
器;可编程的激光头驱动器输出电压,
该高低温环境下的示波器探头附件
适用于电池供电 的便携设备;复位控
让用户可以测量工作温度范围在-40℃
制;QFN16L 4mm×4mm封装。
~+105℃的被测体,而通常情况下,
STMicroelectronics
电话:010-5984-6288
该系列示波器共有8个型号,范围
http://www.stmicroelectronics.com.cn
为从350M H z模拟带宽和5G S/s采样率
集成型USB充电端口的电源开关
到针对高端应用的2G H z带宽和10G S/s
T P S2540支持全球各种U S B充电模
采样率。记录长度范围从12.5M直到用
式,包括即将推出的Battery Charging
示波器和探头工作温度范围是5℃~
于深入分析和调试的250M。每个型号
1.2草案规范、中国及欧洲法规要求以
40℃。新的高低温探头附件是力科差分
都有4个模拟通道。M S O机型还提供16
及领先电子O E M厂商的专利充电模式
探头D620/D610和D320/D310的一个选
个数字通道和内建并行总线触发与解码
等。它是首款即便在主机系统处于待机
件,它由90cm长的耐高低温电缆和可工
功能。另外,如果客户需要,D P O机型
模式也可使便携式设备通过U S B端口充
作在高低温环境下的焊接前端组成。对
可现场升级至MSO机型。
电的器件。性能特点:集成电源开关与
于已购买了力科D X20/D X10探头但现
Tektronix
数据开关,可节省空间,降低成本。低
在需要订购H i T e m p附件的用户,需要
电话:010-6235-1210
电容与低导通电阻可最大限度地降低信
将探头返回到力科维修中心安装新的过
http://www.tektronix.com.cn
70
今日电子 · 2011年1月
New Products
新品发布
具有超低电容负荷的示波器无源
探头
T P P1000和T P P0500无源电压探头
具有 无源探头 的优点 ——高输 入动态
范围 、健壮的 机械设 计和低 成本——
和有源探头的类 似性能。这些高阻抗
(10M Ω输入阻抗)无源电压探头尖端
处的电容负荷只有3.9p F,可限制对电
路的影响。T P P1000无源探头还提供
1G H z带宽。凭借双倍带宽和一半电容
负荷的优势,T P P系列探头可提供更精
确的信号捕获。作为M S O/D P O5000和
M S O/D P O4000B系列示波器的标配,
T P P探头为客户增加附加值的同时,不
收取额外费用。
Tektronix
电话:010-6235-1210
http://www.tektronix.com.cn
泄漏电流测试仪
泄漏电流测试仪S T5540/5541主要
面向两大用户群─—医疗器械厂家和家
电厂家,是3156型产品的替代产品,
今日电子 · 2011年1月
71
新品发布
New Products
其中,S T5540面向医疗器械厂商,而
A R M多核处理器的支持能力,以进一
Agilent Technologies
ST5541则是针对家电厂商。
步扩大Wind River Hypervisor的硬件
电话:800-810-0189
支持范围。
http://www.agilent.com
S T 5 5 4 0 延 续 了 3 1 5 6 的 功 能, 而
S T5541省略了患者泄漏电流(也就是
Wind River Hypervisor 1.2连同
network B=IEC60601-01)的测量功
最新版的操作系统及开发工具共同组成
Spartan-6/Virtex-6 FPGA
能。与3156比较,S T5540/5541改善和
了风河公司覆盖范围十分广泛的多核软
DSP开发套件
提高的规格有:接口标准配置了U S B,
件阵容,其中包 含了针对多核硬件需
赛灵 思公 司推出 三款 新型 开发套
代替了G P-I B;被测物的额定电流提高
求而特别优化的 操作系统、工具套件
件,包括带有集成ADC/DAC的新型高
到20A;最大的测试电流是50m A;不
以及具备极佳可伸缩性、全面适应单核
性能Virtex-6 FPGA DSP套件、增强
用停电的电极切换功能(电源极性切换
和多核处理器硬 件架构的嵌入式虚拟
型低成本Spartan-6 FPGA DSP套件,
时,不再需要切断电源);上下限数值
化平台。Wind River Hypervisor不但
以及Spartan-6 FPGA协处理DSP套件,
的设定,通过下限值的设定,可以检查
已针对最新版VxWorks、Wind River
这三款套件均集成了AMBA 4 AXI 4
出接触上的问题(保险丝断线检查功能
Linux、Wind River Workbench以及
互连和I P支持。该D S P开发套件进一步
和测试线脱落等);可以对应最新的医
Wind River Test Management测试管
提升了数字信号处理开发人员的实力,
疗规格(IEC60601-01 3rd)实验(只
理工具进行了优化,而且也可以和风河
帮助他们方便地应用F P G A,进而实现
限S T5540);与3156同样,新产品的
旗下所有产品完美无缝集成。
最高信号处理性能、成本与功耗优化,
并通过协处理技术解决系统瓶颈。
主机中也标准配 置了各种测量电路;
Wind River
S T5540/5541的外观尺寸和3156基本相
电话:010-6439-8185
Xilinx
同;配合3156一同使用的9267软件,也
http://www.windriver.com
电话:00852-2424-5200
可以用在ST5540/5541上。
http://www.xilinx.com
支持多重技术设计的ADS 2011
HIOKI
电话:021-6391-0096
ADS 2011 提供了突破性的创新功
Talus 1.2缩短SoC设计周期
能,能够满足当今射频系统封装(S i P)
T a l u s 1.2可显著缩短片上系统
组件所需的多重技术设计。A D S 2011
(S o C)的设计周期,让工程师能够
的新功能包括: 真正的多重技术射频
结合运用串扰规避、高级片上变异
设计,可通过多 重技术(电路板、基
(A O C V)和多模多角(M M M C)分
板、封装、模块和 I C)进行共同设
析功能在大型设计或具有200~500个单
风河新版Hypervisor拓展嵌入式
计;软件使用,可支持每位工程师轻松
元的电路模块上实现每天100~150万个
虚拟化支持
执行电磁仿真(通过 M o m e n t u m 和
单元的设计。T al us已通过了40nm节点
1.2版Wind River Hypervisor是
F E M);电磁场技术,可提升速度、
芯片的验证,目前应用于复杂的28n m
专为虚拟化应用而设计的实时性嵌入式
精度和收敛性;负载牵引功能,可以根
设计。拥有这些最新的增强功能,
Hypervisor的最新版本,特别针对飞思
据测试数据快速完成更佳的匹配电路设
Talus对于处理20nm及20nm以下工艺节
卡尔(Freescale)QorIQ P2/P4系列平
计;版图改进,可以在A D S中更轻松地
点设计问题已有了万全准备。
台与Inte l Xeon 5600系列处理器提供
进行物理设计;针对所有 ADS 用户,
Magma
应用支持能力,并计划于2011年增加对
提供许多其他功能和增强特性
http://www.magma-da.com
http://www.hioki.com.cn
软件/开发工具
Soft ware & tools
72
今日电子 · 2011年1月