AN622: Arria VおよびCyclone Vのデザイン・ガイドライン

Arria V および Cyclone V のデザイン・
ガイドライン
AN-662-1.0
アプリケーション・ノート
このアプリケーション・ノートでは、Arria® V または Cyclone® V の FPGA 使用するデ
ザインを作成するときのデザイン・ガイドライン、推奨事項、および考慮すべき要
素が含まれるチェックリストのセットを提供します。
■
成功デザインにとって極めて重要であるデザイン・プロセスの早い段階で FPGA お
よびシステムをプランニングするために、このドキュメントを使用します。
■
良好な結果を達成し、一般的な問題を回避し、デザインの生産性を向上させるに
は、デザイン・プロセス全体を通じてアルテラの推奨事項に従うことが重要で
す。
図 1 に、OpenCore Plus 評価機能を使用したデザイン・フローを示します。
このドキュメントの項では、デザインフローの各部分のためのチェックリストやガ
イドラインを提供します。
図 1. Arria V および Cyclone V のデザイン・フロー
Board Design
Power Pins Planning
Specifications
Early Planning
Design Specifications
• IP Selection
Early Board Design
Planning
Device Selection
Early Pin Planning
and I/O Assignment
Design Entry
Configuration Pins
Planning
Design Implementation
Synthesis
and Compilation
I/O and Clock Planning
I/O Features and
Pin Connections
Hierarchical Team-based
Design Planning
Timing Optimization
and Analysis
Functional Timing
Simulation
Clock Planning
Formal Verification
I/O SSN Considerations
Power Analysis
and Optimization
1
101 Innovation Drive
San Jose, CA 95134
www.altera.com
2013 年 1 月
Arria V および Cyclone V SoC FPGA のデバイス・バリアントについては、このドキュメ
ントに記載されているガイドラインは、専用デバイスの FPGA 部分にのみ適用されま
す。
© 1? Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS
and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and
in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders
as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current
specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services
at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the
latest version of device specifications before relying on any published information and before placing orders for products or
services.
ISO
9001:2008
Registered
Altera Corporation
Feedback Subscribe
ページ 2
ご使用になる前に
ご使用になる前に
デザインおよび FPGA システムのプランニングおよびデザインを開始する前に、
FPGA デバイスの機能、また Arria V または Cyclone V デバイス・ファミリに適用可能
なデザイン・ツールを理解します。
表 1. 前提条件チェックリス ( その 1 )
項目 v チェックリスト項目
1.

FPGA のデバイスの概要を読みます。
デバイスの概要は、機能とデバイス・ファミリで使用可能なオプションの概
要を示します。デバイス・ファミリの製品と一般的な機能を理解するために
文書をお読みください。各 FPGA デバイス・ファミリの概要については、次
のドキュメントを参照してください:
2.
■
Arria V デバイスの概要
■
Cyclone V デバイスの概要
 デザインの要件を推定します。
次の用語でデザインの大まかな見積もりを作成します。
3.
■
製品の基本的な機能
■
類似した以前のデザイン
■
一般的なデバイスの要件
 使用可能なデザイン・ツールを確認します。
使用可能なデザイン、推定、システム・ビルダ、および検証ツールを考慮し
てください。以下の項目は、アルテラが提供する使用可能なツールの一部で
す。
■
Qsys、シミュレーション・ツール、および検証ツールとの統合を含め、デ
ザイン、シンセシス、シミュレーション、およびプログラミングのための
Quartus®II ソフトウェア。
■
Qsys システム統合ツール —IP(Intellectual Property)の機能やサブシステム
を接続するためのインタコネクト・ロジックを生成する SOPC ビルダの次
世代。
■
Mentor Graphics® ModelSim®-Altera® シミュレーション・ソフトウェア。
■
Synopsys® Design Constraints(SDC)フォーマットのサポートを持つスタ
ティックのタイミング解析のための TimeQuest Timing Analyzer 。
■
電力解析および最適化のための PowerPlay Power Analyzer。
■
SignalProbe および SignalTap II Logic Analyzer のデバッグ・ツール。
■
QuartusII ソフトウェアで入手可能な External Memory Interface Toolkit。
■
トランシーバ・リンク・シグナル・インテグリティのリアルタイム検証の
ため Transceiver Toolkit。
詳細については、アルテラ・ウェブサイトの次の資料を参照してください。
■
Design Tools & Services
■
Design Software Support
■
Transceiver Toolkit
SOPC Builder から Qsys のに移行するガイドラインについては、AN 632: SOPC
Builder to Qsys Migration Guidelines. を参照してください
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザイン仕様
ページ 3
表 1. 前提条件チェックリス ( その 2 )
項目 v チェックリスト項目
4.
 利用可能な IP を確認します。
アルテラとサードパーティ IP パートナーは、実装と検証時間を短縮するため
に実装できるアルテラのデバイス用に最適化された IP コアのパラメータ化さ
れたブロックの大規模な選択を提供します。
推定要件に基づいて、必要とする機能を提供する使用可能な IP アドレスを
チェックするために、アルテラ・ウェブサイトの All Intellectual Property ペー
ジを 参照してください。
デザイン仕様
典型的には、FPGA は、システム全体の重要な部分であり、システム・デザインの他
の部分に影響を与えます。次の手順でデザイン例を完成させます。
表 2. デザイン仕様チェックリスト ( その 1 )
項目 v チェックリスト項目
1.
 詳細なデザイン仕様を作成します。
ロジック・デザインを作成したり、システム・デザインを完成させる前に、
デザインの詳細な仕様を確定する必要があります。
2.
■
モジュールの I/O バンクを選択します。
■
異なるクロックドメインを識別します。
■
基本的なデザイン関数のブロック図を含みます。
■
共通のデザイン・ディレクトリを考慮 — デザインが複数の設計者を含む場
合、一般的なデザイン・ディレクトリ構造は、デザイン統合段階を容易に
します。
 詳細な機能検証やテスト・プランを作成します。
システムの検証方法を確認するために機能検証のプランを作成します。また、
この段階でテスト・プランを作成すると、DFT(Design-For-Testability)および
DFM(Design-For-Manufacturability)も容易になります。
たとえば、インタフェースを駆動するビルト・イン・セルフ・テスト(BIST)
機能を実行することをプランニングしている場合、FPGA デバイス内の
Nios®II プロセッサと UART インタフェースを使用するようにプランニングす
ることができます。
詳細は、10 ページの「使用可能なオンチップ・デバッグ・ツールを確認しま
す。」を参照してください。
3.
 システム・デザイン、特に I/O インタフェースに影響を与える IP を選択しま
す。
詳細なデザイン仕様の IP(Intellectual Property)のブロックを含んでください。
これらの仕様を作成することにより、デザイン効率が向上します。
アルテラとサードパーティ IP パートナーによって提供される使用可能な IP
アドレスのリストについては、アルテラ・ウェブサイトの All Intellectual
Property ページを参照してください。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 4
デバイスの選択
表 2. デザイン仕様チェックリスト ( その 2 )
項目
v チェックリスト項目
4.
 ボード・デザインで OpenCore Plus の Tethered モードをサポートしていること
を確認します。
多くの IP コアで使用可能な OpenCore Plus 機能を使用して、IP ライセンスを
購入する前に、FPGA をプログラムして、ハードウェアでデザインを確認する
ことができます。OpenCore Plus は、以下のモードをサポートします。
5.
■
Untethered(アンテザード)— デザインは限定時間のみ実行されます。
■
ethered— デザインは、ハードウェアの評価期間の期間中に実行されます。
このモードでは、ボード上の JTAG ポートおよび Quartus II Programmer を実
行するホスト・コンピュータに接続されているアルテラのダウンロード・
ケーブルが必要です。Tethered モードを使用する場合、ボード・デザインで
この動作モードがサポートされていることを確認します。
 使用可能なシステム開発ツールを確認します。
詳細については、アルテラ・ウェブサイトの次の資料を参照してください。
■
Design Tools & Services
■
Design Software Support
デバイスの選択
デザインのために適しているデバイス・バリアント、密度、およびパッケージの組
み合わせを決定するために、次のチェックリストを使用します。
表 3. デバイス選択の検討事項 ( その 1 )
事項 v チェックリスト項目
1.
 使用可能なデバイスの亜種を検討します。
Arria V と Cyclone V デバイス・ファミリは、さまざまなアプリケーション要件
に最適化されるいくつかのデバイスの亜種で構成されています。
トランシーバ、I/O ピン数、LVDS チャネル、パッケージの種類、ロジック /
メモリ / マルチプライヤの集積度、PLL、クロック配線およびスピード・グ
レードに基づいて、デバイスを選択します。
詳細については、下記の資料を参照してください。
2.
■
Arria V デバイスの概要
■
Cyclone V デバイスの概要
 必要なロジック、メモリ、および乗算密度を推定します。
Arria V または Cyclone V デバイスは、デバイス・ロジック・リソースの異なる
量を提供する密度の範囲を提供します。必要なロジック集積度の決定は、デ
ザイン・プランニング・プロセスの中でも困難な作業になる可能性がありま
す。より多くのロジック・リソースの多いデバイスは、大きく複雑なデザイ
ンを実装することが可能ですが、通常コストも高くなります。デバイスが小
さいほど、スタティック消費電力も少なくなります。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デバイスの選択
ページ 5
表 3. デバイス選択の検討事項 ( その 2 )
事項 v チェックリスト項目
3.
 バーティカル・デバイス・マイグレーションの対応の可否および要件を検討
します。
デザインを別のデバイス集積度にマイグレーションするオプションが必要か
どうか決定します。デザインが完成に近づいたら、将来のデバイス・マイグ
レーションに柔軟に対応するために、デバイスの集積度とパッケージを選択
します。
ピン移行の互換性を確認するには、Quartus II ソフトウェアのピン・プランナ
で Pin Migration View ウィンドウを使用しています。Pin Planner で Pin Migration
View を使用して、マイグレーション・デバイス間に存在する可能性があるピ
ンの違いを識別します。
■
一つのデバイスが V CC または GND に接続するためのピンを持っていますが、
別のデバイス上の I/O ピンである場合、Quartus II ソフトウェアは、これら
のピンは I/O のために使用されていないことを確認します。移行について
は、これらのピンは正しく PCB プレーンに接続されていることを確認しま
す。
■
同じパッケージ内の 2 つのデバイス間で移行する場合、オリジナル・デザ
インで大きなダイの VCC または GND に小さいダイに接続されていないピン
を接続します。
ピン・マイグレーションの互換性の検証について詳しくは、「Quartus II ハン
ドブック 」の「“I/O Management 」の章を参照してください。
4.
 類似したデザインのリソース使用率レポートを確認します。
アルテラ・デバイスをターゲットとする他のデザインがある場合、それらの
リソース使用率を新しいデザインの見積もりとして使用することができます。
Quartus II ソフトウェアで使用されるコーディング・スタイル、デバイス・
アーキテクチャ、および最適化オプションは、大幅にデザインのリソースの
使用率およびタイミング性能に影響を与えることができます。
アルテラの IP デザインの特定のコンフィギュレーションのためのリソース使
用率を推定するために、アルテラ・ウェブサイトの IP and Megafunctions ペー
ジで関連するアルテラのメガファンクションと IP MegaCores ユーザーガイド
を参照してください 。
5.
 今後の開発およびデバッグのために、デバイスのリソースを予備します。
デザイン・サイクルの後半でロジックを追加したり、デザインをアップグ
レードまたは拡張できるように、ある程度の安全マージンを持ってデザイン
要件に最適なデバイスを選択します。デバイスの空きスペースを増やして、
インクリメンタルまたはチーム・ベース・デザインのデザイン・フロアプラ
ンを容易に作成できるようにしたい場合もあります。
11 ページの「デバッグ・ツールをプランニングするためのガイドラインを考
慮します。」の説明に従って、デバッグ用リソースの確保について検討しま
す。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 6
デバイスの選択
表 3. デバイス選択の検討事項 ( その 3 )
事項 v チェックリスト項目
6.
 必要とする I/O ピンの数を見積もります。
デザインの他のシステム・ブロックとのインタフェース要件を考慮して、ア
プリケーションに必要な I/O ピン数を決定します。使用されている I/O ピン数
を決定するために、Quartus II ソフトウェアで既存のデザインをコンパイルす
ることができます。
また、他の要素もデザインに必要とする I/O ピン数に影響できます。例えば
同時スイッチング・ノイズ(SSN)
、ピン配置ガイドライン、ピンが専用入力
として使用、各 I/O バンクの I/O 規格の対応の可否、ロウとカラム I/O バンク
の I/O 規格およびスピード間の差、および移行のオプションなどです。
ピン位置の選択について詳しくは、7 ページの「ボード・デザイン」およ
び 20 ページの「I/O およびクロックのプランニング」の該当するトピックを
参照してください。
7.
 デバッグのために予約する I/O ピンを検討します。
11 ページの「デバッグ・ツールをプランニングするためのガイドラインを考
慮します。」の説明に従って、デバッグ用の I/O ピンを予約について検討しま
す。
8.

LVDS チャネルの数が十分であることを確認します。
大きな密度とパッケージピン数は差動信号のためのより全二重 LVDS チャネ
ルを提供します。お使いのデバイスの集積度とパッケージの組み合わせが十
分な LVDS チャネルを備えていることを確認してください。
9.

PLL とクロック配線リソースの数を確認します。
選択されたデバイスの集積度のパッケージ組み合わせがデザインのための十
分な PLL とクロック配線リソースを含むことをチェックします。グローバ
ル・クロック・リソースが特定の PLL 間で共有されるため、利用可能な入力
に影響できます。
クロック・ピンおよびグローバル配線リソースに関する詳細および参照につ
いては、を参照してください。20 ページの「I/O およびクロックのプランニン
グ」
10.
 必要とするデバイスのスピードグレードを決定します。
デバイスのスピード・グレードは、デバイスのタイミング性能およびタイミ
ング・クロージャ、また消費電力に影響を与えます。デザインに必要なス
ピード・グレードを判断する 1 つの方法は、特定の I/O インタフェースでサ
ポートされているクロック・レートを検討することです。
異なるデバイスのスピード・グレードでのデバイスの異なるサイドの I/O ピ
ンを使用してメモリ・インタフェースでサポートされているクロック・レー
トについて詳しくは、External Memory Interface Spec Estimator ページの推定ツー
ルを使用してください 。
タイミング必要条件を満たすために設計を最適化して、より少ない時間が費
やされるので、コンパイル時間を縮小するためにプロトタイプを作る間に、
最も速いスピード・グレードを使用することができます。
使用可能なスピード・グレードについて詳しくは、次のドキュメントを参照
してください:
■
Arria V デバイスのデータシート
■
Cyclone V デバイスのデータシート
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 7
ボード・デザイン
ボードをデザインするためのガイドラインとして、このセクションでチェックリス
トを使用してください。
早期ボード・デザイン
早期プランニングによって、FPGA チームは PCB ボード設計者とシステム設計者に情
報を提供できます。
表 4. 初期ボード・プランニング・チェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 使用可能なコンフィギュレーション手法を確認します。
Arria V および Cyclone V デバイスは、数種類のコンフィギュレーション手法の
いずれか 1 つを使用してコンフィギュレーションできます。
各デバイスファミリで使用可能なコンフィギュレーション手法のリストにつ
いては、次のドキュメントの「プロトコルを介してエンハンスド・コンフィ
ギュレーションおよびコンフィギュレーション」の項を参照してください。
2.
■
Arria V デバイスの概要
■
Cyclone V デバイスの概要
 コンフィギュレーション手法の選択します。
MSEL ピンの設定を含むコンフィギュレーション手法、必要なコンフィギュ
レーション手法の実行、必要かつ任意のピンの設定については、次のドキュ
メントを参照してください。
■
Arria V デバイスのコンフィギュレーション、デザインのセキュリティ、お
よびリモート・システム・アップグレード
■
Cyclone V デバイスのコンフィギュレーション、デザインのセキュリティ、
およびリモート・システム・アップグレード
コンフィギュレーション手法を選択する方法について詳しくは、Configuring
Altera FPGAs を参照してください 。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 8
ボード・デザイン
表 4. 初期ボード・プランニング・チェックリスト ( その 2 )
項目 v チェックリストの項目
3.
 コンフィギュレーション・デバイスのサポートと可用性を考慮します。
■
すべてのコンフィギュレーション手法では、コンフィギュレーション・デ
バイス、ダウンロード・ケーブル、または外部コントローラ(MAX® II デ
バイスまたはマイクロプロセッサなど)のいずれかを使用します。アク
ティブ・シリアル(AS)コンフィギュレーション手法では、アルテラのシ
リアル・コンフィギュレーション・デバイス(EPCS)およびクワッドシリ
アル・コンフィギュレーション・デバイス(EPCQ)を使用することができ
ます。
■
Quartus II のプログラマは、USB-Blaster™、EthernetBlaster II、または
ByteBlaster™II ダウンロード・ケーブルと PS または JTAG インタフェースを
直接に使用してデバイスの設定をサポートします。同じダウンロード・
ケーブルを使用してボード上のコンフィギュレーション・デバイスをプロ
グラムし、SignalTap™ II エンベデッド・ロジック・アナライザなどのデ
バッグ・ツールを使用することができます。
■
シリアル・コンフィギュレーション・デバイスは JTAG インタフェースを直
接サポートしていませんが、JTAG ダウンロード・ケーブルが Quartus II ソ
フトウェアのシリアル・フラッシュ・ローダ(SFL)機能を使用してデバ
イスをプログラムすることができます。この機能は、両方のデバイスが同
じ JTAG インタフェースを使用することを可能にして、JTAG インタフェー
スおよびコンフィギュレーション・デバイス間のブリッジとして FPGA を
使用します。しかし、EPCS または EPCQ コンフィギュレーション・デバイ
スをプログラミングする前に FPGA をコンフィギュレーションする必要が
あるので、SFL ソリューションを使用して EPCS をプログラミングすると、
インタフェースなどの標準よりも遅くなります。
■
システムに既にコモン・フラッシュ・インタフェース(CFI)フラッシュ・
メモリがある場合、デバイスのコンフィギュレーション・ストレージとし
ても使用できます。MAX II および MAX V デバイスのパラレル・フラッ
シュ・ローダ(PFL)メガファンクションと JTAG インタフェースを通じて
CFI フラッシュ・メモリ・デバイスをプログラムすることができます。PFL
は、Arria V または Cyclone V デバイスにフラッシュ・メモリ・デバイスから
のコンフィギュレーションを制御することができます。また、データ圧縮
をサポートしています。この PFL 機能を使用して、PS および FPP 両方のコ
ンフィギュレーション・モードがサポートされます。
■
また、SRunner ソフトウェア・ドライバ(設計者が異なるエンベデッド・
システムに適合するようにカスタマイズできるエンベデッド・シリアル・
コンフィギュレーション・デバイス・プログラミング用に開発されたソフ
トウェア・ドライバ)付きの BP Microsystems および System General、また
は a マイクロプロセッサなどのサード・パーティー・プログラマをサポー
トしたアルテラ・プログラミング・ユニット(APU)を使用することがで
きます。
コンフィギュレーション・デバイス、SRunner ソフトウェア、およびアルテ
ラのダウンロード・ケーブルのドキュメントのリストについて詳しくは、ア
ルテラ・ウェブサイトの Configuration Devices ページを参照してください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 9
表 4. 初期ボード・プランニング・チェックリスト ( その 3 )
項目 v チェックリストの項目
4.
 コンフィギュレーション手法とボードが必要な機能をサポートしていること
を確認します。
■
データ圧縮復元 — データ圧縮をイネーブルすると、ストレージ要件とコン
フィギュレーション・ビットストリームを伝送するための時間削減されま
す。選択した FPP コンフィギュレーション手法に基づいて、DCLK への
DATA の比率が変化されます。成功したコンフィギュレーションのために、
コンフィギュレーション・コントローラは、DATA への DCLK の比率を満た
す DCLK を送信する必要があります。
■
デザイン・セキュリティ — この機能は、256 ビットのセキュリティ・キー
を使用しています。デバイスは、FIPS-197 認定された AES アルゴリズムを
使用してコンフィギュレーション・ビットストリームを復号化することが
できます。デザイン・セキュリティは、FPPAS、または PS コンフィギュ
レーション手法のために使用可能ですが、JTAG コンフィギュレーション手
法では使用できません。
■
リモート・システム・アップグレード —EPCS および EPCQ デバイスの AS コ
ンフィギュレーション手法でサポートされています。ALTREMOTE_UPDATE
メガファンクションを使用して、リモート・システム・アップグレード・
インタフェースを実装できます。
■
SEU の緩和 — バイスにおける専用回路は、CRC(Cyclic Redundancy Check)
エラー検出を実行し、必要に応じて自動的に SEU エラーをチェックしま
す。SEU エラーを検出するには、エラーをフラグするために CRC_ERROR ピ
ンを使用して、適切なアクションでシステムをデザインします。CRC エ
ラー検出機能をイネーブルしない場合、デザインの I/O ピンとして
CRC_ERROR ピンを使用することができます。
詳細については、下記の資料を参照してください。
■
Arria V デバイスのコンフィギュレーション、デザインのセキュリティ、お
よびリモート・システム・アップグレード
■
Cyclone V デバイスのコンフィギュレーション、デザインのセキュリティ、
■
リモート・システム・アップグレード(ALTREMOTE_UPDATE)メガファン
クションのユーザー・ガイド
■
Arria V デバイスの SEU の緩和
■
Cyclone V デバイスの SEU の緩和
およびリモート・システム・アップグレード
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 10
ボード・デザイン
表 4. 初期ボード・プランニング・チェックリスト ( その 4 )
項目 v チェックリストの項目
5.
 必要に応じて オプションのコンフィギュレーション・ピン(CLKUSR および
IINIT_DONE)のサポートをプランニングします。
以下のオプションのコンフィギュレーション・ピンをイネーブルすることが
できます。
6.

■
CLKUSR—Enable user-supplied start-up clock(CLKUSR)オプションは、初期
化に使用するクロック・ソース(内部オシレータまたは CLKUSR ピンに供
給される外部クロックのいずれか)を選択することができます。また、
CLKUSR は、最大 125 MHz で AS コンフィギュレーション・クロック(DCLK)
を駆動することができます。Quartus II ソフトウェアでは、Device and Pins
Option ダイアログ・ボックスの Configuration ページでこの機能をイネーブ
ルしてください。
■
INIT_DONE— デバイスが初期化を完了し、ユーザー・モードになっている
かどうかをチェックするために INIT_DONE ピンを監視することができま
す。INIT_DONE ピンはオープン・ドレイン出力で、VCCPGM への外部プル
アップを必要とします。リセット時に、デバイスが POR を終了した後、コ
ンフィギュレーションの初めに、INIT_DONE ピンはトライステートと外部
プルアップ抵抗で High にプルアップされます。INIT_DONE ピンを有効にす
るには、Enable INIT_DONE output オプションをオンにします。
Auto-restart after configuration error オプション のためにプランニングします。
nSTATUS ピンをLowに駆動することによって内部的にデバイスをリセットする
には、Auto-restart after configuration error オプションをイネーブルします。デ
バイスは、リセット・タイムアウト期間を過ぎると nSTATUS ピンをリリーズ
します。この動作は、コンフィギュレーション・サイクルを再び開始するこ
とができます。nSTATUS ピンは、VCCPGM の外部 10-kΩ プルアップ抵抗が必要で
す。
7.
 使用可能なオンチップ・デバッグ・ツールを確認します。
オン・チップ・デバッグ機能を活用して内部信号を解析し、高性能デバッグ
手法を実行します。
システムおよび設計者ごとに、最適なデバッグ・ツールは異なります。初期
の計画では、デバッグに費やす時間を削減し、お好みのデバッグ手法に対応
するために、後でデザイン変更を排除することができます。デバイスにおけ
る内部信号および I/O ピンのアクセス能力のために、デバッグ・ピンを追加
するだけでは不十分な場合があります。
Quartus II ソフトウェアのイン・システムのデバッグ・ツールについて詳しく
は、以下のドキュメントを参照してください。
■
Quartus II ハンドブックの System Debugging Tools Overview
■
Virtual JTAG (sld_virtual_jtag) Megafunction User Guide
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 11
表 4. 初期ボード・プランニング・チェックリスト ( その 5 )
項目 v チェックリストの項目
8.
2013 年 1 月
 デバッグ・ツールをプランニングするためのガイドラインを考慮します。
Altera Corporation
■
オン・チップ・デバッグ手法を早期段階で選択して、メモリおよびロジッ
ク要件、I/O ピン接続、およびボード接続をプランニングします。
■
SignalProbe インクリメンタル配線、SignalTap II エンベデッド・ロジック・ア
ナライザ、ロジック・アナライザ・インタフェース、インシステム・メモ
リ・コンテンツエディタ、イン・システム・ソースおよびプローブ、また
は Virtual JTAG メガファンクションを使用する場合、デバッグ用の使用可能
な JTAG 接続でお使いのシステムやボードをプランニングします。
■
JTAG デバッグ機能の JTAG ハブ・ロジックを実装するための追加ロジック・
リソースをプランニングします。
■
SignalTap II エンベデッド・ロジック・アナライザのデバッグは、システム
動作中にデバイス・メモリを予備してデータをキャプチャします。
■
後でデバッグ信号に対応するためにデザインやボードを変更しなくてすむ
ように、SignalProbe または Logic Analyzer Interface によるデバッグ用の I/O
ピンを予約します。
■
対象のボードで、デバッグ信号がシステムの動作に影響を与えないデバッ
グ・モードがサポートされていることを確認します。
■
外部ロジック・アナライザまたはミックスド・シグナル・オシロスコープ
を使用する場合、必要に応じてピン・ヘッダまたは Mictor コネクタを組み
込みます。
■
デバッグ・ツールをインクリメンタルに使用して、コンパイル時間を減少
させる場合、デバッグツールを変更するために、デザインを再コンパイル
する必要がないようにインクリメンタル・コンパイルがオンであることを
確認してください。
■
カスタム・デバッグ・アプリケーションに Virtual JTAG メガファンクション
を使用する場合、HDL コードでこれをデザイン・プロセスの一部としてイ
ンスタンス化します。
■
In-System Sources and Probes 機能を使用する場合、HDL コードのメガファン
クションをインスタンス化します。
■
RAMやROMブロック、あるいはlpm_constantメガファンクションにIn-System
Memory Content Editor を使用するには、MegaWizard Plug-In Manager でメモ
リ・ブロックを作成するときに、Allow In-System Memory Content Editor オプ
ションをオンにします。
Arria V および Cyclone V のデザイン・ガイドライン
ページ 12
ボード・デザイン
表 4. 初期ボード・プランニング・チェックリスト ( その 6 )
項目 v チェックリストの項目
9.
 電源および冷却ソリューションを推定するための PowerPlay Early Power
Estimator(EPE)を使用します
FPGA の消費電力は論理デザインに依存し、初期のボードの仕様とレイアウト
時に推定することが困難です。しかし、それはデザイン上の重要な考慮事項
であり、電源、電圧レギュレータ、デカップリング・コンデンサ、ヒート・
シンク、冷却システムをデザインするための適切な電力バジェットを開発す
るために正確に推定する必要があります。
完全なデザインを持つ前に、電力、電流、およびデバイスの接合部温度を推
定するためにアルテラの PowerPlay EPE スプレッドシートを使用してくださ
い。EPE は、デバイス情報、プランニングされたデバイス・リソース、動作
周波数、トグル・レート、周囲温度、ヒート・シンク情報、エア・フロー、
ボードの熱モデル、および他の環境の考慮事項に基づいて推定された情報を
算出します。
■
既存のデザインまたは部分的にコンパイルされたデザインがある場合、
QuartusII ソフトウェアの Generate PowerPlay Early Power Estimator File コマ
ンドを使用して、スプレッドシートに入力することができます。
■
既存のデザインがない場合、デザインで使用するデバイス・リソース数を
手動で推定して、それを EPE スプレッドシートで入力する必要がありま
す。デザイン・フェースの間、またはデザイン・フェースの後にデバイス
のリソース情報が変更された場合、消費電力の見積もりの結果はあまり正
確になります。
EPE のユーザー・ガイド、およびデバイスに適切なの PowerPlay EPE スプレッ
ドシートをダウンロードするには、アルテラ・ウェブサイトの PowerPlay
Early Power Estimators (EPE) and Power Analyzer ページを参照してください。
適切な電源デザインのガイドラインについては、14 ページの「ダウンロー
ド・ケーブルのヘッダに JTAG ピンの接続を確認します。」を参照してくださ
い。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 13
表 4. 初期ボード・プランニング・チェックリスト ( その 7 )
項目 v チェックリストの項目
10.
 トランシーバ・デザイン・ガイドラインを確認します。
Arria V および Cyclone V のトランシーバのための Quartus II ソフトウェア・サ
ポート・モデルは、データ・フローを処理するためにプロセッサを使用する
方法を考慮します。Quartus II ソフトウェアでは、高速トランシーバは PHY IP
コアで表されます。PHY IP コアの 代わりに、トランシーバ電圧、終端、およ
び PLL 設定は、Quartus II Settings File(.qsf)によって処理されます。
および Cyclone V のトランシーバについて詳しくは、次の資料を参照してくだ
さい。
■
Arria V デバイス・ハンドブック Volume 2: トランシーバ
■
Cyclone V デバイス・ハンドブック Volume 2: トランシーバ
PHY IP デザインはモジューラーであり、標準インタフェースを使用していま
す。すべての PHY IP では、コントロールおよびステータス・レジスタにアク
セスするための Avalon® Memory-Mapped(Avalon-MM)インタフェースまたは
コンジット・インタフェース、そしてデータ転送のための MAC レイヤ・デザ
インに接続するための Avalon Streaming(Avalon-ST)が含まれています。詳細
については、下記の資料を参照してください。
■
アルテラのトランシーバ PHY IP コア・ユーザー・ガイド
■
Avalon インタフェースの仕様
カスタム PHY IP コアを使用してトランシーバ・デザインをシミュレートする
要件、デザインへの移行、.qsf の設定を変更するための方法について詳しく
は、「 Stratix V Device Design Guidelines」での「Appendix: Stratix® V Transceiver
Design Guidelines」の項を参照してください。文書のガイドラインは、Arria V
および Cyclone V トランシーバに適用されます。
電源ピン間の接続
デバイスは、デザイン要件に応じて様々な電圧を供給する必要があります。FPGA の
電源ピン接続のボードをデザインするには、次のチェックリストを使用してくださ
い。
コンフィギュレーション・ピンの接続
コンフィギュレーション手法に応じて、異なるプルアップまたはプルダウン抵抗、
シグナル・インテグリティ、および特定のピンの要件が適用される場合があります。
正しくコンフィギュレーション・ピンを接続することが重要です。一般的な問題に対
処するために、次のチェックリストを使用します。
表 5. コンフィギュレーション・ピンの接続のチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 コンフィギュレーション・ピンの接続およびプルアップまたはプルダウン抵
抗がコンフィギュレーション手法のために正確であることを確認します。
各コンフィギュレーション・ピンについては、次のドキュメントを参照しま
す。
2013 年 1 月
Altera Corporation
■
Arria V Device Family Pin Connection Guidelines
■
Cyclone V Device Family Pin Connection Guidelines
Arria V および Cyclone V のデザイン・ガイドライン
ページ 14
ボード・デザイン
表 5. コンフィギュレーション・ピンの接続のチェックリスト ( その 2 )
項目 v チェックリストの項目
2.
 高速信号またはシステム・クロックのデザインと同様の技術を用いるデザイ
ン・コンフィギュレーション DCLK と TCK ピン。
3.
■
TCK 信号のノイズは JTAG コンフィギュレーションに影響を与えることがで
きます。
■
ノイジ DCLK 信号は、コンフィギュレーションに影響を与え、CRC エラーを
引き起こす可能性があります。
■
デバイスのチェインでは、チェインの TCK または DCLK ピンのノイズは、
チェイン全体の JTAG プログラミングまたはコンフィギュレーションを失
敗させる可能性があります。
 確認し JTAG ピンが使用されていないときに安定した電圧レベルに接続され
ることを確認します。
JTAG コンフィギュレーションはすべてのコンフィギュレーション方式に優先
します。JTAG インタフェースを使用しない場合、コンフィギュレーション時
に JTAG ピンがフローティングまたはトグルの状態に放置しないでください。
4.
 ダウンロード・ケーブルのヘッダに JTAG ピンの接続を確認します。
JTAG モードで動作するデバイスは、必要な TDI、TDO、TMS、および TCK の 4
本の専用ピン、および TRST の 1 本のオプション・ピンを使用します。TCK ピ
ンには、内部ウィーク・プルダウン抵抗があります。TDI、TMS、および TRST
ピンは内部ウィーク・プルアップ抵抗を備えています。JTAG 出力ピン (TDO)
およびすべての JTAG 入力ピンは、2.5V/3.0V の VCCPD 電源で駆動します。
5.
 次の JTAG ピン接続のガイドラインを確認します。
■
チェイン内の複数のデバイスがある場合、チェイン内の次のデバイスの
TDI ピンに、デバイスの TDO ピンを接続します。
■
コンフィギュレーション中、ユーザー・モード、またはパワーアップ時の
JTAG ピンのノイズにより、デバイスが未定義状態やモードに入る可能性が
あります。
■
パワーアップ時に JTAG ステート・マシンをディセーブルするには、予期し
ない立ち上がりエッジが TCK ピン上で発生しないことを確実にするため
に、1-kΩ の抵抗を介して TCK ピンを Low にプルダウンします。
■
10-kΩ に 1-kΩ~ 10-kΩ の抵抗で TMS および TDI を High にプルアップします。
■
VCCPD に直接 TRST を接続します。ピンを Low に接続すると、JTAG 回路をディ
セーブルします。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 15
表 5. コンフィギュレーション・ピンの接続のチェックリスト ( その 3 )
項目 v チェックリストの項目
6.
 ダウンロード・ケーブルとの JTAG ピンの電圧の互換性を確認します。
ダウンロード・ケーブルは、お使いのデバイスの JTAG ピンとインタフェー
スしています。10 ピン・ヘッダーを介してターゲット・ボードによって、ア
ルテラのダウンロード・ケーブルに供給される動作電圧はダウンロード・
ケーブルの動作電圧レベルを決定します。JTAG ピンは VCCPD から電源が供給
されます。
異なる VCCIO レベルのデバイスを含む JTAG チェインには、高い VCCIO レベルを
持つデバイスは、同じまたはより低い VCCIO レベルを持つデバイスを駆動する
必要があります。ワン・レベル・シフタは、このデバイス構成を有するチェ
インの末端に必要とされます。この構成が不可能な場合、より多くのレベ
ル・シフタをチェインに追加する必要があります。
チェイン内のデバイスに複数の電圧と JTAG チェインの接続に関する推奨事
項については、次のドキュメントを参照してください:
7.
8.
■
JTAG Boundary-Scan Testing in Arria V Devices
■
JTAG Boundary-Scan Testing in Cyclone V Devices
 次のガイドラインに従って JTAG 信号をバッファします。
■
ケーブルには、3 つ以上のデバイスを駆動する場合、信号劣化を防ぐため
に、ケーブル・コネクタで JTAG 信号をバッファリングします。
■
ボードに何かを追加して、JTAG 信号のインダクタンスやキャパシタンスに
影響されると、バッファをチェインに追加する必要がある可能性が高くな
ります。
■
各バッファは、TCK と TMS 信号のための 8 個以下のロードだけ駆動できます
(並列に駆動される)。ジャンパーまたはスイッチがパスに追加された場
合、ロードの数を減少させます。
 チェインのデバイスが正しく接続されていることを確認します。
デバイスがコンフィギュレーション・チェインにある場合、チェイン内のす
べてのデバイスが正しく接続されていることを確認します。
9.
10.

MSEL ピンがフロートの状態のままにしてはなりません。そしてウィーク・
プルアップ抵抗を使用しないことを保証します。
■
MSEL ピンは直接電源または GND に接続します。
■
プル・アップ使用またはプル・ダウン抵抗を使用されている場合、0-W の
抵抗を使用します。
■
MSEL ピンはフロートの状態またはウィーク・プルアップしている場合、デ
バイスをコンフィギュレーションすることができません。
 他のコンフィギュレーション・ピンは、次のガイドラインを確認します。
■
2013 年 1 月
Altera Corporation
nCE(チップ・イネーブル)ピンを、コンフィギュレーション実行中、初
期化中、およびユーザー・モードでは Low に保持します。
■
シングル・デバイス・コンフィギュレーションまたは JTAG プログラミン
グでは、nCE を Low に接続します。
■
マルチ・デバイス・コンフィギュレーションでは、最初のデバイスの
nCE は Low に接続し、nCEO ピンはチェイン内の次のデバイスの nCE ピンに
接続します。
Arria V および Cyclone V のデザイン・ガイドライン
ページ 16
ボード・デザイン
表 5. コンフィギュレーション・ピンの接続のチェックリスト ( その 4 )
項目 v チェックリストの項目
 有効デバイス全体の出力をオンにする必要があるかどうかを判断します。
11.
デバイスは、ユーザーがデバイスの I/O のすべてのトライ・ステートを無効
にできるオプションのチップ・ワイド出力イネーブルをサポートしています。
この DEV_OE ピンが Low にドライブされると、すべての I/O ピンはトライ・ス
テートになり、High にドライブされるとプログラムどおりに動作します。
チップ・ワイド出力イネーブル機能を使用するには:
■
デザインをコンパイルする前に Quartus II ソフトウェアで、Device & Pin
Options ダイアログ・ボックスの General タブにある Enable device-wide
output enable (DEV_OE) をオンにします。
■
DEV_OE ピンはボード上の有効なロジック・レベルに駆動されていることを
確認します。
■
DEV_OE ピンをフローティング状態のままにしないでください。
汎用 I/O ピン
一般的な I/O ピン接続をプランニングするために、シグナル・インテグリティを向上
させるために、次のチェックリストを使用します。
表 6. 汎用 I/O ピン接続のチェックリスト ( その 1 )
番
号
1.
v チェックリストの項目
 次のガイドラインに従って、未使用の I/O ピンの状態を指定します。
■
消費電力を低減するには、クロック・ピンを設定し、未使用 I/O ピンを As
inputs tri-stated として設定します。デフォルトでは、Quartus II ソフトウェ
アは、イネーブルされたウィーク・プルアップ抵抗でトライ・ステートさ
れる入力ピンを設定します。
■
シグナル・インテグリティを向上させるには、Quartus II ソフトウェアの
Device and Pin Options ダイアログ・ボックスの Unused Pins カテゴリで
Reserve all unused pins オプションで、未使用ピン As output driving ground を
設定します。この設定により、短いリターン・パスを作成することによっ
てインダクタンスを低減し、隣接する I/O 上のノイズを低減します。しか
し、デバイスの下の信号に渋滞を引き起こす多くのパスに結果となる場
合、このアプローチを使用しないでください。
■
デザインをコンパイルするときに慎重に、Quartus II ソフトウェアで生成さ
れたピンのレポート・ファイル(.pin)のピン接続を確認してください。
.pin は、デバイス・ピンを接続する方法を指定します。GND として指定さ
れた I/O ピンはノイズ耐性を改善するためにグランドに未接続のまま、ま
たは接続することができます。RESERVED ピンは接続しないでください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 17
表 6. 汎用 I/O ピン接続のチェックリスト ( その 2 )
番
号
2.
v チェックリストの項目
 ボード・デザイン・ソースセンターを参照します。
デザインが、高速信号を持っている場合、特に Arria V または Cyclone V 高速
トランシーバがある場合、ボード・デザインは、システムにおけるシグナ
ル・インテグリティに大きな影響があります。
シグナル・インテグリティとボード・デザインについて詳しくは、アルテ
ラ・ウェブサイト上の「 Board Design Resource Center」を参照してください 。
例えば、高速ボードのスタック・アップと信号配線レイヤに関する情報を提
供する以下のアプリケーション・ノートが提供されています。
■
AN 528: PCB Dielectric Material Selection and Fiber Weave Effect on High-Speed
Channel Routing
■
AN 529: Via Optimization Techniques for High-Speed Channel Designs
■
AN 530: Optimizing Impedance Discontinuity Caused by Surface Mount Pads for
High-Speed Channel Designs
また、Quartus II ソフトウェアに関連した、ボード・レベルのシグナル・イン
テグリティ情報については、アルテラ・ウェブサイト上の I/O Management,
Board Development Support, and Signal Integrity Analysis Resource Center を参照す
ることができます 。
3.

VREF ピンにノイズが発生しないようにデザインします。
VREF ピンの電圧変動は、入力のスレッショルド感度に影響を及ぼすことがあ
ります。VREF ピンおよび I/O 規格について詳しくは、21 ページの「I/O 機能お
よびピン接続」を参照してください。
4.
 ボード・デザイン・ガイドラインのソリューション・センターを参照します。
SSN によって発生するノイズ — 同時に近接変更電圧レベルがあまりにも多く
のピンがある場合、ノイズ・マージンを減少させ、不正確なスイッチングを
引き起こす可能性があります。たとえば、これらのボード・レイアウトの推
奨事項を考慮してください。
■
デバイス近くのボード層で大きなバス信号が突発的に発生した場合に、ク
ロストークの低減に役立つことがあります。
■
2 つの信号層が隣接している場合、可能であれば配線パターンを直角に配
線し、2 ~ 3 の配線パターン幅を分離して使用します。
ノノイズ低減を支援することができるより多くのボード・レイアウトの推奨
事項については、アルテラ・ウェブサイト上の Board Design Guidelines Solution
Center の PCB ガイドラインを参照してください 。
I/O およびクロック接続のための推奨事項のリストについては、29 ページの
「I/O 同時スイッチング・ノイズ」を参照してください
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 18
ボード・デザイン
表 6. 汎用 I/O ピン接続のチェックリスト ( その 3 )
番
号
5.
v チェックリストの項目

I/O 終端およびインピーダンス整合を確認します。
電圧リファレンス形式の I/O 規格では、VREF と終端電圧(VTT)の両方が必
要です。受信デバイスのリファレンス電圧は、送信デバイスの終端電圧に追
従します。次の項目を参照してください。
■
電圧リファレンス形式の I/O 規格は、それぞれに固有の終端設定が必要で
す。例えば、SSTL2 規格では優れたノイズ・マージンを持つ信頼性の高い
DDR メモリ・システムを作成するために、適切な抵抗性の信号終端方式が
重要です。
■
シングル・エンド非電圧リファレンス形式の I/O 規格では終端は不要です
が、反射を抑え、シグナル・インテグリティを向上させるためにインピー
ダンス・マッチングが必要です。
■
差動 I/O 規格は、通常はレシーバの 2 つの信号間に終端抵抗を必要とします。
終端抵抗は、信号ラインの差動ロードのインピーダンスと整合しなければ
なりません。Arria V および Cyclone V デバイスは、LVDS を使用するときに
オプションの差動オンチップ抵抗を提供します。
Arria V および Cyclone V の直列および並列 On-Chip Termination では、外部コン
ポーネントが必要ないためデザインが簡潔になります。その代わりとして、
外部プルアップ抵抗を使用して、SSTL や HSTL などの電圧リファレンス形式
の I/O 規格を終端できます。
各 I/O 規格のための On-Chip Termination(OCT)のサポートの完全なリストに
ついては、次のドキュメントを参照してください。
6.

■
Arria V デバイスでの I/O 機能
■
Cyclone V デバイスでの I/O 機能
IBIS モデルを使用して完全なボード・ルーティング・シミュレーションを実
行します。
I/O 信号がボード・セットアップにおいて確実にレシーバ・スレッショルド・
レベルを満たすようにするには、IBIS モデルを使用するサードパーティ製の
ボード・レベル・シミュレーション・ツールでフル・ボード配線シミュレー
ションを実行します。
Quartus II ソフトウェアでピンを設定するには、Assignments メニューの
Settings をクリックします。EDA Tool Settings カテゴリの Board-Level ページに
ナビゲートします。Board-level signal integrity analysis の項の Format オプショ
ンで、IBIS を選択します。
「Quartus II ハンドブック 」の「 Signal Integrity Analysis with Third-Party
詳細は、
Tools」の章を参照してください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
ボード・デザイン
ページ 19
表 6. 汎用 I/O ピン接続のチェックリスト ( その 4 )
番
号
7.
v チェックリストの項目

Quartus II アドバンスト I/O タイミング解析のためのボード・トレース・モデ
ルをコンフィギュレーションします。
システムの正常動作をデザインするときに、シグナル・インテグリティと
ボード・ルーティング伝播遅延が重要です。ボード・デザインの高速インタ
フェースを備えた FPGA を使用する場合、I/O およびボード・プランニングの
一環として、ボード・レベルのタイミングを分析します。
システム・レベルで信号動作により良い洞察を得るためにより正確な I/O 遅
延や余分なレポートを生成するには、Quartus II プロジェクトの Settings ダイ
アログ・ボックスにおける TimeQuest Timing Analyzer カテゴリの Enable
Advanced I/O Timing をオンにします。このオプションがオンにすると、
TimeQuest タイミング・アナライザは、I/O 遅延を生成するために I/O バッ
ファ、パッケージ、およびボード・トレース・モデル用のシミュレーション
結果を使用しています。
これらの高度なタイミング・レポートを参考にして、I/O アサインメントと
ボード・デザインを変更し、タイミングおよびシグナル・インテグリティを
向上させることができます。
8.
 ピン接続を確認します。
アルテラは、回路図を確定するときに考慮する必要があるデバイスのピン接
続のガイドラインおよび他のボード・レベルのピン接続の資料に基づいて回
路図レビュー・ワークシートを提供します。
回路図中でのエラーを点検して、アルテラのガイドラインに従うには、以下
のワークシートを使用します。
2013 年 1 月
Altera Corporation
■
Arria V デバイス回路図レビュー・ワークシート
■
Cyclone V の回路図レビュー・ワークシート
Arria V および Cyclone V のデザイン・ガイドライン
ページ 20
I/O およびクロックのプランニング
I/O およびクロックのプランニング
ガイドラインは、I/O およびクロックをプランニングするには、この項でチェックリ
ストを使用してください。
早期ピン・プランニングおよび I/O アサインメントの解析
多くのデザイン環境において、FPGA 設計者は、トップ・レベル I/O ピンを早期にプ
ランニングして、ボード設計者が PCB のデザインおよびレイアウトの開発を開始で
きるようにすることを望みます。
表 7. 早期ピン・プランニングおよび I/O アサインメントの解析のチェックリスト ( その
1)
項目 v チェックリストの項目
1.
 早期に FPGA 配置配線ソフトウェアでピン位置を確認します。
FPGA デバイスの I/O 機能とボード・レイアウト・ガイドラインは、ピン位置
およびその他のタイプのアサインメントに影響を及ぼします。FPGA のピン・
プランニングを早期に開始することにより、早期のボード・レイアウトに対
する信頼が高まり、エラーが生じる可能性が低くなり、デザインの全体的な
「Time-To-Market」が短縮されます。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
I/O およびクロックのプランニング
ページ 21
表 7. 早期ピン・プランニングおよび I/O アサインメントの解析のチェックリスト ( その
2)
項目 v チェックリストの項目
2.

I/O ピン配置、アサインメント、および検証のための Quartus II Pin Planner を
使用します。
デザイン・プロセスの早期に、システム開発者は通常、標準 I/O インタ
フェース(メモリ、バス・インタフェースなど)、デザインで使用する IP コ
ア、およびシステム要件で定義されるその他の I/O 関連アサインメントに関
する情報を持っています。
I/O ピンのアサインメント・プランニング、アサインメント、および検証のた
めの Quartus II Pin Planner を使用することができます。
■
Quartus II の Start I/O Assignment Analysis コマンドにより、ターゲットの FPGA
アーキテクチャでピン位置とピン・アサインメントがサポートされている
ことがチェックされます。チェックには、リファレンス電圧ピンの使用、
ピン配置アサインメント、および I/O 規格の混在が含まれます。
■
I/O アサインメントの解析を使用して、デザイン・プロセスを通じて作成ま
たは変更した I/O 関連アサインメントを検証することができます。
■
Pin PlannerのCreate/Import Megafunction機能はMegaWizard Plug-In Managerとイ
ンタフェースし、I/O インタフェースを使用するカスタム・メガファンク
ションおよび IP コアの作成またはインポートをイネーブルします。
■
ピン配置ルールに影響を及ぼすので、PLL および LVDS ブロックやダイナ
ミック・フェーズ・アラインメント(DPA)などのオプションを含めて入
力します。そして、トップ・レベル・デザインネットリスト・ファイルを
生成するために Create Top-Level Design File コマンドを使用します。
■
I/O 解析の結果を使用して、ピン・アサインメントまたは IP パラメータを変
更し、I/O インタフェースがデザイン要件を満たし、Quartus II ソフトウェ
アのピン・チェックに合格するまで、チェック・プロセスを繰り返すこと
ができます。
■
そのインタフェースとのトランシーバ・インスタンスを作成し、トラン
シーバ・ピンまたはバンクの配置をチェックすることができます。
プランニングが完了した後、PCB 設計者に予備のピン位置情報をパスするこ
とができます。
デザインが完成したら、Quartus II Fitter により生成されるレポートとメッセー
ジを使用して、ピン・アサインメントの最終的なサインオフのために使用で
きます。
「Quartus II ハンドブック 」
I/O アサインメントおよび解析について詳しくは、
の「I/O Management 」の章を参照してください。
I/O 機能およびピン接続
この項では、I/O 機能とピン接続に関するガイドラインを提供します。
■
デバイスの I/O バンクでの異なる I/O 信号の種類と I/O 規格、およびデザインで使用
可能なその他の I/O 機能のサポートを提供します。
■
メモリ・インタフェース、パッド配置ガイドライン、および特殊ピンの接続に関
する情報も提供します。
f I/O ピンの位置と接続のガイドラインのリストについては、次のドキュメントを参照
してください。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 22
I/O およびクロックのプランニング
■
Arria V デバイス・ファミリのピン接続のガイドライン
■
Cyclone V デバイス・ファミリのピン接続のガイドライン
表 8. I/O 機能およびピン接続のチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
2.
3.
4.
 システムがシングル・エンド I/O 信号を必要とすることを確認します。
■
シングル・エンド I/O 信号は、シンプルなレール・トゥ・レール・インタ
フェースを提供します。
■
その速度は、大きな電圧振幅とノイズで制限されます。
■
シングル・エンド I/O は、システム内の反射によって悪影響が生じない限
り、終端は必要ありません。
 システムは、電圧リファレンスの信号を必要とすることを確認します。
■
電圧リファレンス形式の信号は、複数のピンで同時に電圧レベルを変化さ
せる同時スイッチング出力(SSO)(例えば、外部メモリ・インタフェース
のデータおよびアドレス・バス)の影響を軽減します。
■
また、電圧振幅の低減によりロジック遷移レートを改善し、終端要件に起
因する反射によって発生するノイズを抑制します。
■
追加の終端コンポーネントは、基準電圧源(VTT)に必要とされます。
 システムは、差動信号を必要とすることを確認します。
■
差動信号は、隣接する追加の反転データ信号とのペアで使用することによ
り、シングル・エンドおよび電圧リファレンス形式の信号のインタフェー
ス性能障壁をなくします。
■
また、この信号はクリーンなリファレンス電圧を必要としません。これは、
低い振幅電圧とコモン・モード・ノイズ除去機能によるノイズ耐性によっ
て実現できます。
■
この実装の検討事項には、サンプリング・クロックを生成する専用 PLL の
使用、および反転ペアと非反転ペア間の位相差をなくすためのトレース長
の一致が含まれます。
■
ソフトウェアで差動ピン・ペアのネガティブ・ピンの位置を割り当てる。
 各 I/O ピンに最適な信号タイプおよび I/O 規格を選択します。
ターゲットの I/O バンクで適切な I/O 規格サポートがサポートされていること
を確認します。
詳細については、下記の資料を参照してください。
5.
■
Arria V デバイスの I/O 機能
■
Arria V デバイスの高速差動 I/O インタフェース
■
Cyclone V デバイスの I/O 機能
 同じ電圧レベルを共有する I/O ピンを同じ I/O バンク内に配置します。
■
特定の I/O バンクは、異なる I/O 規格および電圧レベルをサポートしていま
す。
■
Pin Planner で、I/O 規格を割り当て、I/O 関連の設定を行うことができます。
■
クロックやグローバル・コントロール信号などの信号には、必ず正しい専
用ピン入力を使用してください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
I/O およびクロックのプランニング
ページ 23
表 8. I/O 機能およびピン接続のチェックリスト ( その 2 )
項目 v チェックリストの項目
6.
 各 I/O バンクのすべての出力信号が、バンクの VCCIO 電圧レベルでドライブ・
アウトするようになっていることを確認します。
7.
■
バンクの各 VCCIO ピンに、
ボードは各バンクに対して 1 つの VCCIO 電圧レベル
を供給する必要があります。
■
各 I/O バンクは、特定のバンクの VCCIO ピンでパワーアップされ、他の I/O バ
ンクの VCCIO からは独立します。
■
1 つの I/O バンクは、VCCIO と同じ電圧でドライブする出力信号をサポートし
ます。
■
I/O バンクは、異なる差動 I/O 規格との入力信号でも同時にサポートできま
す。
 各 I/O バンクのすべての電圧リファレンス形式の信号が、バンクの VREF 電圧
レベルを使用するようになっていることを確認します。
8.

■
電圧リファレンス形式の I/O 規格に対応するために、各 I/O バンクは、共通の
VREF バスに電源を供給する複数の VREF ピンをサポートしています。バン
ク内の I/O 規格の正しい電圧に VREF ピンを設定します。
■
各 I/O バンクが任意の時点で持つことができるのは、1 つの VCCIO 電圧レベル
と 1 つの VREF 電圧レベルだけです。VREF ピンが電圧基準として使用され
ていない場合、汎用 I/O ピンとして使用することができないので、VCCIO ま
たは GND に接続しなければなりません。
■
シングル・エンド規格または差動規格に対応する I/O バンクは、すべての電
圧リファレンス形式の規格が同じ VREF 設定を使用している限り、電圧リ
ファレンス形式の規格をサポートできます。
■
性能上の理由により、電圧リファレンス形式の入力規格は、電源として独
自の VCCPD レベルを使用します。2.5 V または以下の VCCIO とバンクに電圧リ
ファレンス入力信号を配置することができます。
■
電圧リファレンス形式の双方向信号および出力信号は、I/O バンクの VCCIO
電圧と同じでなければなりません。
LVDS およびトランシーバ機能の I/O バンクのサポートを確認します。
異なる I/O バンクは LVDS 信号に対して異なるサポートが含まれています。
Arria V と Cyclone V のトランシーバ・バンクには、追加のサポートが含まれま
す。
詳細については、下記の資料を参照してください。
9.
■
Arria V デバイスの高速差動 I/O インタフェースおよび DPA
■
Arria V デバイスのトランシーバ・アーキテクチャ
■
Cyclone V デバイスの I/O 機能
■
Cyclone V デバイスのトランシーバ・アーキテクチャ
 通常の I/O として使用されている VREF ピンの使用を確認します。
VREF ピンにより高いピン・キャパシタンスを持つと、別の I/O タイミングの
結果が得られます。
2013 年 1 月
Altera Corporation
■
バスなどのグループ化インタフェースでこれらのピンを使用しないでくだ
さい。
■
クロックなどの高いエッジ・レート信号のためにこれらのピンを使用しな
いでください。
Arria V および Cyclone V のデザイン・ガイドライン
ページ 24
I/O およびクロックのプランニング
表 8. I/O 機能およびピン接続のチェックリスト ( その 3 )
項目 v チェックリストの項目
10.
 各メモリ・インタフェースのために ALTMEMPHY メガファンクション(また
は IP コア)を使用して、接続ガイドラインに従います。
セルフ・キャリブレート UniPHY メガファンクションは、Arria V または
Cyclone V デバイスの I/O 構造を活用するように最適化されています。
ALTMEMPHY メガファンクションでは、外部メモリ・インタフェース機能を設
定し、システムに最適な物理インタフェース(PHY)の設定を支援します。ア
ルテラのメモリ・コントローラ MegaCore ファンクションを使用する場合、
ALTMEMPHY メガファンクションがインスタンス化されます。
アルテラの IP を使用してデバイス内に複数のメモリ・インタフェースをデザ
インする場合、1 つのメモリ・インタフェースを一度だけデザインしてそれ
を繰り返しインスタンス化する方法を取らずに、必ず各インスタンス用の固
有インタフェースを生成して、良好な結果が得られるようにしてください。
詳細については、「外部メモリ・インタフェース・ハンドブック」の「
Planning Pin and FPGA Resources」の章を参照してください 外部メモリ・イン
タフェース・ハンドブックでピンと FPGA リソースの章の計画 。
11.
 メモリ・インタフェースに専用 DQ ピンおよび DQ グループを使用します。
データ・ストローブ DQS とデータ DQ ピンの位置は Arria V および Cyclone V
デバイスに固定されているます。デバイス・ピンアウトをデザインする前に、
これらのメモリ関連の信号の接続に詳細および関する重要な制限のためにメ
モリ・インタフェース・ガイドラインを参照してください。
外部メモリ・インタフェースについて詳しくは、以下のドキュメントを参照
してください。
12.
■
外部メモリ・インタフェース・ハンドブックの Volume 2: Design Guidelines
■
アルテラ・ウェブサイトの External Memory Interface Spec Estimator
■
外部メモリ・インタフェース・ハンドブックの Introduction to UniPHY IP
■
アルテラ・ウェブサイトの External Memory Solutions Center
 兼用ピンの設定を行い、これらのピンを通常の I/O として使用する際の制約
をチェックします。
兼用コンフィギュレーション・ピンは、デバイス・コンフィギュレーション
の完了後は汎用 I/O として使用できます。Device and Pin Options ダイアログ・
ボックスの Dual-Purpose Pins タブで、各兼用ピンに必要な設定を選択しま
す。これらのピンは、コンフィギュレーション手法に応じて、通常の I/O ピ
ン、トライ・ステート入力、グランドをドライブする出力、または規定され
ていない信号をドライブする出力として予約することができます。
また、グローバル・クロック・ネットワークにドライブする専用クロック入
力ピンも、クロック・ピンとして使用されていない場合、汎用入力ピンとし
て使用できます。クロック入力ピンには専用 I/O レジスタがないので、クロッ
ク入力を汎用入力として使用するとき、I/O レジスタは ALM ベースのレジス
タを使用します。
デバイス・ワイドのリセットおよびクリア・ピンは、イネーブルされていな
い場合はデザイン I/O として使用できます。詳細は、16 ページの「有効デバ
イス全体の出力をオンにする必要があるかどうかを判断します。」および 32
ページの「必要に応じて、すべてのレジスタをクリアするためにチップ・ワ
イド・リセットを使用します。」を参照してください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
I/O およびクロックのプランニング
ページ 25
表 8. I/O 機能およびピン接続のチェックリスト ( その 4 )
項目 v チェックリストの項目
13.

I/O インタフェースを容易にする使用可能なデバイスの I/O 機能を確認しま
す。
使用可能な I/O 機能をチェックして、次のガイドラインを考慮してください。
■
プログラマブル・ドライブ強度 — 出力バッファ・ドライブ強度が十分に高
いが、I/O 規格の電圧スレッショルド・パラメータに違反する過剰なオー
バーシュートやアンダーシュートは生じないことを確認してください。ア
ルテラでは、特定のアプリケーションに対する正しいドライブ強度設定を
決定するために、IBIS または SPICE シミュレーションを実行することを推
奨しています。
■
ログラマブル・スルー・レート — 低速スルー・レートを使用する場合、イ
ンタフェースが性能要件を満たしていることを確認してください。アルテ
ラでは、特定のアプリケーションに対する正しいスルー・レート設定を決
定するために、IBIS または SPICE シミュレーションを実行することを推奨
しています。
■
プログラム可能な入力 / 出力エレメント(IOE)遅延 — バスの中で信号間の
不確実性を最小化することにより、リードおよびタイム・マージンを容易
にするのに役立ちます。遅延の仕様については、該当するデバイスのデー
タシートを参照してください。
■
オープン・ドレイン出力 — オープン・ドレインとしてコンフィギュレー
ションした場合、出力のロジック値は high-Z または 0 のいずれかです。シ
ステム内の複数のデバイスでアサートできるシステム・レベルのコント
ロール信号で使用されます。一般に、ロジック High を供給するには外部プ
ルアップ抵抗が必要です。
■
バス・ホールド — バス・ホールド機能がイネーブルにされている場合、プ
ログラマブル・プルアップ・オプションは使用することができません。I/O
ピンが差動信号用にコンフィギュレーションされているときは、バス・
ホールド機能をディセーブルにしてください。この抵抗を介して駆動され
る特有の維持電流、および各 VCCIO 電圧のための次の駆動される入力とレ
ベルを識別するために使用されるオーバードライブ電流については、関連
するデバイスのデータシートを参照してください。
■
プログラマブル・プルアップ抵抗 — ユーザー・モードのときに I/O を VCCIO レ
ベルにウィーク状態で保持します。オープン・ドレイン出力と併用して、
外部プルアップ抵抗を不要にすることができます。プログラマブル・プル
アップ・オプションがイネーブルされている場合、バス・ホールド機能は
使用することができません。
■
プログラマブル・プリエンファシス — 出力信号の高周波成分の振幅を大き
くして、伝送線路における周波数依存減衰を補償するのに役立ちます。
■
プログラマブル差動出力電圧 — プログラマブル VOD 設定で、トレース長と
消費電力を最適化するように出力アイの高さを調整できます。より小さい
VOD 振幅は消費電力を低減しますが、より高い VOD 振幅はレシーバ端にお
ける電圧マージンを向上させます。
詳細については、下記の資料を参照してください。
2013 年 1 月
Altera Corporation
■
Arria V デバイスでの I/O 機能
■
Cyclone V デバイスでの I/O 機能
Arria V および Cyclone V のデザイン・ガイドライン
ページ 26
I/O およびクロックのプランニング
表 8. I/O 機能およびピン接続のチェックリスト ( その 5 )
項目 v チェックリストの項目
14.

On-Chip Termination 機能を使用して、ボード・スペースを節約します。
ドライバ・インピーダンス・マッチングは、I/O ドライバに伝送ラインのイン
ピーダンスと厳密にマッチングする制御された出力インピーダンスを提供し
て、反射を大幅に低減します。OCT は、信号品質の維持、ボード・スペース
の節約、外部コンポーネント・コストの低減を実現します。
■
異なる I/O 規格が、
それらの I/O 規格に対して、同じ I/O バンクで OCT RS お
よび RT は 異なる I/O 規格の同じ I/O バンクでサポートされます。
■
I/O バンクの各 I/O は、OCT RS、プログラマブル・ドライブ能力、または OCT
RT をサポートするために、独立してコンフィギュレーションすることがで
きます。
■
同じ I/O バッファに対して、OCT RS とプログラマブル・ドライブ強度の両方
をコンフィギュレーションすることはできません。
■
差動 OCT RD は、すべての I/O ピンで使用可能です。
この機能のサポートおよび実装について詳しくは、次のドキュメントを参照
してください。
15.
■
Arria V デバイスの I/O 機能
■
Arria V デバイスの高速差動 I/O インタフェースおよび DPA
■
Cyclone V デバイスの I/O 機能
 必要な終端方法がすべてのピン位置でサポートされていることをチェックし
ます。
16.
 高速 LVDS インタフェース用の DPA、非 DPA またはソフト CDR の適切なモー
ドを選択します。
詳細については、下記の資料を参照してください。
■
Arria V デバイスの高速差動 I/O インタフェースおよび DPA
■
Cyclone V デバイスの I/O 機能
クロックのプランニング
クロック方式のプランニングでの最初のステージは、システムのクロック要件を決
定することです。
■
デバイスの使用可能なクロック・リソースを理解し、それに応じてデザインのク
ロック方式をプランニングします。タイミング性能に関する要求、および特定の
クロックによってドライブされるロジック量を考慮してください。
■
システム要件に基づき、FPGA デザインに必要なクロック周波数と、FPGA で使用
可能な入力周波数を定義します。これらの仕様を使用して、PLL 方式を決定しま
す。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
I/O およびクロックのプランニング
■
ページ 27
QuartusII MegaWizard Plug-In Manager を使用して、altpll メガファンクション用の設
定を入力し、結果をチェックして特定の機能や入力 / 出力周波数を特定の PLL に
実装できるかどうかを確認します。
表 9. クロックのプランニング・チェックリスト ( その 1 )
No. v チェックリストの項目
1.

デバイスのフラクショナル PLL をクロック管理に使用します。
特定のクロック入力は、特定の低スキュー配線ネットワークをドライブでき
る特定の PLL に接続します。各 PLL に対するグローバル・リソースの可用性
と各クロック入力ピンに対する PLL の可用性を解析します。以下の説明を使
用して、デザインのクロック信号にどのクロック・ネットワークが適してい
るかを判断してください。
2.

■
グローバル・クロック(GCLK)ネットワークは、デバイス全体でドライブ
可能であり、デバイス・ロジックの低スキュー・クロック・ソースとして
働きます。このクロック領域は、他のクロック領域に比べて遅延が最大に
なりますが、デバイス内のすべてのディスティネーションに信号を配信す
ることができます。このオプションは、グローバル・リセット / クリア信
号の配線、またはデバイス全体のクロックの配線に適しています。
■
RCLK ネットワークは、デバイスの 1 つのエリア内に含まれるロジックに対
して、最小のクロック遅延とスキューを実現します。
■
I/O エレメント(IOE)と内部ロジックは、GCLK および RCLK をドライブし
て、内部で生成されるグローバルまたはリージョナル・クロック、および
同期クリアまたは非同期クリアやクロック・イネーブルなど、その他の高
ファンアウト・コントロール信号を生成することもできます。
■
PLLは、
内部で生成された GCLK や RCLK からはドライブできません。PLL への
入力クロックは、専用のクロック入力ピン、ピンまたは PLL から供給され
る GCLK/RCLK のみを使用する必要があります。
■
ペリフェラル・クロック(PCLK)ネットワークは、StratixIII デバイスの周
辺からドライブされる個々のクロック・ネットワークの集合です。DPA ブ
ロック、PLD トランシーバ・インタフェース・クロック、ロウ I/O ピン、
および内部ロジックからのクロック出力により、PCLK ネットワークをド
ライブできます。これらの PCLK は、GCLK および RCLK ネットワークと比
べるとスキューが高く、汎用配線の代わりに使用して、デバイスの内外に
信号をドライブできます。
PLL 機能をイネーブルにし、MegaWizard Plug-In Manager の設定をチェックし
ます。
フラクショナル PLL を整数モードまたはエンハンスト・フラクショナル・
モードのいずれかにコンフィギュレーションできます。
LVDS チャネルを駆動することに注意して、整数モードでの PLL を使用する必
要があります。
フラクショナル PLL 機能について詳しくは、以下のドキュメントの
「Fractional PLL Architecture」の項を参照してください。
2013 年 1 月
Altera Corporation
■
Arria V デバイスのクロック・ネットワークおよび PLL
■
Cyclone V デバイスのクロック・ネットワークおよび PLL
Arria V および Cyclone V のデザイン・ガイドライン
ページ 28
I/O およびクロックのプランニング
表 9. クロックのプランニング・チェックリスト ( その 2 )
No. v チェックリストの項目
3.
 正しい PLL フィードバック補償モードを選択することを確認します。
Arria V および Cyclone V の PLL は、6 種類のクロック・フィードバック・モー
ドをサポートしています。詳細については、次のドキュメントに「Clock
Feedback Modes」の項を参照してください:
4.

■
Arria V デバイスのクロック・ネットワークおよび PLL
■
Cyclone V デバイスのクロック・ネットワークおよび PLL
PLL が必要なクロック出力数を提供し、専用クロック出力ピンを使用してい
ることをチェックします。
クロック出力を専用クロック出力ピンまたは専用クロック・ネットワークに
接続することができます。
5.
 クロックの選択とパワーダウンにクロック・コントロール・ブロックを使用
します。
グローバルおよびリージョナル・クロック・ネットワークごとに、専用のク
ロック・コントロール・ブロックがあります。デザインで組み合わせロジッ
クを使用せずに、異なるクロック入力信号またはパワーダウン・クロック・
ネットワークを選択して消費電力を低減する場合、これらの機能を使用しま
す。
■
クロック・ソースの選択(グローバル・クロックに対してはダイナミック
選択)
■
GCLK の多重化
■
クロックのパワーダウン(スタティックまたはダイナミック・クロック・
イネーブルまたはディセーブル付き)
Arria V および Cyclone V では、clkena 信号は PLL 出力カウンタ・レベルでは
なく、クロック・ネットワーク・レベルでサポートされます。これにより、
PLL が使用されていないときでもクロックをゲート・オフできます。また、
clkena 信号を使用して、PLL から専用の外部クロックをコントロールするこ
とも可能です。
詳細は、Clock Control Block (ALTCLKCTRL) Megafunction User Guide を参照してく
ださい。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
I/O およびクロックのプランニング
ページ 29
I/O 同時スイッチング・ノイズ
SSN は、同時に電圧レベルを変化させる I/O(近接して)が多すぎると問題になりま
す。I/O およびクロック接続をプランニングするための推奨事項については、この項
のチェックリストを使用します。
表 10. I/O 同時スイッチング・ノイズのチェックリスト
No. v チェックリスト項目
1.

I/O 同時スイッチングノイズを軽減するために、次の推奨事項を考慮します。
■
可能な SSN の問題のためのデザインを分析します。
■
可能であれば、同時に電圧レベルを切り換えるピン数を減らします。
■
スイッチング速度が高い I/O には、差動 I/O 規格と低電圧規格を使用します。
■
スイッチング速度が高い I/O には低いドライブ強度を使用します。デフォル
トのドライブ強度設定は、デザインで要求されるドライブ強度設定よりも
高い場合があります。
■
各バンク内の同時スイッチング出力ピン数を減らします。可能であれば、
出力ピンを複数のバンクに分散させます。
■
バンク使用率が 100% を十分に下回っている場合、スイッチング I/O をバン
ク全体に均等に分散させて領域内のアグレッサの数を減らして、SSN を低
減します。
■
同時にスイッチングするピンを、SSN に敏感な入力ピンから分離する。
■
重要なクロック・コントロール信号および非同期コントロール信号は、大
きなスイッチング・バスから離して、グランド信号の近くに配置。
■
PLL 電源ピンから 1 本または 2 本分離れた I/O ピンを、
スイッチング速度が速
い、またはドライブ強度が高いピンに使用しないようにする。
■
スタッガード出力遅延を使用して、出力信号を経時的にシフトするか、調
整可能なスルー・レート設定を使用します。
使用可能な I/O 機能の使用に関する情報とガイドラインについては、21 ペー
ジの「I/O 機能およびピン接続」を参照してください 。
SSN を緩和するシグナル・インテグリティのデザイン手法については、アル
テラ・ウェブサイトの Signal & Power Integrity Design Techniques for SSN ウェブ
キャストを表示してください。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 30
デザイン・エントリ
デザイン・エントリ
複雑な FPGA デザインの開発では、適切なデザイン手法およびコーディング・スタイ
ルが、デバイスのタイミング性能、ロジック使用率、およびシステムの信頼性にき
わめて大きな影響を与えます。さらに、デザインをププランニングと作成する間、デ
ザインの生産性を向上させるために、階層およびチーム・ベース・デザインを計画
します。
表 11. デザイン・エントリ・チェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 同期デザイン手法を使用します。
同期デザインでは、クロック信号がすべてのイベントをトリガします。すべ
てのレジスタのタイミング要件が満たされている限り、同期デザインはすべ
てのプロセス、電圧、および温度(PVT)条件で、予測可能かつ信頼性の高
い方法で動作します。同期デザインでは、簡単に異なるデバイス・ファミリ
やスピード・グレードをターゲットにすることができます。
2.
 クロック信号問題を回避するには、以下の推奨事項を考慮します。
■
最良の結果を得るために、専用クロック・ピンと専用クロック配線を使用
します — 専用クロック・ピンはクロック・ネットワークを直接ドライブ
し、他の I/O ピンと比較して、スキューが確実に低減されます。ファンア
ウトの大きい信号のスキューを低減しながら遅延を予測可能なものするに
は、専用配線ネットワークを使用します。また、クロック・ピンとクロッ
ク・ネットワークを使用して、非同期リセットなどのコントロール信号を
ドライブすることも可能です。
■
クロックの反転、逓倍、および分周には、デバイスの PLL を使用します。
■
クロックの多重化およびゲーティングには、組み合わせロジックの代わり
に専用のクロック・コントロール・ブロック、または PLL クロック・ス
イッチオーバー機能を使用します。
■
内部生成クロック信号を使用する必要がある場合、グリッチを低減するた
めにコントロール信号として使用される組み合わせロジックの出力をラッ
チします。例えば、組み合わせロジックを使用してクロックを分周する場
合、ディバイダ回路をクロックするのに使用したクロック信号で最終ス
テージをクロックします。
■
トランシーバは、以下の推奨事項を考慮してください。
■
refclk ピンを専用のトランシーバを使用します。
■
簡単なタイミング・クロージャのために、トランスミッタ回復したク
ロックを使用して、ファブリック内の送信ロジックをクロックます。ま
た、レシーバ回復したクロックを使用して、受信ロジックをクロックし
ます。 Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザイン・エントリ
ページ 31
表 11. デザイン・エントリ・チェックリスト ( その 2 )
項目 v チェックリストの項目
3.
 Quartus II Design Assistant を使用して、デザインの信頼性をチェックします。
デザイン・アシスタントは、アルテラの推奨に従って、デザインをチェック
するデザイン・ルール・チェック・ツールであり、デザイン・フローの早い
段階でデザインの問題を避けることができます。
■
ツールを実行するには、Processing メニューで Start をポイントして、Start
Design Assistant をクリックします。
■
デザイン・アシスタントがコンパイル時に自動的に実行されるように設定
するには、Settings ダイアログ・ボックスで Run Design Assistant during
compilation をオンにします。
また、サードパーティの「リント」ツールを使用してコーディング・スタイ
ルをチェックすることもできます。
詳細については、Quartus II ハンドブックの Recommended Design Practices 章の
「Checking Design Violations with the Design Assistant」項を参照してください。
業界紙を参照して、複数のクロック・デザインに関する詳しい情報を入手す
ることもできます。適切な解析を行うには、www.sunburst-design.com/papers を
参照してください。
4.
 MegaWizard Plug-In Manager でメガファンクションを使用します。
独自のロジックをコーディングする代わりに、アルテラのメガファンクショ
ン(パラメータ化モジュールやデバイス固有のメガファンクションのライブ
ラリ)を使用して、デザイン時間を節約できます。
メガファンクションは、アルテラのデバイス・アーキテクチャ用に最適化さ
れ、より効率的なロジック合成とデバイスの実装を提供することができます。
すべてのポートとパラメータを正しく設定することを確認するには、メガ
ファンクションのパラメータを構築したり変更する Quartus II Megawizard
Plug-In Manager を使用します。
特定のメガファンクションについて詳しくは、アルテラ・ウェブサイトで IP
and Megafunctions ページでの関連するユーザー・ガイドを参照してください。
5.
 ダイナミックとパーシャル・リコンフィギュレーション機能の情報を確認し
ます。
Arria V および Cyclone V デバイスは、ダイナミックやパーシャル・リコンフィ
ギュレーションをサポートしています。
■
ダイナミック・リコンフィギュレーショ — 動的にトランシーバ・データ・
レート、PMA 設定、または隣接チャネル上のデータ転送に影響を与える
チャネルのプロトコルを変更する。
■
パーシャル・リコンフィギュレーション — デバイスの他のセクションは動
作状態のままであり、デバイスの一部をリコンフィギュレーションしま
す。
詳細については、Increasing Design Functionality with Partial and Dynamic
Reconfiguration in 28-nm FPGAs を参照してください
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 32
デザイン・エントリ
表 11. デザイン・エントリ・チェックリスト ( その 3 )
項目 v チェックリストの項目
6.
 最適な合成結果が得られるように、アルテラの推奨コーディング・スタイル
を使用します。
HDL コーディング・スタイルは、プログラマブル・ロジック・デザインの結
果の品質(QoR)に大きな影響を与える可能性があります。メモリ・ファンク
ションおよびデジタル・システム処理(DSP)ファンクションをデザインす
る場合、デバイスのアーキテクチャを理解すれば、専用のロジック・ブロッ
クのサイズやコンフィギュレーションを活用できます。
7.
■
具体的な HDL コーディング例と推奨事項については、
「Quartus II ハンドブッ
ク 」の「 Recommended HDL Coding Styles」の章を参照してください。
■
参照のための例として、Quartus II ソフトウェアで提供される HDL テンプ
レートを使用することができます。テンプレートにアクセスするには、
Quartus II テキスト・エディタで編集エリアを右クリックし、[Insert
Template をクリックします。
■
追加のツール特有のガイドラインについては、合成ツールのマニュアルを
参照してください。
 必要に応じて、すべてのレジスタをクリアするためにチップ・ワイド・リ
セットを使用します。
Arria V および Cyclone V デバイスはオプションのチップ・ワイドのリセットを
サポートしており、このリセットによってメモリ・ブロックのレジスタを含
む(ただし、メモリ内容そのものは含まない)、デバイスのすべてのレジスタ
のクリアをすべて無効にすることができます。
■
この DEV_CLRn ピンが Low にドライブされると、すべてのレジスタがクリア
され 0 にリセットされます。影響されたレジスタは、合成がレジスタ制御
信号により NOT-gate push-back と呼ばれる最適化が実行され、それらが高
い値にプリセットされるかのように動作します。
■
DEV_CLRn ピンが High にドライブされると、すべてのレジスタはプログラム
されたとおりに動作します。
チップ・ワイドのリセットをイネーブルするには、デザインをコンパイルす
る前に、Quartus II ソフトウェアの Device and Pin Options ダイアログボックス
の General カテゴリの Options リストの下に Enable device wide reset
(DEV_CLRn) をオンにします。
8.
 デバイス・アーキテクチャ固有のレジスタ・コントロール信号を使用します。
Arria V および Cyclone V の各ロジック・アレイ・ブロック(LAB)には、各
ALM に対するレジスタ・コントロール信号をドライブするための専用ロジッ
クも内蔵されています。コントロール信号がデバイス・アーキテクチャ内の
専用のコントロール信号を使用することが重要です。いくつかのケースでは、
デザインで異なるコントロール信号の数を制限するために必要とされていま
す。
LAB および ALM のアーキテクチャについて詳しくは、以下の項のを参照して
ください。
■
Arria V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロ
ジック・モジュール
■
Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロ
ジック・モジュール
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザイン・エントリ
ページ 33
表 11. デザイン・エントリ・チェックリスト ( その 4 )
項目 v チェックリストの項目
9.
 推奨されるリセット・アーキテクチャを確認します。
■
リセットがアサートされたときにコントロール信号を使用できない場合、
通常非同期リセットを使用してロジックをリセットします。
■
推奨されるリセット・アーキテクチャでは、リセット信号を非同期でア
サートし、かつ同期してディアサートすることができます。
■
リセット信号のソースは、グローバル配線リソースに直接接続できるレジ
スタの非同期ポートに接続されます。
■
同期ディアサーションにより、すべてのステート・マシンとレジスタを同
時に起動することができます。
■
同期ディアサーションは、フリップ・フロップのアクティブ・クロック・
エッジまたはその付近で非同期リセット信号がリリースされて、フリッ
プ・フロップの出力が準安定の不定状態になる可能性も回避します。
良いリセット・デザインについて詳しくは、www.sunburst-design.com/papers
でのリセット・アーキテクチャの分析などの業界紙を参照してください
10.
 合成ツールで使用可能な合成オプションを確認します。
デザインに特定のパワーアップ条件を強制する合は、合成ツールで使用可能
な合成オプションを使用します。
■
デフォルトでは、Quartus II ソフトウェア合成機能は、デザインがデバイ
ス・アーキテクチャのパワーアップ状態に依存しない前提として Power-Up
Don’t Care ロジック・オプションをオンにします。他の合成ツールが同様
の仮定を使用することもあります。
■
設計者は通常デザインには、必ずしもパワーアップ時ではなく、リセット
後にすべてのレジスタを適切な値に強制する明示的なリセット信号を使用
します。デバイスのパワーアップ条件に関係なく、リセット・アクティブ
で安全なデザインをパワーアップすることができる非同期リセットを使用
して、デザインを作成することができます。
■
合成ツールによっては、ソース・コードでラッチされた信号のデフォルト
値または初期値を読み出し、この動作をデバイスに実装することも可能で
す。例えば、Quartus II 合成機能は、レジスタにラッチされた信号の HDL デ
フォルト値および初期値を Power-Up Level 設定に変換します。このように
すれば、合成された動作は、機能シミュレーション中に HDL コードのパ
ワーアップ状態に適合します。
■
デバイス・コア内のレジスタは、物理的なデバイス・アーキテクチャにお
いて常に Low(0)ロジック・レベルでパワーアップします。High のパワー
アップ・レベルすなわち 0 以外のリセット値(プリセット信号と呼ばれる
場合が多い)を指定した場合、合成ツールは通常、レジスタで使用可能な
クリア信号を使用し、NOT-gate push-back と呼ぶ最適化を実行します。Low
にリセットされたレジスタに対して High のパワーアップ・レベルを割り当
てた場合、または High にプリセットされたレジスタに対して低いパワー
アップ・レベルを割り当てた場合、合成ツールは NOT-gate push back 最適化
手法を使用することができず、パワーアップ条件を無視する可能性があり
ます。
パ Power-Up Level 設定 、およびパワー・アップ状態を設定する
altera_attribute の割り当てについて詳しくは、「Quartus II ハンドブック」
の「 Quartus II Integrated Synthesis」の章を参照してください。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 34
デザイン・エントリ
表 11. デザイン・エントリ・チェックリスト ( その 5 )
項目 v チェックリストの項目
11.
 レジスタ・パワーアップおよびコントロール信号に使用できるリソースを検
討します。
リセット信号とプリセット信号を同じレジスタに実装するために、合成ツー
ルは、レジスタへの異なるパス間で遅延が異なるためグリッチを発生しやす
いロジックとラッチでコントロールをエミュレートします。また、これらの
レジスタに対するパワーアップ値は未定義です。
リセット・ロジックおよびパワー・アップの状態について詳しくは、
「Quartus II ハンドブック」の「Recommended HDL Coding Styles 」の章を参照し
てください。
12.
 デザイン・パーティションを作成するために、アルテラの推奨事項を考慮し
ます。
FPGA のデザインを分割するには、パーティションが統合されたときに最良の
結果が得られるよう、また各パーティションがデバイス内の他のパーティ
ションに対して適切に配置されるようにプランする必要があります。
デザイン・パーティションを作成して全体的な結果の品質を改善するには、
アルテラの推奨事項を順守してください。例えば、パーティションの I/O 境界
をレジスタで受けることにより、クリティカル・タイミング・パスを個別に
最適化可能な 1 つのパーティション内に維持できます。それぞれのデザイン・
ブロックが個別のファイルで定義されるように、ソースコードを計画してく
ださい。ソフトウェアは個別に自動的に各ブロックへの変化を検出できます。
分割するときにより多くの柔軟性を提供するように、デザインに階層を使用
します。階層ツリーから外れたデザイン・ロジックをデザインロジックを維
持します:つまり階層のトップ・レベルのほとんどのロジックが必要であり、
下位デザイン・ブロックがロジックを含んでいます。
デザイン・パーティションの作成について詳しくは、「Quartus II ハンドブッ
ク Volume 1」の 「 Best Practices for Incremental Compilation Partitions and
Floorplan Assignments」を参照してください。
13.
 パーティション間でタイミング・バジェットとリソース・バランシングを実
行します。
デザインが複数のプロジェクトで作成されている場合、システム開発者が下
位レベルのブロックの設計者に対して、各パーティションが適切なデバイ
ス・リソースを使用するように指導することが重要です。
■
デザインが個別に開発されるため、各下位レベルの設計者は、全体的なデ
ザインまたは各自のパーティションが他のパーティションとどのように接
続されるのかについて情報を持っていません。
■
下位レベル・パーティションの設計者がデザインに着手する前に、ピン位
置、物理的制約、およびタイミング要求を含むトップレベル・プロジェク
ト情報を設計者に伝達する必要があります。
■
システム設計者は、トップ・レベルでデザイン・パーティションをプラン
ニングし、下位レベルのモジュールに、トップ・レベルのプロジェクト情
報を転送するプロセスを自動化するために、Project メニューの Quartus II
ソフトウェア Generate Bottom-Up Design Partition Scripts を使用することが
できます。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザイン・エントリ
ページ 35
表 11. デザイン・エントリ・チェックリスト ( その 6 )
項目 v チェックリストの項目
14.
 インクリメンタル・コンパイル・パーティションのためのデザイン・フロア
プランを作成します。
■
デザイン・フロアプランはデザイン・パーティション間の競合を回避し、
他のパーティションに対する各パーティションがうまく配置されているこ
とを確認します。各パーティションに対して異なるロケーション・アサイ
ンメントを作成した場合、ロケーションの競合は発生しません。
■
また、デザイン・フロアプランは、ほとんどのリソースが使用済みである
デバイス・エリアで、Fitter にデザインの一部を配置または再配置するよう
指示する状況を回避するのに役立ちます。
■
フロアプラン・アサインメントは、トップダウン手法におけるタイミン
グ・クリティカルなパーティションに推薦されます。Quartus II Chip Planner
を使用して、各デザイン・パーティションに対する LogicLock 領域アサイ
ンメントを使用したデザイン・フロアプランを作成することができます。
■
トップレベル・デザインの基本的なデザインの枠組みがあれば、領域間の
接続を表示し、チップ上のフィジカル・タイミング遅延を見積もり、デザ
イン・フロアプランで領域を移動させることができます。
■
完全なデザインをコンパイル済みの場合、ロジック配置を表示し、配線が
密集する領域を特定してフロアプラン・アサインメントを改善することも
できます。
フロアプランでのデザイン・フロアプランと配置の割り当てを作成する情報
「Quartus II のハンドブック」の次の章を
とガイドラインについて詳しくは、
参照してください。
2013 年 1 月
Altera Corporation
■
インクリメンタル・コンパイル・パーティションとフロアプラン割り当て
のベスト・プラクティス
■
チップ・プランナーとデザイン・フロアプランの分析と最適化
Arria V および Cyclone V のデザイン・ガイドライン
ページ 36
デザインの実装
デザインの実装
ガイドラインとして、デザインを実装しながらこの項でのチェックリストを使用し
てください。
合成とコンパイル
表 12. 合成とコンパイルのチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 合成ツールを指定し、サポートされている正しいバージョンを使用します。
QuartusII ソフトウェアは、だけでなく Verilog HDL、VHDL、アルテラ・ハード
ウェア記述言語(AHDL)および回路図によるデザイン入力を備えています。
また、業界をリードするサードパーティ EDA 合成ツールを使用して、Verilog
または VHDL デザインを合成し、次に結果として得られる出力ネットリスト・
ファイルを QuartusII ソフトウェアでコンパイルすることもできます。
■
New Project Wizard または Settings ダイアログ・ボックスの EDA Tools Settings
ページで任意のサードパーティ合成ツールを指定して、合成ネットリスト
に適した Library Mapping ファイル (.lmf) を使用します。
■
ツール・ベンダは絶えず新機能を追加し、ツールの問題を修正し、アルテ
ラ・デバイスに対する性能を向上させているため、最新バージョンのサー
ドパーティ合成ツールを使用するようにしてください。
■
合成ツールが異なると、得られる結果が異なる可能性があります。アプリ
ケーションに対して最高の性能を発揮するツールを選択したい場合、アプ
リケーションおよびコーディング・スタイルに対応した標準的なデザイン
を合成し、結果を比較することによってツールを実験することができま
す。
■
正確なタイミング解析およびロジック使用率の結果を得るために、必ず
Quartus II ソフトウェアで配置配線を実行してください。
■
合成ツールによっては、Quartus II プロジェクトを作成し、EDA ツールの設
定、デバイスの選択、および合成プロジェクトで指定したタイミング要求
などの制約を渡す機能を提供するものもあります。配置配線のために
Quartus II プロジェクトを設定するときに、この機能を使用して時間を節約
することができます。
タイミング解析について詳しくは、「Quartus II ハンドブック 」の以下の章を
参照してください。
■
Quartus II Integrated Synthesis
■
Synopsys Synplify Support
■
Mentor Graphics Precision Synthesis Support
■
Mentor Graphics LeonardoSpectrum Support
Quartus II ソフトウェア・バージョンで、各合成ツールの正式サポートされて
いるバージョンについては、アルテラ・ウェブサイトでの Release Notes ペー
ジに関連する Quartus II ソフトウェアのリリースノートを参照してください 。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザインの実装
ページ 37
表 12. 合成とコンパイルのチェックリスト ( その 2 )
項目 v チェックリストの項目
2.
 コンパイル後にリソース利用に関するレポートを確認します。
Quartus II ソフトウェアでのコンパイルが完了したら、、デバイスのリソース
使用率の情報を確認します。
■
デバイスのリソース使用率情報に目を通して、将来ロジックを追加した
り、その他のデザインの変更を行うことにより、フィッティングに支障を
来す恐れがあるか否かを判断します。
■
コンパイルに no-fit エラーが発生する場合、フィッティングの問題を分析す
るために情報を使用します。
■
リソース使用率決定するために、既存の接続またはロジックの使用により
使用不可能なリソースの見積りを含めた総ロジック使用率を示さす
Compilation Report の Flow Summary セクションを参照してください。
■
Compilation ReportのFitterセクションの Resource Section の下にあるレポート
を表示すると、詳細なリソース情報を入手できます。Fitter Resource Usage
Summary レポートは、ロジック使用率情報を分析し、完全に使用されてい
る ALM および部分的に使用されている ALM の数を表示し、各タイプのメ
モリ・ブロック内のビット数を含むその他のリソース情報を提供します。
また、コンパイル中に実行された一部の最適化について説明するレポートも
あります。例えば、Quartus II の統合合成機能を使用している場合、Analysis &
Synthesis セクションの Optimization Results フォルダの下にあるレポートは、
合成中に削除されたレジスタを含む情報について説明します。このレポート
は、部分的に完成したデザインについてデバイスのリソース使用率を見積る
際に、デザインの他の部分との接続の欠落が原因でレジスタが削除されてい
ないことを確認するのに役立ちます。
ロジック使用率が低いの ALM 使用率が考えられる最低の ALM 使用率である
わけではありません。100%に近いことが報告されているデザインは、追加の
ロジックのためのスペースがまだある可能性があります。フィッタは、ロ
ジックを 1 個の ALM 内に配置できる場合でも、異なる ALM 内の ALUT を使用
し、それにより最良のタイミングおよび配線性の結果を達成することができ
ます。これらの結果が達成される場合、ロジックがデバイス全体に分散され
ている場合があります。デバイスの使用率が高くなると、フィッタは 1 個の
ALM 内に一緒に配置できるロジックを自動的にサーチします。
3.
 すべての Quartus II Messages、特にワーニング・メッセージを確認します。
コンパイル・フローの各ステージで、情報メモ、ワーニング、クリティカ
ル・ワーニングを含むメッセージが生成されます。あらゆるワーニング・
メッセージの意味を確実に理解し、必要に応じてデザインまたは設定を変更
してください。
Quartus II のユーザー・インタフェースでは、メッセージの特定の種類を調べ
るために Message ウィンドウのタブを使用することができます。アクション
が必要とされないと判断した場合、メッセージを抑制することができます。
メッセージおよびメッセージの抑制について詳しくは、「Quartus II ハンド
ブック」の「 Managing Quartus II Projects」の章を参照してください。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 38
デザインの実装
表 12. 合成とコンパイルのチェックリスト ( その 3 )
項目 v チェックリストの項目
4.
 インクリメンタル・コンパイルを使用することを検討します。
インクリメンタル・コンパイル機能を使用して、デザインの変更されていな
い部分を維持し、タイミング性能を維持すると共に、より効率的にタイミン
グ・クロージャに達成することができます。インクリメンタル・コンパイル
機能を使ってデザインを変更するときは、デザインのイタレーション時間を
平均 60% 短縮できます。
5.
 並列コンパイルがイネーブルされることを確認します。
デザインをコンパイルするのに複数のプロセッサを使用できる場合、
Quartus II ソフトウェアは、複数のアルゴリズムをパラレルに実行して、複数
のプロセッサを活用しながらコンパイル時間を短縮することができます。
Settings ダイアログ・ボックスの Compilation Process Settings ページで Parallel
compilation を設定するか、または Tools ニューから Processing ページで
Options ダイアログ・ボックスで、デフォルトの設定を変更します。
6.

Compilation Time Advisor を使用します。
Compilation Time Advisor は、デザインのコンパイル時間を短縮する設定を作成
するためのガイドを提供します。Tools メニューで Advisors をポイントし、
Compilation Time Advisor をクリックします。これらの手法のいくつかを使って
コンパイル時間を短縮すると、結果の総合的な品質が低下する可能性があり
ます。
詳細は、「QuartusII ハンドブック 」の「 Area and Timing Optimization 」の章を
参照してください。
タイミング制約およびタイミング解析
デザインのタイミングを分析し、タイミング性能を最適化するために、次のチェッ
クリストのガイドラインを使用します。
表 13. タイミング最適化およびタイミング解析のチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 タイミング制約が完全かつ正確であることを確認します。
FPGA デザイン・フローでは、正確なタイミング制約により、タイミング・ド
リブン・シンセシス・ソフトウェアと配置配線ソフトウェアは最適な結果を
得ることができます。タイミング制約は、デザインが確実にタイミング要求、
すなわちデバイスが正しく動作するために満足されなければならない実際の
デザイン要件を満足するために重要です。
Quartus II ソフトウェアは、スピード・グレードごとに異なるタイミング・モ
デルを使用して、デザインを最適化および解析するので、正しいスピード・
グレードのためにタイミング解析を実行する必要があります。最終的なプロ
グラム済みのデバイスは、タイミング・パスが十分に解析および検証されて
要件が満足されない限り、予測と異なる動作をする可能性があります。
詳細は、「Quartus II ハンドブック 」の「 Timing Analysis Overview 」の章を参照
してください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザインの実装
ページ 39
表 13. タイミング最適化およびタイミング解析のチェックリスト ( その 2 )
項目 v チェックリストの項目
2.
 コンパイル後に TimeQuest タイミング・アナライザ・レポートを確認します。
Quartus II ソフトウェアには、デザインのすべてのロジックのタイミング性能
を検証する強力な ASIC スタイルのタイミング解析ツールである TimeQuest タ
イミング・アナライザが含まれています。
TimeQuest タイミング・アナライザは、業界標準の Synopsys Design Constraints
(SDC)フォーマットのタイミング制約をサポートし、インタラクティブなタ
イミング・レポート付きの使いやすい GUI を備えています。
TimeQuest タイミング・アナライザは、高速ソース・シンクロナス・インタ
フェースおよびクロック多重化デザイン構造を制約するのに理想的です。
このソフトウェアは、業界標準の Synopsys 社の PrimeTime ソフトウェアでの
スタティック・タイミング解析もサポートします。
New Project Wizard または Settings ダイアログ・ボックスの EDA Tools Settings
ページでツールを指定して、必要なタイミングネットリストを生成します。
3.

FPGA にデータが提供されるときに、入力 I/O タイムに違反していないことを
確認。
包括的なスタティック・タイミング解析には、レジスタ間パス、I/O パス、お
よび非同期リセット・パスの解析が含まれます。デザイン内のすべてのク
ロックの周波数と関係を指定することが重要です。
外部デバイスまたは外部ボードのタイミング・パラメータを指定するには、
入力および出力遅延制約を使用します。システムの意図が正確に反映される
ように、外部インタフェース用コンポーネントに対する正確なタイミング要
求を指定します。
タイミング・アナライザは、システム全体に対してスタティック・タイミン
グ解析を実行し、データ要求時間、データ到達時間、およびクロック到達時
間を使用して、回路性能を検証し、発生する可能性があるタイミング違反を
検出します。タイミング・アナライザは、デザインが正しく機能するために
満足する必要があるタイミング関係を決定します。report_datasheet コマン
ドを使用して、デザイン全体の I/O タイミング特性を要約したデータシート・
レポートを生成することができます。
4.
 フル・コンパイルを実行する前に、Early Timing Estimation を実行します。
タイミング解析でデザイン要件に適合しなかったことがレポートされた場合、
タイミング・クロージャを達成するように、デザインまたは設定を変更して、
デザインを再コンパイルする必要があります。コンパイルの結果、no-fit メッ
セージが生成された場合、配置配線を成功させるために変更を行う必要があ
ります。
Early Timing Estimation 機能を使用して、ソフトウェアが完全な配置配線を実行
する前に、デザインのタイミング結果を見積もることができます。解析と合
成を実行した後、Processing メニューで Start をポイントし、Start Early Timing
Estimate をクリックして、最初のコンパイル結果を生成します。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 40
デザインの実装
表 13. タイミング最適化およびタイミング解析のチェックリスト ( その 3 )
項目 v チェックリストの項目
5.
 タイミング最適化および解析のアサインメントについては、次の推奨事項を
考慮します。
■
Settings ダイアログ・ボックスの Fitter Settings ページで、Optimize fast-corner
timing をオンにします。
■
create_clock および create_generated_clock を使用して、デザインのす
べてのクロックの周波数と関係を指定します。
■
set_input_delay および set_output_delay を使用して、外部デバイスまた
は外部ボードのタイミング・パラメータを指定します。
■
derive_pll_clocks を使用して、PLL メガファンクションでの設定に従っ
て、すべての PLL 出力に対して生成されるクロックを作成します。LVDS ト
ランスミッタまたはレシーバのパラレル変換係数に対して、マルチサイク
ル関係を指定します。
■
derive_clock_uncertainty を使用して、インター・クロック、イントラ・
クロック、および I/O インタフェースの不確実性を自動的に適用します。
■
check_timing を使用して、制約の欠落を含め、デザインまたは適用された
制約に関する問題に関するレポートを生成します。
■
タイミング・クロージャを達成したり、リソース利用を改善するために
Quartus II の最適化機能を使用。
■
Timing and Area Optimization Advisors を使用して最適な設定を提案。
タイミング制約について詳しくは、「Quartus II ハンドブック 」の「 The
Quartus II TimeQuest Timing Analyzer」の章を参照してください。
機能シミュレーションおよびタイミング・シミュレーション
シミュレーションおよびタイミング・シミュレーションに関するガイドラインにつ
いては、以下のチェックリストを使用します。
表 14. 機能およびタイミング・シミュレーションのチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 デザイン・フローの先頭に機能シミュレーションを実行します。
デザイン機能や各デザイン・ブロックの論理動作をチェックするためにシ
ミュレーションを実行します。デザインを完全にコンパイルする必要はなく、
タイミング情報が含まれていない機能シミュレーション・ネットリストを生
成することができます。
2.
 デザインがターゲット・デバイスで動作することを確認するためにタイミン
グシミュレーションを実行します。
タイミング・シミュレーションは、TimeQuest タイミング・アナライザで生成
された、異なるデバイス・ブロックの遅延や配置配線情報を含むタイミン
グ・ネットリストを使用します。デザインがターゲット・デバイスで確実に
動作するように、デザイン・フローの最後にトップレベル・デザインに対し
てタイミング・シミュレーションを実行することができます。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザインの実装
ページ 41
表 14. 機能およびタイミング・シミュレーションのチェックリスト ( その 2 )
項目 v チェックリストの項目
3.
 シミュレーション・ツールを指定し、サポートされている正しいバージョン
を使用します。
■
アルテラは、ModelSim®-Altera simulator Starter Edition を提供し、高度なテス
トベンチ機能およびその他の機能をイネーブルするより高いパフォーマン
スの ModelSim-Altera Edition を提供しています。
■
また、QuartusII EDA Netlist Writer は、Synopsys 社の VCS、Cadence 社の NC-Sim
や社 Aldec の Active-HDL などのその他のサードパーティ・シミュレーショ
ン・ツールをサポートするために、タイミング・ネットリスト・ファイル
を生成できます。
■
サードパーティ製シミュレーション・ツールを使用する場合、QuartusII
バージョンでサポートされているソフトウェア・バージョンを使用してく
ださい。
■
Settings ダイアログ・ボックスの EDA Tools Settings ページでシミュレーショ
ン・ツールを指定して、適切な出力シミュレーション・ネットリストを生
成します。また、ソフトウェアは NativeLink 統合を使用してツールでのライ
ブラリをセットアップするためのスクリプトを生成することができます。
■
必ず使用中の Quartus II ソフトウェア・バージョンに付属のモデル・ライブ
ラリを使用してください。ライブラリはバージョン間で変更されている可
能性があり、その場合は使用中のシミュレーション・ネットリストとの不
一致が生じます。
■
Processing メニューの Start をポイントし、Start Testbench Template Writer を
クリックして、テストベンチを作成します。
Quartus II ソフトウェア・バージョンで各シミュレーション・ツールの正式サ
ポートされているバージョンについては、アルテラ・ウェブサイトの Release
Notes ページでの関連する Quartus II ソフトウェアのリリース・ノートを参照
してください 。
詳細については、Quartus II ハンドブックの次の資料を参照してください。
■
Simulating Altera Designs
■
Mentor Graphics ModelSim and QuestaSim Support
■
Synopsys VCS and VCS MX Support
■
Cadence Incisive Enterprise Simulator Support
■
Aldec Active-HDL and Rivera-PRO Support
フォーマル検証
デザインがフォーマル検証を必要とする場合、次のガイドラインに従ってください。
表 15. フォーマル検証のチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 デザインのためのフォーマル検証を必要とするかどうかを確認します。
デザインにフォーマル検証が重要な場合、デザイン・フローの初期段階で制
限と制約をプランニングする方が、後半で変更を行うよりも簡単です。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 42
デザインの実装
表 15. フォーマル検証のチェックリスト ( その 2 )
項目 v チェックリストの項目
2.
 フォーマル検証のサポートおよびデザインの制限を確認します。
Quartus II ソフトウェアは、フォーマル検証フローをサポートしています。
フォーマル検証フローを使用すると、レジスタのリタイミングなどの特定の
ロジック最適化をオフにし、階層ブロックが強制的に維持され、それによっ
て最適化が制約されるので、性能結果に影響を及ぼす可能性があります。
詳細は、「Quartus II ハンドブック」の「 Cadence Encounter Conformal Support 」
の章を参照してください。
3.
 フォーマル検証ツールを指定し、サポートされている正しいバージョンを使
用します。
Settings ダイアログ・ボックスの EDA Tools Settings ページでフォーマル検証
ツールを指定して、適切な出力ネットリストを生成します。
Quartus II ソフトウェア・バージョンでは各フォーマル検証ツールの正式サ
ポートされているバージョンについては、アルテラ・ウェブサイトの Release
Notes ページでの関連する Quartus II ソフトウェアのリリース・ノートを参照
してください 。。
消費電力の解析および最適化
デザインをコンパイルしたら、QuartusII PowerPlay Power Analyzer を使用して消費電力
と放熱性を解析し、デザインが電源バジェットおよび熱バジェットに違反していな
いことを確認します。
QuartusII ソフトウェアの消費電力最適化は、正確な電力解析の結果に依存します。前
の項のガイドラインを使用して、Quartus II ソフトウェアがデザインの動作および条
件に対して正しく電力利用を最適化するようにします。
表 16. 消費電力の解析および最適化のチェックリスト ( その 1 )
項目 v チェックリストの項目
1.
 正確な電力解析結果を得るために正確な典型的な信号アクティビティを提供
します。
PowerPlay Power Analyzer に正確な典型的なシグナル・アクティビティを提供
する必要があります。
■
デザイン・リソース、配置配線、および I/O 規格に関する情報を導出するた
めにデザインをコンパイルします。
■
シミュレーション結果からの信号アクティビティ・データ(トグル・レー
トおよびスタティック確率)またはユーザー定義のデフォルト・トグル・
レートおよびベクタなし見積もりを導出します。解析に使用されるシグナ
ル・アクティビティは、実際の動作を反映したものでなければなりませ
ん。
消費電力を最も正確に見積もるには、ゲート・レベル・シミュレーションの
結果を、Quartus II シミュレータまたはサードパーティ・シミュレーション・
ツールの .vcd 出力ファイルで使用します。シミュレーション動作には、機能
検証で頻繁に使用される例外的なケースではなく、実際の期間における標準
的な入力ベクタを含める必要があります。良い結果を得るには、シミュレー
タの推奨設定(グリッチ・フィルタリングなど)を使用します。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
デザインの実装
ページ 43
表 16. 消費電力の解析および最適化のチェックリスト ( その 2 )
項目 v チェックリストの項目
2.
 電力解析のための正しい動作条件を指定します。
コア電圧、デバイスの電力特性、周囲温度およびジャンクション温度、冷却
ソリューション、およびボードの熱モデルなどの動作条件も指定する必要が
あります。
Quartus II ソフトウェアで、Settings ダイアログ・ボックスの Operating
Conditions ページで適切な設定を選択します。
3.

PowerPlay Power Analyzer で消費電力および放熱性を解析します。
Quartus II ソフトウェアで、Processing メニューの PowerPlay Power Analyzer
Tool をクリックします。このツールでは、解析に使用されるシグナル・アク
ティビティの集計、そしてシグナル・アクティビティのためのデータ・ソー
スの総合的な品質を反映する信頼性指標も提供されます。
消費電力解析、シグナル・アクティビティ情報を作成するためのシミュレー
ション設定の推奨事項について詳しくは、「Quartus II ハンドブック」の「
PowerPlay Power Analysis」の章を参照してください。
PowerPlay Power Analyzer は、電力推定値であり、電源仕様ではありません。
4.
 消費電力を最適化するために、推奨デザイン手法および Quartus II オプション
を使用します。
消費電力を最適化するためのデザイン手法について詳しくは、「Quartus II ハ
ンドブック」の「Power Optimization」の章を参照してください。
5.

Power Optimization Advisor を使用して最適化設定を提案します。
Quartus II ソフトウェアには、現在のデザイン・プロジェクトの設定およびア
サインメントに基づく、消費電力の最適化に関する具体的なアドバイスや推
奨事項を提供する Power Optimization Advisor 機能があります。
詳細は、「Quartus II ハンドブック 」の「 Power Optimization」の章を参照して
ください。
6.
 より高速なスピード・グレード・デバイスの使用を検討します。
デザインに高性能モードを必要とする多数のクリティカル・タイミング・パ
スが含まれているときには、より高速なスピード・グレード・デバイス(利
用可能な場合)を使用して消費電力を低減することができます。高速デバイ
スでは、ソフトウェアでより多くのデバイス・タイルが低消費電力モードを
使用するように設定できます。
2013 年 1 月
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 44
デザインの実装
表 16. 消費電力の解析および最適化のチェックリスト ( その 3 )
項目 v チェックリストの項目
7.
 クロック電源管理を最適化します。
クロックは、高いスイッチング動作と長いパスのために、ダイナミック消費
電力の大きな部分に関係します。Quartus II ソフトウェアは、ダウンストリー
ムのレジスタに供給する必要があるクロック・ネットワーク部のみをイネー
ブルにすることによって、クロック配線の消費電力を自動的に最適化します。
また、クロック・コントロール・ブロックを使用してクロック・ネットワー
クをダイナミックにイネーブルまたはディセーブルすることもできます。ク
ロック・ネットワークがパワーダウンすると、そのクロック・ネットワーク
で供給されるすべてのロジックはトグルしないため、デバイスの全体的な消
費電力が減少します。
クロック・コントロール・ブロックの使用方法について詳しくは、「 Clock
Control Block (ALTCLKCTRL) Megafunction User Guide」を参照してください。
クロック・ツリー全体をディセーブルしないで LAB ワイド・クロックの消費
電力を低減するには、LAB ワイド・クロック・イネーブル信号を使用して
LAB ワイド・クロックをゲートします。Quartus II ソフトウェアは、レジスタ・
レベルのクロック・イネーブル信号を自動的に LAB レベルに昇格させます。
8.
 メモリ・クロッキング・イベントの数を低減します。
メモリの消費電力を低減するために、メモリ・クロッキング・イベントの数
を低減します。クロック・ゲーティングまたはメモリ・ポートのクロック・
イネーブル信号を使用することができます。
9.
10.
 以下の I/O 電源のガイドラインを検討します。
■
I/O バッファのダイナミック消費電力は、合計負荷キャパシタンスに比例す
るため、キャパシタンスが低いと消費電力が減少します。
■
ダイナミック電力は、電圧を 2 乗した値に比例します。ダイナミック消費電
力を低減するには、低い電圧の I/O 規格を使用してください。LVTTL や
LVCMOS などの非終端 I/O 規格のレール・トゥ・レール出力振幅は、VCCIO
電源電圧と同じです。
■
ダイナミック電力は、出力遷移周波数に比例します。高周波数アプリケー
ションのために SSTL のような抵抗終端 I/O 規格 を使用します。出力負荷
電圧の振幅は、一部のバイアス・ポイントでは VCCIO よりも小さくなりま
す。この電圧は VCCIO よりはるかに小さいため、同様の条件下ではダイナ
ミック消費電力は非終端 I/O の場合よりも小さくなります。
■
抵抗終端 I/O 規格では、電流が継続的に終端ネットワークにドライブされる
ため、多くのスタティック電力が消費されます。抵抗終端された I/O 規格
を使用する場合、スタティック消費電力を低減するために、速度および波
形要件を満たす最小ドライブ強度を使用してください。
■
外部デバイスで使用される電力は PowerPlay での計算には含まれていませ
ん。システムの電源の計算で、別途外付けデバイスの電源を含めることに
確認してください。
 パワー・ドリブン・コンパイルと Power Optimization Advisor の情報を確認しま
す。
詳細は、「Quartus II ハンドブック」の「 Power Optimization 」の章を参照して
ください。
Arria V および Cyclone V のデザイン・ガイドライン
2013 年 1 月
Altera Corporation
改訂履歴
ページ 45
改訂履歴
表 17 に、本資料を改訂履歴を示します。
表 17. 改訂履歴
バー
ジョン
日付
2013 年 11 月
2013 年 1 月
1.0
変更内容
初版。
Altera Corporation
Arria V および Cyclone V のデザイン・ガイドライン
ページ 46
Arria V および Cyclone V のデザイン・ガイドライン
改訂履歴
2013 年 1 月
Altera Corporation