Quartus II Software and Device Support Release Notes

Quartus II Software and Device Support
Release Notes Version 14.0
RN-01080-14.0.2
Release Notes
This document provides late-breaking information about the Altera® Quartus® II
software version 14.0.
This document contains the following sections:
■
“New Features & Enhancements” on page 2
■
“Memory Recommendations” on page 4
■
“Changes in Device Support” on page 6
■
“Changes to Software Behavior” on page 8
■
“Device Support and Pin-Out Status” on page 9
■
“Timing and Power Models” on page 10
■
“EDA Interface Information” on page 12
■
“Antivirus Verification” on page 13
■
“Software Issues Resolved” on page 13
■
“Software Patches Included in this Release” on page 15
■
“Latest Known Quartus II Software Issues” on page 18
For information about operating system support, refer to the readme.txt file in your
altera/<version number>/quartus directory. For the latest information about the
MegaCore® IP Library, refer to the MegaCore IP Library Release Notes and Errata.
101 Innovation Drive
San Jose, CA 95134
www.altera.com
© 2014 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, HARDCOPY, MAX, MEGACORE,
NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and
Trademark Office and in other countries. OpenCL™ and the OpenCL logo are trademarks of Apple Inc. used by permission of
Khronos. * All other words and logos identified as trademarks or service marks are the property of their respective holders as
described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current
specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and
services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any
information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are
advised to obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
14.0 September 2014 Altera Corporation
Subscribe
New Features & Enhancements
Page 2
New Features & Enhancements
The Quartus II software version 14.0 includes the following new features and
enhancements:
■
Enhanced device support:
■
■
Improvements to Altera’s PCI Express® (PCIe®) intellectual property (IP) solution,
including:
■
Superior throughput and IOPS performance to boost your application
performance
■
Linux and Windows enterprise ready device drivers to enable the use of free,
off-the-shelf I/O subsystem benchmark measurement tools
■
Soft logic blocks, such as scatter-gather list-based direct memory access (DMA)
engines and single-root I/O virtualization (SR-IOV)
■
The complete PCIe IP solution is compliant with the Qsys system integration
tool and has been streamlined to maximize your productivity
■
The IP Catalog and parameter editor replace the MegaWizard™ Plug-In Manager
■
The Quartus II Problem Reporter for quick and easy reporting of software issues
■
Support for the USB-Blaster™ II programming cable
■
Support for up to 16 cores, delivering an average compile time speed up of 2X
compared with a single-core processor
■
Final timing models for Arria® V SoCs and Cyclone V SoCs, finalizing the timing
models for all V-series SoC families
■
Advanced single event upset (SEU) detection IP, to categorize the severity of a soft
error during device operation
■
Fault Injection Debugger, to simulate soft errors in configuration memory during
bench testing
■
New features and enhancements to Qsys, including:
■
September 2014
Full device support for the following Cyclone® V devices: 5CSEA2, 5CSEA4,
5CSXC2, 5CSXC4
Expanded ARM® AMBA® AXI™ support, including AXI4-Lite, a lightweight
version of AXI4, and AXI4-ST for point-to-point connections
■
Bus functional models (BFMs)
■
A new AXI bridge for designers to build network topologies without the
bottlenecks of the Avalon® Memory Mapped (Avalon-MM) interface
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
New Features & Enhancements
■
New features and enhancements to Altera SDK for OpenCL™, including:
■
An emulator to step through the code on an x86 processor and ensure it is
functionally correct
■
A detailed optimization report to understand the load and store inner loop
dependencies
■
A rapid prototyping tool to tackle the long compile times associated with
building an FPGA and run the kernel code on a prebuilt FPGA template
■
A profiler that shows performance insight into the kernel to ensure proper
memory coalescence and stall-free hardware pipeline
■
The re-architected Rapid Recompile feature provides advanced design flow for
Arria V, Cyclone V, and Stratix® V devices to reduce compilation time. Rapid
Recompile is recommended for iterative compilation changes that affect a small
subset of a large design (i.e. less than 5% of total design logic)
■
New features and enhancements to the SignalTap™ II Logic Analyzer, including:
■
September 2014
Page 3
■
User interface enhancements
■
Incremental routing with Rapid Recompile (Arria V, Cyclone V, and Stratix V
devices only)
■
Basic OR triggering condition with nested groups
■
Custom trigger HDL object
Quartus II Help has the following browser compatibility:
■
Local Quartus II Help (Help on a local drive installed by the Altera Installer) is
fully compatible with Microsoft Internet Explorer 11, Google Chrome, and
Safari 5 running on Windows 7 operating systems
■
Quartus II Web Help (hosted at http://quartushelp.altera.com/current) is
fully compatible with Microsoft Internet Explorer 11, Mozilla Firefox 28.0,
Safari 5, and Google Chrome
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Memory Recommendations
Page 4
Memory Recommendations
A full installation of the Quartus II software requires up to 21 GB of available disk
space on the drive or partition where you are installing the Altera software.
The Quartus II Stand-Alone Programmer requires a minimum of 1 GB of RAM plus
additional memory, based on the size and number of SRAM Object Files (.sof) and the
size and number of devices being configured.
Altera recommends that your system be configured to provide virtual memory equal
to the recommended physical RAM that is required to process your design.
The following table lists the memory required to process designs targeted for Altera
devices.
Family
Arria II GX
Arria II GZ
Arria V
Arria V GZ
Cyclone IV E
Cyclone IV GX
Cyclone V
MAX V
September 2014
Altera Corporation
Device
Recommended
Physical RAM
EP2AGX45
1.5 GB
EP2AGX65
2.0 GB
EP2AGX95, EP2AGX125, EP2AGX190
4.0 GB
EP2AGX260
6.0 GB
EP2AGZ225
4.0 GB
EP2AGZ300
6.0 GB
EP2AGZ350
8.0 GB
5AGXA1
6.0 GB
5AGTC3, 5AGXA3, 5AGXA5
8.0 GB
5AGXA7, 5AGTC7
10.0 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3
12.0 GB
5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5
16.0 GB
5AGZE1
8.0 GB
5AGZE3, 5AGZE5
12.0 GB
5AGZE7
16.0 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22,
EP4CE30, EP4CE40
512 MB
EP4CE55, EP4CE75
1.0 GB
EP4CE115
1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30
512 MB
EP4CGX50, EP4CGX75
1.5 GB
EP4CGX110, EP4CGX150
2.0 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5,
5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5,
5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7,
5CSEA2, 5CSEA4, 5CSXC2, 5CSXC4, 5CSTD6
6.0 GB
5CEA9, 5CGTD9, 5CGXC9
8.0 GB
All
512 MB
Quartus II Software and Device Support Release Notes Version 14.0
Memory Recommendations
Page 5
Family
Stratix IV
Stratix V
September 2014
Altera Corporation
Device
Recommended
Physical RAM
EP4SGX70
2.0 GB
EP4SE230
EP4SGX110, EP4SGX230, EP4S40G2,
EP4S100G2
4.0 GB
EP4SGX290
6.0 GB
EP4SE360
EP4SGX360, EP4S100G3, EP4S100G4
8.0 GB
EP4SGX530, EP4SE530, EP4SE820,
EP4S40G5, EP4S100G5
12.0 GB
5SGSD3
8.0 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5
12.0 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6
16.0 GB
5SGTC7, 5SGXA7, 5SGSD8
20.0 GB
5SGXA9, 5SEE9
24.0 GB
5SEEB, 5SGXAB, 5SGXB9, 5SGXBB
28.0 GB
Quartus II Software and Device Support Release Notes Version 14.0
Changes in Device Support
Page 6
Changes in Device Support
The following section is divided into device support changes according to whether
the change is a notification, and whether the change has been fixed or not fixed.
Description
Workaround
Change Notifications
Device Support Not Fixed
Cannot Upgrade Outdated IP Core Targeting Obsolete Device
Family
If your design includes one or more outdated Altera IP cores that
specifically target an unsupported legacy device family and you
attempt to upgrade the IP cores (Project > Upgrade IP
Components), the Quartus II software issues an error and the
upgrade fails. This condition can occur if you restore an archived
project that contains an IP core that targets an unsupported
legacy family.
To use the IP core in the current version of the Quartus II
software, click Tools > IP Catalog and define a new
instance of the IP core that targets a supported device
family.
SignalTap II requires additional logic resources
Additional logic resources are required in SignalTap II to
calculate the CRC values of data shifted out of the device to
validate data integrity during JTAG communication. This extra
logic uses up to 77 logic elements. No SignalTap II IP
functionality is affected by this change. The Quartus II software
automatically detects the availability of this CRC calculation.
However, this extra logic consumption may not be optimal for
your design.
Netlist viewer does not refresh when the design is recompiled
The Netlist Viewer will not update after recompilation if the
Netlist Viewer window is open when you modify and recompile
the design by double-clicking on Fitter in the Task pane on the
left side of the Quartus II software.
September 2014
Altera Corporation
Open the sld_signaltap.vhd top-level SignalTap
megafunction plain-text source file in a text editor.
Set the SLD_DISABLE_TDO_CRC_GEN parameter to 1.
Close the Netlist Viewer window prior to compiling the
design, or go to Processing > Start > Start Fitter/Start
Analysis and Synthesis when you modify and recompile
the design.
Quartus II Software and Device Support Release Notes Version 14.0
Changes in Device Support
Page 7
Description
Workaround
1. Plug in the USB-Blaster II.
2. Open the Device Manager and right-click on the
Unknown device under the Other devices branch.
3. Select Update Driver Software.
4. Select Browse my computer for driver software.
5. Enter the location of the Quartus II software
USB-Blaster II driver files directory
(<quartus>/drivers/usb-blaster-ii) in the
Search for driver software in this location field.
USB Blaster II device drivers not automatically installed on
Windows
The USB-Blaster™ II device drivers require manual installation so
that the cable will be properly recognized.
6. Click Next.
7. Click Install in the Would you like to install this
device software? Windows security dialog box.
8. Close the Update Driver Software - Altera
USB-Blaster II (Unconfigured) successful installation
notification.
The Device Manager now shows a new branch called
JTAG cables with an Altera USB-Blaster II
(Unconfigured) node.
9. Open the Quartus II Programmer. Within a few
seconds, the JTAG cables branch displays two
nodes: Altera USB-Blaster II (JTAG interface) and
Altera USB-Blaster II (System Console interface).
Device Support Fixed
VHDL (*.vho) netlist fails to generate using EDA Netlist Writer
in Cyclone V
When you generate a VHDL simulation netlist (.vho) for
Cyclone V devices, the EDA Netlist Writer generates the following
message:
Warning (11101): Unable to generate the VHDL EDA
simulation netlist files because the Quartus II
software does not currently support VHDL
post-compilation simulation for the Cyclone V
devices.
September 2014
Altera Corporation
This issue is corrected in the Quartus II software version
14.0.
Quartus II Software and Device Support Release Notes Version 14.0
Changes to Software Behavior
Page 8
Changes to Software Behavior
This section documents instances in which the behavior and default settings of the
Quartus II software have been changed from earlier releases of the software.
Refer to the Quartus II Default Settings File (.qdf),
<Quartus II installation directory>/quartus/bin/assignment_defaults.qdf,
for a list of all the default assignment settings for the latest version of the Quartus II
software.
Items listed in the following table represent cases in which the behavior of the current
release of the Quartus II software is different from a previous version.
Description
Workaround
The MegaWizard Plug-In Manager has been removed from the
Quartus II software release version 14.0
The IP Catalog and parameter editor replace the MegaWizard Plug-In
Manager in the Quartus II software to unify all Altera IP within one GUI.
The Quartus II software might generate messages that refer to the
MegaWizard Plug-In Manager. Substitute "IP Catalog and parameter
editor" for "MegaWizard Plug-In Manager" in these messages.
You can access the IP Catalog by selecting Tools >
IP Catalog.
The autorun Altera Complete Design Suite installation window does
not appear when installing the Quartus II software on Red Hat
Enterprise Linux 6
The autorun Altera Complete Design Suite installation window has been
removed from the Quartus II software installation DVD for Red Hat
Enterprise Linux 6. The Quartus II software setup wizard window will
launch automatically.
September 2014
Altera Corporation
There is no workaround.
Quartus II Software and Device Support Release Notes Version 14.0
Device Support and Pin-Out Status
Page 9
Device Support and Pin-Out Status
This section contains information about the device support status in the Quartus II
software version 14.0.
Full Device Support
Full compilation, simulation, timing analysis, and programming support is now
available for the new devices listed in the following table.
Device Family
Devices
5CSEA2
5CSEA4
5CSXC2
5CSXC4
Cyclone V
Device Support Removed
The following table lists the device families that are no longer supported by the
Quartus II software. It lists which software version where support was removed, and
the last software version that included support.
Device Family Removed
Cyclone III
Stratix III
Support Removed in...
Last Supported in...
14.0
13.1
13.1
13.0
Arria GX
Cyclone, Cyclone II
MAX 3000A, MAX 7000A,
MAX 7000AE, MAX 7000B,
MAX 7000S
HardCopy II, HardCopy III,
HardCopy IV
Stratix, Stratix GX, Stratix II,
Stratix II GX
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Timing and Power Models
Page 10
Timing and Power Models
The following table lists a summary of timing and power model status in the current
version of the Quartus II software.
Device Family
Device
Timing Model Status
5AGXB5, 5AGXB7
5AGTD7
Final – 12.1 SP1 (1)
Power Model Status
Final – 13.1
Final – 14.0
5AGXA1, 5AGXA3,
5AGTC3
Final – 13.0 SP1 (1)
Final – 14.0
5AGXA5, 5AGXA7
Final – 13.1 (1)
Final – 14.0
5AGXB1, 5AGXB3,
5AGTD3
Final – 13.0 (1)
Final – 13.1
5AGTC7
Final – 13.1 (1)
Final – 13.1
Arria V GZ
All
Final – 12.1 SP1 (1)
Final – 13.0
Arria V SoC
5ASXB3, 5ASXB5,
5ASTD3, 5ASTD5
Final – 14.0
Final – 14.0
5CEA2, 5CEA4, 5CEA7,
5CEA9, 5CGXC7,
5CGXC9, 5CGTD7,
5CGTD9
Final – 13.0 SP1
Final – 13.1
Arria V
Cyclone V
5CEA5, 5CGXC4,
5CGXC5
Cyclone V SoC
Stratix V
Final – 13.1
Final – 13.1
5CGXC3
Final – 14.0
5CSEA2, 5CSEA4,
5CSXC2, 5CSXC4
Preliminary
5CSEA5, 5CSEA6,
5CSXC5, 5CSXC6,
5CSTD5, 5CSTD6
Final – 14.0
Final – 14.0
5SGXA7, 5SGXA5,
5SGTC5, 5SGTC7
Final – 12.1 (1)
Final – 13.0
5SGSD3, 5SGSD4,
5SGSD5, 5SGXA3,
5SGXA4, 5SGXB5,
5SGXB6, 5SGXAB,
5SGXA9, 5SEE9, 5SEEB,
5SGXB9, 5SGXBB
Final – 12.1 SP1 (1)
Final – 13.0
5SGSD6, 5SGSD8
Final – 13.0 SP1 (1)
Final – 13.0
Notes:
(1) The timing model is updated in Quartus II software version 13.1.
The current version of the Quartus II software also includes final timing and power
models for the Arria II GX, Arria II GZ, Cyclone IV E, Cyclone IV GX, MAX II, MAX II
Z, MAX V, and Stratix IV device families. Timing models for these device families
became final in the Quartus II software versions 11.1 or earlier.
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
IBIS Models
Page 11
Support for Cyclone III and Stratix III devices has been removed from Quartus II
software version 14.0. You must use Quartus II software version 13.1 to design with
these device families.
IBIS Models
The following table lists a summary of IBIS model status in the current version of the
Quartus II software.
Device Family
September 2014
IBIS Model Status
Arria II GX
Correlated with PHY device operation – 11.1
Arria II GZ
Correlated with PHY device operation – 11.1
Arria V
Correlated with PHY device operation – 14.0
Cyclone III LS
Correlated with PHY device operation – 11.1
Cyclone IV E
Correlated with PHY device operation – 11.1
Cyclone IV GX
Correlated with PHY device operation – 11.1
Cyclone V
Correlated with PHY device operation – 14.0
MAX V
Correlated with PHY device operation – 11.1
Stratix III
Correlated with PHY device operation – 11.1
Stratix IV
Correlated with PHY device operation – 11.1
Stratix V
Correlated with PHY device operation – 13.0 SP1
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
EDA Interface Information
Page 12
EDA Interface Information
The Quartus II software version 14.0 supports the following EDA tools.
Synthesis Tools
Version
Mentor Graphics® DK Design Suite
5.0 SP5
Mentor Graphics Precision
2014a
Synopsys® Synplify, Synplify Pro, and Synplify Premier E-2014.03-SP1
Simulation Tools
Version



NativeLink
Support
Aldec Active-HDL
9.3
(Windows only)

Aldec Riviera-PRO
2013.10

Cadence INCISIV Enterprise Simulator
13.10.012 (Linux
only)

Mentor Graphics ModelSim® PE
10.1e
Mentor Graphics ModelSim SE
10.2c
Mentor Graphics ModelSim-Altera
10.1e





Mentor Graphics Questa
®
10.2c
Synopsys VCS and VCS MX
2013.06-SP1
Formal Verification Tools (Equivalence Checking)
Cadence Encounter Conformal
September 2014
NativeLink
Support
Altera Corporation
Version
8.1
NativeLink
Support
—
Quartus II Software and Device Support Release Notes Version 14.0
Antivirus Verification
Page 13
Antivirus Verification
The Altera Complete Design Suite version 14.0 has been verified virus free using the
following software:
McAfee VirusScan Enterprise + AntiSpyware Enterprise Version: 8.8.0 (8.8.0.975)
Scan Engine Version (32 bit): 5600.1067
Scan Engine Version (64 bit): 5600.1067
DAT Version: 7464.0000
Software Issues Resolved
The following Customer Service Requests were fixed or otherwise resolved in the
Quartus II software version 14.0:
10831903
10839355
10845431
10847148
10847665
10850626
10850847
10853078
10857809
10859223
10860580
10862388
10864507
10868624
10868762
10870029
10871575
10871633
10874828
10875976
10893288
10896065
10905566
10907629
10907977
10908231
10909200
10909425
10910770
10912113
10913001
10916189
10916668
10916985
10920650
10924617
10926296
10926778
10926865
10927858
10930347
10932809
10932893
10933007
10934574
10935062
10935886
10936814
10938543
10938561
10938787
10940078
10940084
10940415
10940873
10941889
10942064
10943075
10943810
10943828
10944490
10944803
10946353
10946486
10947021
10947082
10947960
10948036
10949127
10950374
10950700
10950775
10951462
10951655
10951745
10952009
10952760
10952807
10952969
10953665
10953945
10955981
10956540
10958005
10958021
10958728
10958894
10960821
10961964
10962144
10962337
10962931
10963542
10964193
10964693
10965809
10965992
10966249
10966985
10967202
10967287
10968041
10968102
10968162
10968180
10968999
10969114
10969322
10969612
10969639
10969698
10970080
10970285
10970809
10970922
10971148
10971896
10971903
10971941
10972199
10972239
10972264
10972600
10972799
10972832
10972864
10972976
10973452
10973620
10973709
10973731
10973757
10973978
10974271
10974347
10975010
10975084
10975275
10975374
10975397
10975971
10976871
10976927
10977323
10977390
10977406
10977819
10977841
10977888
10977897
10978087
10978153
10978200
10978466
10978484
10978494
10978639
10978773
10978886
10979025
10979090
10979196
10979585
10979992
10980037
10980340
10980446
10980568
10981217
10981311
10981572
10981778
10981811
10981934
10981936
10981961
10982169
10982210
10982487
10982846
10983030
10983041
10983207
10983291
10983306
10983307
10983343
10983410
10983457
10983636
10983765
10983803
10984092
10984100
10984222
10984477
10984901
10984934
10985066
10985131
10985370
10985429
10985490
10985591
10985811
10985960
10986175
10986367
10986537
10986565
10986738
10986814
10986937
10987109
10987283
10987387
10987433
10987440
10987579
10987706
10987906
10988022
10988139
10988209
10988320
10988398
10988416
10988520
10988723
10988727
10988789
10988880
10988894
10988992
10989119
10989243
10989477
10989490
10989558
10989927
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Software Issues Resolved
Page 14
10989946
10990301
10990329
10990367
10990568
10990632
10990690
10990694
10990717
10990772
10990936
10991212
10991388
10991578
10991644
10991647
10991701
10991887
10992060
10992258
10992507
10992616
10992617
10992875
10992885
10992958
10993098
10993202
10993313
10993326
10993387
10993411
10993679
10993751
10994122
10994195
10994198
10994328
10994345
10994350
10994430
10994509
10994538
10994594
10994605
10994764
10994784
10994846
10994923
10994970
10995248
10995333
10995393
10995404
10995524
10995625
10995793
10995942
10995997
10996003
10996106
10996238
10996303
10996962
10997307
10997367
10997388
10997547
10997739
10997745
10998296
10998508
10998760
10998774
10998813
10998831
10998867
10998899
10999141
10999174
10999239
10999285
10999308
10999429
10999563
10999566
10999572
10999575
10999621
10999900
10999994
11000033
11000297
11000517
11000744
11000769
11000881
11001034
11001073
11001078
11001456
11001464
11001481
11001723
11001750
11001795
11001938
11002255
11002291
11002318
11002388
11002390
11002391
11002644
11002709
11002932
11002948
11003003
11003067
11003486
11003505
11003696
11003846
11003861
11004019
11004060
11004093
11004174
11004191
11004357
11004359
11004458
11004548
11004670
11004745
11004806
11004850
11004879
11004934
11004951
11005005
11005015
11005037
11005041
11005393
11005421
11005510
11005635
11005694
11005743
11005766
11005840
11005879
11005902
11005916
11005945
11005954
11006079
11006112
11006196
11006206
11006255
11006336
11006394
11006415
11006810
11006871
11006887
11007021
11007126
11007142
11007158
11007240
11007241
11007320
11007338
11007587
11007616
11007700
11007789
11007840
11008081
11008090
11008125
11008212
11008287
11008408
11008573
11008577
11008589
11008590
11008610
11008773
11008943
11008966
11009018
11009019
11009040
11009102
11009263
11009303
11009458
11009750
11010016
11010047
11010124
11010177
11010196
11010256
11010313
11010666
11010937
11011045
11011290
11011333
11011407
11011487
11011515
11011518
11011604
11012217
11012379
11012418
11012735
11012780
11012810
11012860
11012891
11012929
11012965
11013035
11013392
11013439
11013548
11013755
11013785
11013926
11014255
11014478
11014524
11014585
11014721
11014850
11014970
11015148
11015215
11015355
11015478
11015547
11015744
11016091
11016373
11016477
11016766
11016803
11016830
11016935
11017084
11017101
11017190
11017223
11017296
11017503
11017574
11017597
11017869
11017919
11018474
11019022
11019279
11019343
11019390
11019603
11019960
11020031
11020074
11020108
11020252
11020385
11020489
11020535
11020794
11020830
11021068
11021390
11021440
11021504
11021562
11021792
11022111
11022270
11022551
11022884
11022950
11023236
11023832
11023865
11023937
11024225
11024281
11024415
11024477
11024492
11024574
11024637
11024650
11024802
11024829
11025017
11025019
11025050
11025369
11025397
11025452
11025507
11025523
11025656
11026539
11026816
11026919
11026937
11027151
11027863
11027962
11028159
11028266
11028292
11028392
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Software Patches Included in this Release
Page 15
11028494
11028503
11029436
11029575
11029640
11029729
11029874
11030009
11030075
11030090
11030105
11030182
11030214
11030412
11030510
11030848
11030880
11031383
11031872
11031900
11032318
11032348
11032417
11032546
11032897
11033187
11033343
11033406
11034128
11034187
11034711
11034785
11035169
11035316
11035325
11035702
11035717
11035964
11036489
11036520
11036538
11036639
11036881
11037044
11037436
11037478
11037493
11037702
11038238
11038458
11038593
11038662
11039092
11039391
11040096
11040304
11040453
11041017
11041041
11041063
11041311
11041578
11041820
11042283
11042466
11042666
11042968
11043146
11043157
11043509
11043526
11043542
11043918
11044026
11044033
11044981
11045115
11045632
11046016
11046072
11046557
11047886
11048020
11048079
11048428
11048440
11048756
11048760
11049422
11049972
11050304
11050321
11051020
11052038
11053677
11053823
11053878
11053935
11054183
11054241
11054815
11054859
11055359
11056376
11056439
11057601
—
—
—
—
—
—
Software Patches Included in this Release
The Quartus II software version 14.0 includes the following patches released for
previous versions of the Quartus II software:
Quartus II Software
Version
Patch
Customer Service
Request Number
Quartus II Software
Version
Patch
Customer Service
Request Number
13.1.4
4.26
—
13.0sp1
1.62
10994328
13.1.4
4.23
11048760
13.0sp1
1.59
10991887
13.1.4
4.22
11045078, 11050579
13.0sp1
1.58
—
13.1.4
4.18
—
13.0sp1
1.56
—
13.1.4
4.12
—
13.0sp1
1.54
10981572
13.1.4
4.09
11041041
13.0sp1
1.53
10983480
13.1.4
4.07
11038458
13.0sp1
1.52
10981838
13.1.4
4.06
11015744
13.0sp1
1.49
10963295
13.1.4
4.05
—
13.0sp1
1.48
—
13.1.4
4.04
11035325
13.0sp1
1.47
10973624
13.1.3
3.09
11042466
13.0sp1
1.46
10980707
13.1.3
3.08
11046557
13.0sp1
1.43
10984075
13.1.3
3.07
—
13.0sp1
1.42
—
13.1.3
3.06
11038593
13.0sp1
1.41
10963403
13.1.3
3.04
11019022
13.0sp1
1.39
10978851
13.1.3
3.03
11034386
13.0sp1
1.38
10974361
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Software Patches Included in this Release
Page 16
Quartus II Software
Version
Patch
Customer Service
Request Number
Quartus II Software
Version
Patch
Customer Service
Request Number
13.1.3
3.02
11015744
13.0sp1
1.36
10971535
13.1.2
2.05
11036080
13.0sp1
1.33
—
13.1.2
2.04
11007587
13.0sp1
1.30
10978946
13.1.2
2.03
—
13.0sp1
1.29
10970892
13.1.2
2.02
11027226
13.0sp1
1.28
10977868
13.1.1
1.07
10985490
13.0sp1
1.26
10963403
13.1.1
1.06
11015764
13.0sp1
1.22
10969193
13.1.1
1.04
11019022
13.0sp1
1.15
10970690, 10965523
13.1
0.89
11055288
13.0sp1
1.14
10934773
13.1
0.81
11029873
13.0sp1
1.13
—
13.1
0.80
11048919
13.0sp1
1.12
10965706
13.1
0.79
11034596
13.0sp1
1.11
10964795
13.1
0.78
11026931
13.0sp1
1.09
10954426
13.1
0.77
11029640
13.0sp1
1.08
—
13.1
0.76
11042666
13.0sp1
1.07
10961417
13.1
0.74
11023252
13.0sp1
1.06
10964014
13.1
0.69
11030866
13.0sp1
1.05
10936052
13.1
0.68
11024802
13.0sp1
1.03
10952117
13.1
0.60
11015744
13.0sp1
1.02
10969012
13.1
0.56
11022522
13.0
0.dp2f
10969193
13.1
0.53
11016787
13.0
0.dp2d
10954322
13.1
0.50
11017190
13.0
0.dp2b
—
13.1
0.49
11007587
13.0
0.48
10957886
13.1
0.45
10971903
13.0
0.47
10992650
13.1
0.44
11004120
13.0
0.46
10977894
13.1
0.42
—
13.0
0.45
10983908
13.1
0.40
10994397
13.0
0.43
—
13.1
0.39
10962447
13.0
0.42
10963228
13.1
0.38
11012735
13.0
0.37
10936899
13.1
0.37
—
13.0
0.36
—
13.1
0.36
11012860
13.0
0.33
10959833
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Software Patches Included in this Release
Page 17
Quartus II Software
Version
Patch
Customer Service
Request Number
Quartus II Software
Version
Patch
Customer Service
Request Number
13.1
0.32
10973731, 11001073
13.0
0.32
10959513
13.1
0.28
11009884
13.0
0.30
—
13.1
0.27
11002709
13.0
0.27
—
13.1
0.24
10957886
13.0
0.26
10953890
13.1
0.23
10862388
13.0
0.24
—
13.1
0.21
11006196
13.0
0.16
10914609
13.1
0.20
—
13.0
0.15
—
13.1
0.18
11001456
13.0
0.12
10952117
13.1
0.17
—
13.0
0.10
10915236
13.1
0.16
10862388
13.0
0.09
10922765
13.1
0.15
10992883
13.0
0.08
10931681, 10936280
13.1
0.13
10995333
13.0
0.03
—
13.1
0.11
10845226
12.1sp1
1.dp7u
10965523
13.1
0.09
10990717
12.1sp1
1.dp7r
10964714
13.1
0.07
11004954
12.1sp1
1.dp7i
10952210
13.1
0.05
11001820
12.1sp1
1.dp6k
10938449
13.1
0.04
11002391
12.1sp1
1.dp6h
10941261
13.1
0.02
10995942
12.1sp1
1.71
10977841
13.1
0.01
—
12.1sp1
1.68
10969260
13.0sp1
1.dp5z3
11042283
12.1sp1
1.65
10946604
13.0sp1
1.dp5x
—
12.1sp1
1.64
10941323
13.0sp1
1.dp5s
11001656
12.1sp1
1.62
10944324
13.0sp1
1.dp5o
10981311
12.1sp1
1.59
10943817
13.0sp1
1.dp5n
10995942
12.1sp1
1.58
10915236
13.0sp1
1.dp5l
10990870
12.1sp1
1.57
—
13.0sp1
1.dp5i
10989660
12.1sp1
1.56
10922765
13.0sp1
1.dp5h
—
12.1sp1
1.54
—
13.0sp1
1.dp5e
—
12.1sp1
1.42
10893805
13.0sp1
1.dp5d
10983480
12.1sp1
1.41
—
13.0sp1
1.dp5c
10978851
12.1sp1
1.40
10931208
13.0sp1
1.dp5b
10986814
12.0sp2
2.51
10994120
13.0sp1
1.dp5a
10969193
12.0sp2
2.49
10922765
13.0sp1
1.77
—
11.1sp2
2.57
10961964
13.0sp1
1.75
11042283
11.1sp2
2.54
10922765
September 2014
Altera Corporation
Quartus II Software and Device Support Release Notes Version 14.0
Latest Known Quartus II Software Issues
Quartus II Software
Version
Patch
Page 18
Customer Service
Request Number
Quartus II Software
Version
Patch
Customer Service
Request Number
13.0sp1
1.74
11029082
11.1sp2
2.52
10937323, 10940796
13.0sp1
1.73
10981572
11.1sp2
2.51
10910695
13.0sp1
1.72
10975971, 10989243,
10973620
11.1sp2
2.50
10922765
13.0 sp1
1.67
10957886
11.0sp1
1.49
11047071
13.0sp1
1.66
11001456
11.0
0.61
10926378
Latest Known Quartus II Software Issues
For information about known Quartus II software issues, refer to the Quartus II
Software Support page at the following URL:
http://www.altera.com/support/software/sof-quartus.html
You can also find known issue information for current and previous versions of the
Quartus II software on the Knowledge Database page at the following URL:
http://www.altera.com/support/kdb/kdb-index.jsp
Document Revision History
The following table shows the revision history for this document.
Document Revision History
Date
Version
Changes
September 2014
14.0.2
Updated the “EDA Interface Information” table to indicate the Cadence Incisive Enterprise
Simulator is only supported on the Linux platform.
July 2014
14.0.1
Updated the synthesis tool versions in the “EDA Interface Information” table.
June 2014
14.0
September 2014
Altera Corporation
Initial release.
Quartus II Software and Device Support Release Notes Version 14.0