LED Driving Concepts and Infineon Basic LED Drivers

Body Power / H. Hopfgartner
LED Driving Concepts and Infineon® Basic LED Drivers
A pplication Note
V1.1 2011-06-29
A utomotive Power
LED Driving Concepts and Infineon® Basic LED Drivers
Basic LED Driver
Revision History: V1.1, 2011-06-29
Previous Version: V1.0
Page
Subjects (major changes since last revision)
9
BCR42xP removed
Application Note
2
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Table of Contents
Table of Contents
1 Abstract ............................................................................................................................................... 4 2 Introduction ........................................................................................................................................ 4 3 How to Control LEDs ......................................................................................................................... 4 4 Infineon® Basic LED Driver .............................................................................................................. 9 5 Conclusion ........................................................................................................................................ 17 6 Additional Information ..................................................................................................................... 17 Application Note
3
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Abstract
1
Abstract
Note: The following information is given as a hint for the implementation of the device only and shall not be
regarded as a description or warranty of a certain functionality, condition or quality of the device.
Note: All application diagrams shown in this application note are very simplified examples of application circuits.
The function must be verified in the real application.
This application note is intended to provide detailed application hints regarding the usage and design in of the
Infineon® Basic LED Drivers. The selection of external components is shown within this application note.
Furthermore, different principles of LED driving concepts are explained.
2
Introduction
The Infineon® Basic LED Drivers is a family of single- and three-channel current sources. The typical output
current range is from 20 mA up to 2500 mA, which can be adjusted in most cases. Furthermore, some device
also offer diagnosis features.
This document shows how to define the external circuitry for the required output current and gives hints for
diagnosis function.
3
How to Control LEDs
Light emitting diodes (LED) are semiconductor pn-junctions. When the LED is forward biased, electrons are
able to recombine with holes within the device, releasing energy in the form of photons. The LED’s forward
current, which has to be limited, defines the brightness of the LED.
The current limiting and setting can be done with different methods:
•
A resistor to limit the forward current
•
A linear current source to set a defined and stable current
•
A DCDC converter in constant current mode
In automotive applications LED-modules for exterior lighting are usually controlled via a switch on the central
body control module (BCM) as it is shown in Figure 1, Figure 2 and Figure 3. The reason is to protect the wire
from the BCM to the LED-module against short circuits. The LED-control ICs are mounted very close to the
LEDs to ensure:
•
A protection of the LEDs, if the wire from the BCM to the LED-module is shorted to the supply voltage
•
To react on high temperature conditions in the LED-module (active current reduction to protect LEDs)
The following three chapters explain the different methods of LED control. Benefits and disadvantages are
identified.
Application Note
4
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
How to Control LEDs
3.1
Resistor for LED Current Limitation
A resistor can act only as a current limiter, but not as a current control circuit for the LED. The picture below
shows the simplified circuit schematic with a resistor solution:
Protected HS Switch
(PROFET, SPOC, SPI LED)
Series Resistor
Switched VBATs
(KL58d, KL30d …)
VBAT
(KL30)
RLED
PWM
Body Control Module
Figure 1
LED Module
LED driving schematic
The resistor has to be defined for the worst case supply voltage e.g. VBATs(max) = 18 V.
E.g. An LED for a typical rear light application is operated usually at a typical current of ILED = 50 mA with a
typical forward voltage of VF = 2.2V
Therefore, the resistor RLED can be calculated for the example above (3 LEDs Æ n = 3):
RLED =
(1)
VBATs (max) − n ⋅VF
I LED
=
18V − 3 ⋅ 2.2V
= 228Ω
50mA
The resistor RLED has to withstand a power loss of:
PRLED = (VBATs (max) − n ⋅VF )⋅ I LED = (18V − 3 ⋅ 2.2V ) ⋅ 50mA = 0.6W
(2)
So, a power resistor is required.
In case of lower supply voltages or voltage drops (e.g. start stop) the LED current is reduced, which leads to
significant reduced brightness! If the supply voltage drops down to e.g. VBATs(min) = 9 V:
I LED =
(3)
V BATs (min) − n ⋅ V F
R LED
=
9V − 3 ⋅ 2.2V
= 11mA
228Ω
Pros
•
Simplest solution
Cons
•
High power loss across the RLED
•
Resistor needs to be defined for maximum supply voltage conditions. For typical supply voltage
conditions the LED current is much lower, which leads to a visible decrease of brightness e.g. during
start stop operation
•
LED nominal current range cannot be used for nominal operation conditions, because current has to be
fixed for maximum supply voltage
•
No intrinsic overvoltage protection (during supply voltage spikes the LEDs are stressed significantly,
because of exceeding the LEDs maximum ratings) Æ degradation of LEDs
Application Note
5
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
How to Control LEDs
•
No simple temperature protection of the LEDs possible Æ degradation of LEDs
•
Reduced LED lifetimeAny diagnosis functions needs to be realized by additional circuits
•
Only limited LED chain length, dependent on the LED forward voltage VF and minimum supply voltage
3.2
Linear Current Source for Constant Current Supply of LEDs
A linear current source provides a constant output current to the LED over the entire supply voltage range. In
addition to the benefits of the constant LED current diagnosis functions are provided by most linear current
sources. The figure below shows a simplified LED module using a constant current source.
Protected HS Switch
(PROFET, SPOC, SPI LED)
Linear Current Source
(e.g. TLDxxx, BCR4xx)
Switched VBATs
(KL58d, KL30d …)
VBAT
(KL30)
I = constant
PWM
Body Control Module
Figure 2
LED Module
LED driving schematic
The LED current is controlled by the linear current source. The output current is constant and nearly fully
independent of the VBATs. The LED current can be adjusted very easily by using external resistors. Details about
current control and diagnosis are explained later in this application note.
Pros
•
LED can be used in the nominal operation condition Æ full brightness
•
Stable brightness even during start stop
•
Intrinsic overvoltage protection
•
No LED degradation
•
High temperature protection of LEDs possible
•
Integrated diagnosis functions (optional)
Cons
•
High power loss across the constant current source
•
Increased components count
•
Only limited LED chain length, dependent on the LED forward voltage VF and minimum supply voltage
To increase the efficiency of the total system a so called Matrix setup could be used as described later in this
application note.
Application Note
6
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
How to Control LEDs
3.3
DC/DC Converter in Constant Current Mode
A DC/DC converter in constant current mode provides a constant output current to the LED over the entire
supply voltage range. The power loss inside the LED control circuit is reduced to a minimum, because the
configuration is optimized to the LED chain length. Furthermore, diagnosis functions are provided by most
DC/DC converters. The figure below shows a simplified LED module using a DC/DC converter.
Switched VBATs
(KL58d, KL30d …)
VBAT
(KL30)
DC/DC Buck or Boost
in Constant Current Mode
(e.g. TLD5085/45, TLD5095/8)
Optional
PWM
BCM
Control
Parallel, LIN or CAN
Control
RSENSE
Body Control Module
Figure 3
LED Module
LED driving schematic
The LED current is controlled by the DC/DC converter. Different topologies (Buck, Boost, SEPIC, …) can be
used to fulfill requirements regarding LED chain length and supply voltage range. The output current is constant
and nearly fully independent of the VBATs. The LED current can be adjusted very easily by using external
resistors.
Pros
•
Highest efficiency
•
LED can be used in the nominal operation condition Æ full brightness
•
Stable brightness even during start stop
•
High brightness LEDs capable
•
Various topologies possible
•
Intrinsic overvoltage protection
•
No LED degradation
•
High temperature protection of LEDs possible
•
Integrated diagnosis functions (optional)
Cons
•
Increased components count
•
PCB layout needs to be optimized regarding EMC performance
Application Note
7
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
How to Control LEDs
3.4
DC/DC Converter combined with Constant Current Source – Matrix Setup
A DC/DC converter in constant voltage mode is combined with one or more linear current sources. The DC/DC
converter provides an output voltage, which is optimized for the required LED chain length. The DC/DC’s output
voltage is converted into a constant output current by the linear current sources. This system allows a more
efficient LED controlled compared to a pure linear current source solution as described before. The figure below
shows a simplified LED module using the Matrix setup.
DC/DC Buck or Boost
in Constant Voltage Mode
(e.g. TLD5085/45, TLD5095/8)
Switched VBATs
(KL58d, KL30d …)
VBAT
(KL30)
Linear Current Source
(e.g. TLDxxx, BCR4xx)
Optional
PWM
BCM
Control
Parallel, LIN or CAN
Control
Body Control Module
Figure 4
LED Module
LED driving schematic
The LED current is controlled by the linear current source. The DC/DC converter output voltage is adjusted to
the LEDs forward voltage. Different topologies (Buck, Boost, SEPIC, …) can be used to fulfill requirements
regarding LED chain length and supply voltage range. The output current is constant and nearly fully
independent of the VBATs. The LED current can be adjusted very easily by using external resistors.
Pros
•
One DC/DC converter for multiple linear current sources
•
Current source supply, which is the DC/DC output, optimized to LED forward voltage
•
LED can be used in the nominal operation condition Æ full brightness
•
Stable brightness even during start stop
•
Various topologies possible
•
Higher efficiency than pure linear current source solution
•
Intrinsic overvoltage protection
•
No LED degradation
•
High temperature protection of LEDs possible
•
Integrated diagnosis functions (optional)
Cons
•
Increased components count
•
PCB layout needs to be optimized regarding EMC performance
Application Note
8
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
4
Infineon® Basic LED Driver
Infineon provides numerous automotive qualified constant current source products for optimized LED control
within automotive interior and exterior lighting applications. In the following explanation an overview of all
current available products is given.
Table 1
Infineon® Basic LED Driver Overview
Current
mA
adj.
Open
Load
Detection
PWM/Ena
ble
Hi/Low
Current
Switch
Package
BCR40xU
10-50typ
SC-74
BCR420U
150typ
SC-74
BCR421U
150typ
SC-74
TLD1211SJ
85typ
DSO-8
TLE4240-2M
60typ
SCT-595
TLE4240-3M
60typ
SCT-595
TLE4241GM
70max
DSO-8
TLE4242G
450typ
TO-263
TLD1211SJ
+ ext NPN
<2500
DSO-8
4.1
BCR4xx Family - Low Cost Linear Current Sources
The BCR4xx family includes low cost constant current source LED drivers offering current adjustment features
without diagnostic functions for easiest design in.
Table 2
BCR4xx Product and Feature Overview
Topology
Input
voltage
min [V]
Input
voltage
max [V]
Output
current
[mA]
PG-SC74-6
Linear
4.5
40
150
BRC421U
PG-SC74-6
Linear
4.5
40
150
BCR401U
PG-SC74-6
Linear
4.5
40
10
BCR402U
PG-SC74-6
Linear
4.5
40
20
BCR405U
PG-SC74-6
Linear
4.5
40
50
Product
type
Package
BCR420U
Application Note
9
Inhibit
PWM
Open load
detection
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
Key Features:
•
Low cost technology & package
•
Output current adjustable by usage of external resistor, without external resistor output current is fixed
to specified value
•
Suitable for Pulse Width Modulation (PWM)
•
Negative temperature coefficient (LED protection @ high temperatures)
•
Available in several package options: SOT143R, SOT343, SC74
•
Possibility to operate as control circuit with an external NPN-power transistor as output stage
•
Automotive Qualified
4.1.1
Integrated Output Stage Usage
Figure 5
Schematic
The LED current can be adjusted by using the external resistor REXT. If no external resistor is mounted, the LED
current is fixed to the specified value according to the data sheet. With equipped REXT the output current is
defined by the following equation. The values used for the example are based on the BCR401:
(4)
I OUT =
Vdrop
**
*
Rint ⋅ REXT
**
Rint + REXT
≈
0.91V
91Ω ⋅ REXT
91Ω + REXT
With:
* Vdrop according to data sheet graph “reference voltage (Vdrop) versus IOUT”, typ. 0.91V
** Rint according to data sheet parameter Rint, typ. 91Ω
Application Note
10
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
4.1.2
External Output Stage Usage
The devices of the BCR4xx family can be used also as control IC for an external NPN-transistor as output stage
for high LED currents according to the following schematic. The basic LED driver is driving only a very small
current, which is the base current for the external NPN transistor. Therefore, in a lot of cases the BCR401
devices are sufficient.
Vbat
BCR402U
Controller
BCR401Rasas
Controller
Vs
Rsense
Rext
LEDs
GND
Iout
R1
R2
Figure 6
NPN
Power
Transistor
e.g. BCX55-16
Schematic
The resistors Rsense, R1 and R2 can be calculated according to the following equations. All parameters marked
with a star * are related to the transistor’s data sheet. I LED =
Vdrop
Rint ⋅ Rsense
Rint + Rsense
The transistor base current is defined by:
(6)
IB =
I LED
B*
B...DC current gain of transistor
The resistor R2 is calculated according:
(7)
R2 =
VBE ( on )
I R2
*
I R 2 = 2...10 ⋅ I B
The resistor R1 is calculated according:
(8)
R1 =
V BAT (min) − VS (min) − V BE ( on )
Application Note
*
I B + I R2
11
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
4.2
TLD1211SJ - Linear LED Driver
The TDL1211SJ is a linear current source providing currents up to 85mA and having an integrated high
temperature current reduction feature to protect the connected LEDs against to high temperatures. Furthermore,
it supports the current control function of an external NPN transistor.
Key Features:
•
Max. Output current 85mA
•
Temperature dependent current reduction
•
External Transistor Option LED currents up to 2.5A
•
Improved precision of output current: +/- 10% in whole operating range (Vsupply; Tj)
•
Over voltage protection
•
Enable input for PWM operation
•
DSO-8 package
•
Automotive Qualified
4.2.1
Integrated Output Stage Usage
Rsense
Figure 7
Schematic
Application Note
12
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
The LED current can be adjusted by the sense resistor Rsense:
*
(9)
I OUT =
Vsense
Rsense
With Vsense according to datasheet parameter 4.3.9, typ. 150mV
4.2.2
External Output Stage Usage
The TLD1211SJ can be used also as control IC for an external NPN-transistor as output stage for high LED
currents according to the following schematic. The basic LED driver is driving only a very small current, which is
the base current for the external NPN transistor.
VBAT
BAS3010A-03W
5V
VQ
VI
10µF
VREG
TLE4264
µC
OUT/
PWM
GND
GND
1,8kΩ
1kΩ
47nF
R1 =
820Ω
BCX55-16
R2 =
100Ω
Figure 8
Rsense =
0,42Ω
Schematic
The resistors Rsense, R1 and R2 can be calculated according to the following equations. All parameters marked
with a star * are related to the transistor’s data sheet. I B
(11) Rsense =
=
I LED
B*
B...DC current gain of transistor
Vsense
with I sense = I Load + I B
I sense
The resistor R2 is calculated according:
Application Note
13
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
(12) I R 2 = n ⋅ I B with n = 2...10
*
V + Vsense
(13) R2 = BE
with I sense = I Load + I B
I R2
The resistor R1 is calculated according:
*
V
− VBE − Vsense
**
with VOUT = VS − Vdrop
(14) R1 = OUT
I B + I R2
** Vdrop is the datasheet parameter 4.3.11 (Vs-Vout), max. 1.3V
4.3
TLE4240-2/-3M - Linear LED Driver
The TLE4240-2/-3M is a linear current source with a fixed output current of typically 60mA. The TLE4240-3M
offers an open load diagnosis.
Key Features:
•
Constant Output Current of typ. 60 mA
•
Low dropout voltage
•
Open Load Diagnosis Output (Version TLE4240-3 only)
•
Safe Operation Area circuit monitoring drop voltage
•
Short Circuit Protection to GND and VBAT (up to 45V)
•
Reverse polarity protected
•
Package SCT-595
•
Automotive Qualified
Figure 9
Schematic
The status pin ST is an open collector pin. In normal load condition ST-pin is high impendent Æ HIGH. In open
load condition ST-pin is pulled to GND Æ LOW.
Application Note
14
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
4.4
TLE4241GM - Linear Dual Mode LED Driver
The TLE4241GM is a dual mode linear current source with adjustable output current up to 70mA. By applying a
low or high signal at the SET-pin the output current can be changed by a ratio of typ. 7.
Key Features:
•
Adjustable Constant Output Current up to 70 mA
•
Low Dropout Voltage
•
Dual Mode for Tail and Stop Light (low/high current SET)
•
PWM Input (e. g. for individual dimming) up to 1kHz
•
Open Load Diagnosis Output
•
Input Voltage Range up to 45 V
•
Reverse Polarity Protected
•
Short Circuit Protection to GND and VBAT
•
Small SMD Package: P-DSO-8
•
Operating range: -40…150 °C
•
Over-Temperature Protection
•
Automotive Qualified
Figure 10
Schematic
When the SET-pin is at low potential the output current is the low level. As soon as the SET-pin is switched to
high potential (up to VBAT) the high output current is active. The output current can be adjusted additionally by
the sense resistor RSENSE.
If the SET-pin is connected to high potential (e.g. 5V) the high output current can be calculated:
Application Note
15
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Infineon® Basic LED Driver
(15) I Q ,typ ,SET = H =
*
Vref
Rref
⋅ 487 + 0.1
If the SET-pin is connected to low potential (0V):
Vref
(16) I Q ,typ ,SET = L =
*
Rref
⋅ 487 + 0.1
I QH / I QL
**
* According to data sheet diagram “Reference voltage versus junction temperature”, typ. 1.2V
** IQH/IQL is the data sheet parameter “current ratio”, typ. 7
4.5
TLE4242G - Linear 1 Watt LED Driver
The TLE4242G is a linear current source for driving high brightness LEDs up to 1W. It provides a PWM input
and a diagnosis output.
Key Features:
•
Adjustable Output Current up to 500mA
•
Low dropout voltage
•
PWM Input (dimming, switching between brake and tail light, …)
•
Diagnosis Output
•
Over-Temperature Protection
•
Short Circuit Protection to GND and VBAT
•
Reverse Polarity Protected
•
Input Voltage Range up to 45 V
•
Package TO-263-7
•
Automotive Qualified
Application Note
16
V1.1, 2011-06-29
LED Driving Concepts and Infineon® Basic LED Drivers
Conclusion
Figure 11
Schematic
The output current can be adjusted by the reference resistor RREF.
If the SET-pin is connected to high potential (e.g. 5V) the high output current can be calculated:
(17) I Q ,typ =
Vref
*
Rref
* According to data sheet diagram “Reference voltage versus junction temperature”, typ. 177mV
The diagnosis response time can be adjusted by the capacitor connected to the diagnosis-pin D:
(18) t STHL ,typ =
5
CD
C
⋅ 10ms t STLH ,typ = D ⋅ 10µs
47nF
47nF
Conclusion
Infineon® Basic LED Drivers are able to drive small signal LEDs up to high brightness LEDs. The dimensioning
of the required external components is supported by this application note.
6
•
•
•
Additional Information
For further technical details, please contact us.
Existing App. Notes
o AN101, Using Infineon‘s BCR400 Family of Constant-Current, Linear-Mode LED Drivers for
Lighting Applications from 10 mA - 700 mA
o AN97, Using BCR402R/BCR402U at High Supply Voltages
For further information you may contact http://www.infineon.com/automotive-leddrivers
Application Note
17
V1.1, 2011-06-29
Edition 2011-06-29
Published by
Infineon Technologies AG
81726 Munich, Germany
© 2011 Infineon Technologies AG
All Rights Reserved.
LEGAL DISCLAIMER
THE INFORMATION GIVEN IN THIS APPLICATION NOTE IS GIVEN AS A HINT FOR THE
IMPLEMENTATION OF THE INFINEON TECHNOLOGIES COMPONENT ONLY AND SHALL NOT BE
REGARDED AS ANY DESCRIPTION OR WARRANTY OF A CERTAIN FUNCTIONALITY, CONDITION OR
QUALITY OF THE INFINEON TECHNOLOGIES COMPONENT. THE RECIPIENT OF THIS APPLICATION
NOTE MUST VERIFY ANY FUNCTION DESCRIBED HEREIN IN THE REAL APPLICATION. INFINEON
TECHNOLOGIES HEREBY DISCLAIMS ANY AND ALL WARRANTIES AND LIABILITIES OF ANY KIND
(INCLUDING WITHOUT LIMITATION WARRANTIES OF NON-INFRINGEMENT OF INTELLECTUAL
PROPERTY RIGHTS OF ANY THIRD PARTY) WITH RESPECT TO ANY AND ALL INFORMATION GIVEN IN
THIS APPLICATION NOTE.
Information
For further information on technology, delivery terms and conditions and prices, please contact the nearest
Infineon Technologies Office (www.infineon.com).
Warnings
Due to technical requirements, components may contain dangerous substances. For information on the types in
question, please contact the nearest Infineon Technologies Office.
Infineon Technologies components may be used in life-support devices or systems only with the express written
approval of Infineon Technologies, if a failure of such components can reasonably be expected to cause the
failure of that life-support device or system or to affect the safety or effectiveness of that device or system. Life
support devices or systems are intended to be implanted in the human body or to support and/or maintain and
sustain and/or protect human life. If they fail, it is reasonable to assume that the health of the user or other
persons may be endangered.
w w w . i n f i n e o n . c o m
Published by Infineon Technologies AG