PHILIPS PLS159AA

Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
DESCRIPTION
PLS159A
FEATURES
The PLS159A is a 3-State output, registered
logic element combining AND/OR gate arrays
with clocked J-K flip-flops. These J-K
flip-flops are dynamically convertible to
D-type via a “fold-back” inverting buffer and
control gate FC. It features 8 registered I/O
outputs (F) in conjunction with 4 bidirectional
I/O lines (B). These yield variable I/O gate
and register configurations via control gates
(D, L) ranging from 16 inputs to 12 outputs.
The AND/OR arrays consist of 32 logic AND
gates, 13 control AND gates, and 21 OR
gates with fusible link connections for
programming I/O polarity and direction. All
AND gates are linked to 4 inputs (I),
bidirectional I/O lines (B), internal flip-flop
outputs (Q), and Complement Array output
(C). The Complement Array consists of a
NOR gate optionally linked to all AND gates
for generating and propagating
complementary AND terms.
On-chip T/C buffers couple either True (I, B,
Q) or Complement (I, B, Q, C) input polarities
to all AND gates, whose outputs can be
optionally linked to all OR gates. Any of the
32 AND gates can drive bidirectional I/O lines
(B), whose output polarity is individually
programmable through a set of Ex-OR gates
for implementing AND-OR or AND-NOR logic
functions. Similarly, any of the 32 AND gates
can drive the J-K inputs of all flip-flops. There
are 4 AND gates for the Asynchronous
Preset/Reset functions.
All flip-flops are positive edge-triggered and
can be used as input, output or I/O (for
interfacing with a bidirectional data bus) in
conjunction with load control gates (L),
steering inputs (I), (B), (Q) and
programmable output select lines (E).
The PLS159A is field-programmable,
enabling the user to quickly generate custom
patterns using standard programming
equipment.
• High-speed version of PLS159
• fMAX = 18MHz
– 25MHz clock rate
PIN CONFIGURATIONS
N Package
CLK
1
20
VCC
I0
2
19
F7
I1
3
18
F6
I2
4
17
F5
• Field-Programmable (Ni-Cr link)
• 4 dedicated inputs
• 13 control gates
• 32 AND gates
• 21 OR gates
• 45 product terms:
– 32 logic terms
– 13 control terms
I3
5
16
F4
B0
6
15
F3
B1
7
14
F2
B2
8
13
F1
B3
9
12
F0
11
OE
GND 10
• 4 bidirectional I/O lines
• 8 bidirectional registers
• J-K, T, or D-type flip-flops
• Power-on reset feature on all flip-flops
N = Plastic Dual In-Line Package (300mil-wide)
A Package
(Fn = 1)
• Asynchronous Preset/Reset
• Complement Array
• Active-High or -Low outputs
• Programmable OE control
• Positive edge-triggered clock
• Input loading: –100µA (max.)
• Power dissipation: 750mW (typ.)
• TTL compatible
• 3-State outputs
I1
3
I0 CLK VCC F7
2
1 20 19
I2
4
18
F6
I3
5
17
F5
B0
6
16
F4
B1
7
15
F3
B2
8
14
F2
9
10
11
12
13
B3 GND OE F0 F1
A = Plastic Leaded Chip Carrier
APPLICATIONS
• Random sequential logic
• Synchronous up/down counters
• Shift registers
• Bidirectional data buffers
• Timing function generators
• System controllers/synchronizers
• Priority encoder/registers
ORDERING INFORMATION
ORDER CODE
DRAWING NUMBER
20-Pin Plastic Dual In-Line Package (300mil-wide)
DESCRIPTION
PLS159AN
0408D
20-Pin Plastic Leaded Chip Carrier
PLS159AA
0400E
October 22, 1993
25
853–1159 11164
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
LOGIC DIAGRAM
(LOGIC TERMS-T)
(CONTROL TERMS)
11 OE
I0
2
I1
3
I2
4
I3
5
F0
F1
F2
F3
F4
F5
F6
F7
B0
B1
B2
B3
C
C
PB RB PA RA LB LA D3 D2 D1 D0
S3
EA EB
X3
S2
X2
S1
X1
S0
X0
M7
P
J
R
Q
J
J
J
K
M3
24 23
16 15
8 7
0 FC
NOTES:
1. All OR gate inputs with a blown link float to logic “0”.
2. All other gates and control inputs with a blown link float to logic “1”.
3. ⊕ denotes WIRE-OR.
4.
Programmable connection.
October 22, 1993
26
B0
16 F4
Q
CK’
P
J
J
J
J
K
31
6
CK’
R
Q
15 F3
CK’
14 F2
Q
CK’
13 F1
Q
CK’
K
M0
B1
17 F5
Q
K
M1
7
18 F6
K
M2
B2
CK’
K
M4
8
19 F7
Q
K
M5
B3
CK’
K
M6
9
12 F0
Q
CK’
CK
1
CLK
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
FUNCTIONAL DIAGRAM
(LOGIC TERMS)
(CONTROL TERMS)
PB
RB
PA
RA
LB
EA
D
LA
a
a
a
b
b
b
EB
OE
Q
Q
C
C
S
B
X
P
J
R
Q
F
(4)
M
CK
K
P
J
R
Q
F
(4)
M
K
T31
T0
CK
FC
CK
LOGIC FUNCTION
Q3
1
Q2
0
Q1
FLIP-FLOP TRUTH TABLE
OE
Q0
1
0
PRESENT STATE
SR
⋅ ⋅ ⋅
A B C ...
STATE REGISTER
0
0
0
1
SET Q0: J0 = (Q3
⋅
⋅
⋅ ⋅ ⋅
Q2 Q1 Q0) A B C . . .
K0 = 0
RESET Q1: J1 = 0
K1 = (Q3 Q2 Q1 Q0) A B C . . .
⋅
⋅
⋅
⋅ ⋅ ⋅
HOLD Q2: J2 = 0
K2 = 0
⋅ ⋅ ⋅ ⋅ ⋅ ⋅
K3 = (Q3⋅ Q2 ⋅ Q1 ⋅ Q0) ⋅ A ⋅ B ⋅ C . . .
TOGGLE Q3: J3 = (Q3 Q2 Q1 Q0) A B C . . .
NOTE:
Similar logic functions are applicable for D
and T mode flip-flops.
October 22, 1993
CK
P
R J
K Q
F
Hi-Z
L
X
X
L
X X
X
L
H
L
X
X
H
L
X H
L
L
X
X
L
H X
X
L
H
L
L
↑
L
L
L
L Q
Q
L
L
↑
L
L
L
H L
H
L
L
↑
L
L H
L H
L
L
L
↑
L
L H
H Q
Q
H
H
↑
L
L
L
H L
H*
H
H
↑
L
L H
L H
L*
+10V
X
↑
X
X
L
H L
H* *
X
↑
X
X H
L H
L* *
X
NEXT STATE
Sn + 1
⋅
L
H
27
CLK
NOTES:
1. Positive Logic:
J-K = T0 + T1 + T2 ……………… T31
Tn = C⋅ (I0 ⋅ I1 ⋅ I2 …) ⋅ (Q0 ⋅ Q1 …) ⋅
(B0 ⋅ B1 ⋅ …)
2. ↑ denotes transition from Low to High level.
3. X = Don’t care
4. * = Forced at Fn pin for loading the J-K
flip-flop in the Input mode. The load
control term, Ln must be enabled (HIGH)
and the p-terms that are connected to the
associated flip-flop must be forced LOW
(disabled) during Preload.
5. At P = R = H, Q = H. The final state of Q
depends on which is released first.
6. * * = Forced at Fn pin to load J-K flip-flop
independent of program code (Diagnostic
mode), 3-State B outputs.
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
VIRGIN STATE
The factory shipped virgin device contains all
fusible links intact, such that:
1. OE is always enabled.
2. Preset and Reset are always disabled.
3. All transition terms are disabled.
4. All flip-flops are in D-mode unless
otherwise programmed to J-K only or J-K
or D (controlled).
PLS159A
CAUTION: PLS159A
PROGRAMMING ALGORITHM
The programming voltage required to
program the PLS159A is higher (17.5V) than
that required to program the PLS159 (14.5V).
Consequently, the PLS159 programming
algorithm will not program the PLS159A.
Please exercise caution when accessing
programmer device codes to insure that the
correct algorithm is used.
THERMAL RATINGS
TEMPERATURE
Maximum junction
150°C
Maximum ambient
75°C
Allowable thermal rise
ambient to junction
75°C
5. All B pins are inputs and all F pins are
outputs unless otherwise programmed.
ABSOLUTE MAXIMUM RATINGS1
RATINGS
SYMBOL
PARAMETER
MIN
MAX
UNIT
+7
VDC
VCC
Supply voltage
VIN
Input voltage
+5.5
VDC
VOUT
Output voltage
+5.5
VDC
IIN
Input currents
+30
mA
IOUT
Output currents
+100
mA
Tamb
Operating temperature range
0
+75
°C
Tstg
Storage temperature range
–65
+150
°C
–30
NOTES:
1. Stresses above those listed may cause malfunction or permanent damage to the device. This is a stress rating only. Functional operation at
these or any other condition above those indicated in the operational and programming specification of the device is not implied.
October 22, 1993
28
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
DC ELECTRICAL CHARACTERISTICS
0°C ≤ Tamb ≤ +75°C, 4.75V ≤ VCC ≤ 5.25V
LIMITS
SYMBOL
Input
PARAMETER
TEST CONDITION
MIN
2.0
TYP1
MAX
UNIT
voltage2
VIH
High
VCC = MAX
VIL
Low
VCC = MIN
VIC
Clamp
Output
VCC = MIN, IIN = –12mA
V
0.8
V
–0.8
–1.2
V
voltage2
VOH
High
VCC = MIN, IOH = –2mA
VOL
Low
IOL = 10mA
2.4
0.35
0.5
V
V
Input current
IIH
High
VCC = MAX, VIN = 5.5V
<1
80
µA
IIL
Low
VIN = 0.45V
–10
–100
µA
VCC = MAX, VOUT = 5.5V
1
80
µA
VOUT = 0.45V
–1
–140
µA
–70
mA
190
mA
Output current
IO(OFF)
IOS
ICC
Hi-Z state4, 7
Short circuit3, 5
VCC supply
current6
VOUT = 0V
–15
VCC = MAX
150
VCC = 5.0V, VIN = 2.0V
8
pF
VOUT = 2.0V
15
pF
Capacitance
CIN
Input
COUT
Output
NOTES:
1. All typical values are at VCC = 5V, Tamb = +25°C.
2. All voltage values are with respect to network ground terminal.
3. Test one at a time.
4. Measured with VIH applied to OE.
5. Duration of short circuit should not exceed 1 second.
6. ICC is measured with the OE input grounded, all other inputs at 4.5V and the outputs open.
7. Leakage values are a combination of input and output leakage.
October 22, 1993
29
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
AC ELECTRICAL CHARACTERISTICS
0°C ≤ Tamb ≤ +75°C, 4.75V ≤ VCC ≤ 5.25V, R1 =470Ω, R2 = 1kΩ
LIMITS
SYMBOL
PARAMETER
FROM
TO
TEST CONDITION
MIN
TYP1
CK +
CK –
CL = 30pF
20
15
ns
MAX
UNIT
Pulse width
tCKH
Clock2 High
tCKL
Clock Low
CK –
CK +
CL = 30pF
20
15
ns
tCKP
Period
CK +
CK +
CL = 30pF
55
45
ns
tPRH
Preset/Reset pulse
(I,B) –
(I,B) +
CL = 30pF
35
30
ns
tIS1
Input
(I,B) ±
CK +
CL = 30pF
35
30
ns
tIS2
Input (through Fn)
F±
CK +
CL = 30pF
15
10
ns
tIS3
Input (through
Complement Array)4
(I,B) ±
CK +
CL = 30pF
55
45
ns
tIH1
Input
(I,B) ±
CK +
CL = 30pF
0
–5
ns
tIH2
Input (through Fn)
F±
CK +
CL = 30pF
15
10
ns
Setup time5
Hold time
Propagation delay
tCKO
Clock
CK +
F±
CL = 30pF
15
20
ns
tOE1
Output enable3
OE –
F–
CL = 30pF
20
30
ns
tOD1
Output
disable3
tPD
Output
OE +
F+
CL = 5pF
20
30
ns
(I,B) ±
B±
CL = 30pF
25
35
ns
tOE2
Output
enable3
(I,B) +
B±
CL = 30pF
20
30
ns
tOD2
Output disable3
(I,B) –
B+
CL = 5pF
20
30
ns
tPRO
Preset/Reset
(I,B) +
F±
CL = 30pF
35
45
ns
tPPR
Power-on/preset
VCC +
F–
CL = 30pF
0
10
ns
NOTES:
1. All typical values are at VCC = 5V, Tamb = +25°C.
2. To prevent spurious clocking, clock rise time (10% – 90%) ≤ 10ns.
3. For 3-State output; output enable times are tested with CL = 30pF to the 1.5V level, and S1 is open for high-impedance to High tests and
closed for high-impedance to Low tests. Output disable times are tested with CL = 5pF. High-to-High impedance tests are made to an output
voltage of VT = (VOH – 0.5V) with S1 open, and Low-to-High impedance tests are made to the VT = (VOL + 0.5V) level with S1 closed.
4. When using the Complement Array tCKP = 75ns (min).
5. Limits are guaranteed with 12 product terms maximum connected to each sum term line.
VOLTAGE WAVEFORMS
TEST LOAD CIRCUIT
+3.0V
90%
VCC
+5V
S1
10%
0V
C1
tR
5ns
tF
C2
R1
5ns
OE
BY
I0
+3.0V
90%
INPUTS
10%
In
BW
R2
DUT
0V
5ns
BX
5ns
CLK
MEASUREMENTS:
All circuit delays are measured at the +1.5V level
of inputs and outputs, unless otherwise specified.
Input Pulses
October 22, 1993
NOTE:
C1 and C2 are to bypass VCC to GND.
30
BZ
GND
OUTPUTS
CL
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
TIMING DIAGRAMS
TIMING DEFINITIONS
SYMBOL
+3V
I, B
(INPUTS)
1.5V
0V
tIH1
tIS1
+3V
CLK
1.5V
tIS1
1.5V
tCKH
1.5V
0V
tCKL
tCKP
F
(OUTPUTS)
tCKH
Width of input clock pulse.
tCKL
Interval between clock pulses.
tCKP
Clock period.
tPRH
Width of preset input pulse.
tIS1
Required delay between
beginning of valid input and
positive transition of clock.
tIS2
Required delay between
beginning of valid input forced
at flip-flop output pins, and
positive transition of clock.
tIH1
Required delay between
positive transition of clock and
end of valid input data.
tIH2
Required delay between
positive transition of clock and
end of valid input data forced
at flip-flop output pins.
tCKO
Delay between positive
transition of clock and when
outputs become valid (with
OE Low).
tOE1
Delay between beginning of
Output Enable Low and when
outputs become valid.
tOD1
Delay between beginning of
Output Enable High and
when outputs are in the
OFF-State.
tPPR
Delay between VCC (after
power-on) and when flip-flop
outputs become preset at “1”
(internal Q outputs at “0”).
tPD
Propagation delay between
combinational inputs and
outputs.
tOE2
Delay between predefined
Output Enable High, and
when combinational outputs
become valid.
tOD2
Delay between predefined
Output Enable Low and when
combinational outputs are in
the OFF-State.
tPRO
Delay between positive
transition of predefined
Preset/Reset input, and
when flip-flop outputs become
valid.
VOH
VT
1.5V
VOL
tOD1
tCKO
+3V
OE
1.5V
1.5V
0V
tOE1
Flip-Flop Outputs
I, B
(INPUTS)
+3V
ÇÇÇÇÇ
ÇÇÇÇÇ
ÇÇÇÇÇ
ÇÇÇÇÇ
1.5V
PARAMETER
1.5V
0V
tPD
B
(OUTPUTS)
VOH
1.5V
VT
VOL
tOE2
tOD2
+3V
I, B
(OUTPUT
ENABLE)
+1.5V
+1.5V
0V
Gate Outputs
+5V
ÇÇÇÇÇ
ÇÇÇÇÇ
ÇÇÇÇÇ
ÇÇÇÇÇ
ÇÇÇÇÇ
4.5V
0V
VCC
tPPR
F
(OUTPUTS)
I, B
(INPUTS)
VOH
1.5V
1.5V
VOL
tCKO
+3V
1.5V
1.5V
0V
tIH1
tIS1
+3V
1.5V
1.5V
1.5V
0V
CLK
tIS1
tCKH
tCKL
tCKP
Power-On Reset
October 22, 1993
31
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
TIMING DIAGRAMS (Continued)
ÇÇÇÇÇÇ
ÇÇÇÇÇÇ
ÇÇÇÇÇÇ
ÇÇÇÇÇÇ
ÇÇÇÇÇÇ
I,B
(INPUTS)
+3V
1.5V
CLK
0V
+3V
1.5V
tIS1*
tIS1
1.5V
PRESET/RESET
0V
tCKO
+3V
1.5V
0V
(I, B INPUTS)
tPRH
(PRESET)
Q
(RESET)
tPRO
VOH
(RESET)
F
(OUTPUTS)
1.5V
1.5V
(PRESET)
VOL
* Preset and Reset functions override Clock. However, F outputs may glitch with the first positive Clock Edge if tIS1
cannot be guaranteed by the user.
Asynchronous Preset/Reset
+3V
I, B
(LOAD SELECT)
1.5V
1.5V
0V
+3V
OE
1.5V
1.5V
0V
tOE1
L
+3V VOH
F
(INPUTS)
VT
(FORCED DIN)
1.5V
0V
ÇÇÇÇÇÇÇÇÇÇÇÇÇ
ÇÇÇÇÇÇÇÇÇÇÇÇÇ
ÇÇÇÇÇÇÇÇÇÇÇÇÇ
ÇÇÇÇÇÇÇÇÇÇÇÇÇ
ÇÇÇÇÇÇÇÇÇÇÇÇÇ
tOD1
tIS2
tIH2
+3V
CLK
0V
tCKH
tIH1
Q
Flip-Flop Input Mode
October 22, 1993
32
(DIN)
VOL
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
LOGIC PROGRAMMING
The PLS159A is fully supported by industry
standard (JEDEC compatible) PLD CAD
tools, including Philips Semiconductors’
SNAP, Data I/O Corporation’s ABEL and
Logical Devices Inc.’s CUPL design
software packages.
All packages allow Boolean and state
equation entry formats. SNAP, ABEL and
CUPL also accept, as input, schematic
capture format.
PLS159A
COMPLEMENT, INACTIVE, PRESET, etc.,
are defined below.
PLS159A logic designs can also be
generated using the program table entry
format detailed on the following pages. This
program table entry format is supported by
the Philips Semiconductors SNAP PLD
design software package.
PROGRAMMING AND
SOFTWARE SUPPORT
To implement the desired logic functions, the
state of each logic variable from logic
equations (I, B, O, P, etc.) is assigned a
symbol. The symbols for TRUE,
Refer to Section 9 (Development Software)
and Section 10 (Third-party Programmer/
Software Support) of this data handbook for
additional information.
“AND” ARRAY – (I), (B), (Qp)
I, B, Q
I, B, Q
I, B, Q
I, B, Q
I, B, Q
I, B, Q
I, B, Q
I, B, Q
(T, FC, L, P, R, D)n
I, B, Q
I, B, Q
I, B, Q
(T, FC, L, P, R, D)n
I, B, Q
(T, FC, L, P, R, D)n
(T, FC, L, P, R, D)n
STATE
CODE
STATE
CODE
STATE
CODE
STATE
CODE
INACTIVE1, 2
O
I, B, Q
H
I, B, Q
L
DON’T CARE
–
“COMPLEMENT” ARRAY – (C)
C
C
C
C
C
C
C
C
(Tn, FC)
(Tn, FC)
(Tn, FC)
ACTION
CODE
ACTION
CODE
ACTION
CODE
ACTION
CODE
INACTIVE1, 3, 5
O
GENERATE5
A
PROPAGATE
•
TRANSPARENT
–
“OR” ARRAY – (F-F CONTROL MODE)
FC
“OR” ARRAY – (Qn = D-Type)
Tn
FC
J
M
(Tn, FC)
Q
ENABLED
J
M
Q
J
Q
M = ENABLED
DISABLED
K
Tn
K
J
K
K
ACTION
CODE
ACTION
CODE
Tn STATUS
CODE
Tn STATUS
J–K OR D
(CONTROLLED)1
A
J–K ONLY
•
ACTIVE (Set)1
A
INACTIVE (Reset)
CODE
•
CAUTION:
THE PLS159A Programming Algorithm is different from the PLS159.
Notes on following page.
October 22, 1993
Q
M = ENABLED
33
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
“OR” ARRAY – (Qn = J-K Type)
Tn
Tn
J
J
Q
M = DISABLED
Tn
Tn
Q
J
M = DISABLED
K
K
J
Q
M = DISABLED
Q
M = DISABLED
K
K
ACTION
CODE
ACTION
CODE
ACTION
CODE
ACTION
CODE
TOGGLE
O
SET
H
RESET
L
HOLD
–
“OR” ARRAY – (S or B)
“EX-OR” ARRAY – (B)
Tn
Tn
S
B
S
B
S, B
S, B
Tn STATUS
CODE
Tn STATUS
ACTIVE1
A
INACTIVE
CODE
POLARITY
CODE
POLARITY
CODE
•
LOW1
L
HIGH
H
“OE” ARRAY – (E)
OE
OE
OE
En
OE
En
En
En
ACTION
CODE
ACTION
CODE
ACTION
CODE
ACTION
CODE
IDLE1, 4
O
CONTROL
A
ENABLE4
•
DISABLE
–
NOTES:
1. This is the initial unprogrammed state of all link pairs. It is normally associated with all unused (inactive) AND gates.
2. Any gate (T, FC, L, P, R, D)n will be unconditionally inhibited if both of the I, B, or Q links are left intact.
3. To prevent oscillations, this state is not allowed for C link pairs coupled to active gates Tn, FC.
4. En = O and En = • are logically equivalent states, since both cause Fn outputs to be unconditionally enabled.
5. These states are not allowed for control gates (L, P, R, D)n due to their lack of “OR” array links.
October 22, 1993
34
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
PROGRAM TABLE
AND
OR
INACTIVE
O
I, B, Q
H
I, B, Q
L
DON’T CARE
–
ACTIVE
I, B(I),
Q(P)
A
INACTIVE
CONTROL
J/K
P, R, B(O)
(Q = D)
J/K or D
F/F
MODE
A
(controlled)
IDLE
O
CONTROL
A
INACTIVE
O
GENERATE
A
C
PROPAGATE
–
TOGGLE
O
SET
H
RESET
L
HOLD
–
T
E
R
M
(Q = J/K)
HIGH
H
LOW
L
–
(POL)
F/F MODE
EB
C
(OR)
B(I)
Q(N)
Q(P)
3
2
1
0
3
2
1
0
7
6
5
4
3
2
9
8
7
6
19 18
5
4
3
2
1
0
17
16 15 14 13 12
1
2
3
5
6
7
8
9
10
11
12
13
COMMENTS
DATE RECEIVED
CUSTOMER SYMBOLIZED PART #
4
14
15
16
17
18
19
20
21
22
DATE
23
24
25
26
27
October 22, 1993
REV
29
30
31
FC
PB
PROGRAM TABLE #
TOTAL NUMBER OF PARTS
PHILIPS DEVICE #
PURCHASE ORDER #
CUSTOMER NAME
28
RB
LB
PA
RA
LA
D3
D2
D1
D0
PIN
POLARITY
EA
AND
I
0
CF (XXXX)
THIS PORTION TO BE COMPLETED BY SIGNETICS
TRANSPARENT
EA, B
ENABLE
DISABLE
NOTES
1. The device is shipped with all links intact. Thus a background of entries corresponding to states of virgin links
exists in the table, shown BLANK for clarity.
2. Program unused C, I, B, and Q bits in the AND array as (–).
Program unused Q, B, P, and R bits in the OR array as (–) or
(A), as applicable.
3. Unused Terms can be left blank.
4. Q (P) and Q (N) are respectively the present and next states
of flip-flops Q.
35
7
6
5
4
3
B(O)
2
1
0
3
2
1
0
Philips Semiconductors Programmable Logic Devices
Product specification
Programmable logic sequencer
(16 × 45 × 12)
PLS159A
SNAP RESOURCE SUMMARY DESIGNATIONS
(LOGIC TERMS)
(CONTROL TERMS)
PB
RB
PA
RA
LB
LA
DIN159
a
NIN159
EA
D
b
b
b
EB
a
a
OE
OEA159
DIN159
NIN159
Q
Q
AND
CAND
OEB159
C
ANDFC
OR
C
NOR
EXOR159
S
B
X
P
J
R
Q
F
JKFF159
M
(4)
CK
K
TNOUT159
P
J
R
Q
F
(4)
M
K
CK
LNIN159
T31
T0
FC
LDIN159
CLK
CK
CK159
October 22, 1993
36