AD AD5415YRU

Dual 12-Bit, High Bandwidth, Multiplying DAC
with 4-Quadrant Resistors and Serial Interface
AD5415
FEATURES
GENERAL DESCRIPTION
On-chip 4-quadrant resistors allow flexible output ranges
10 MHz multiplying bandwidth
50 MHz serial interface
2.5 V to 5.5 V supply operation
±10 V reference input
Extended temperature range: −40°C to +125°C
24-lead TSSOP package
Guaranteed monotonic
Power-on reset
Daisy-chain mode
Readback function
0.5 µA typical current consumption
The AD54151 is a CMOS 12-bit, dual-channel, current output
digital-to-analog converter. This device operates from a 2.5 V to
5.5 V power supply, making it suited to battery-powered applications as well as many other applications.
The applied external reference input voltage (VREF) determines
the full-scale output current. An integrated feedback resistor
(RFB) provides temperature tracking and full-scale voltage
output when combined with an external current-to-voltage
precision amplifier. In addition, this device contains all the
4-quadrant resistors necessary for bipolar operation and other
configuration modes.
This DAC utilizes a double-buffered 3-wire serial interface that
is compatible with SPI®, QSPI™, MICROWIRE™, and most DSP
interface standards. In addition, a serial data out pin (SDO)
allows for daisy-chaining when multiple packages are used.
Data readback allows the user to read the contents of the DAC
register via the SDO pin. On power-up, the internal shift
register and latches are filled with zeros, and the DAC outputs
are at zero scale. As a result of manufacture on a CMOS submicron process, this part offers excellent 4-quadrant multiplication
characteristics, with large-signal multiplying bandwidths of
10 MHz.
APPLICATIONS
Portable battery-powered applications
Waveform generators
Analog processing
Instrumentation applications
Programmable amplifiers and attenuators
Digitally controlled calibration
Programmable filters and oscillators
Composite video
Ultrasound
Gain, offset, and voltage trimming
1
US Patent Number 5,689,257.
FUNCTIONAL BLOCK DIAGRAM
R3A
VDD
R2_3A
R3
2R
AD5415
R2A
R2
2R
VREFA R1A
R1
2R
RFB
2R
RFBA
SYNC
SCLK
SHIFT
REGISTER
INPUT
REGISTER
DAC
REGISTER
IOUT1A
12-BIT
R-2R DAC A
IOUT2A
SDIN
SDO
LDAC
CLR
DAC
REGISTER
IOUT1B
12-BIT
R-2R DAC B
IOUT2B
POWER-ON
RESET
GND
R3
2R
R3B
R1
2R
R2
2R
R2_3B
R2B
VREFB R1B
RFB
2R
RFBB
04461-0-001
INPUT
REGISTER
Figure 1.
Rev. 0
Information furnished by Analog Devices is believed to be accurate and reliable.
However, no responsibility is assumed by Analog Devices for its use, nor for any
infringements of patents or other rights of third parties that may result from its use.
Specifications subject to change without notice. No license is granted by implication
or otherwise under any patent or patent rights of Analog Devices. Trademarks and
registered trademarks are the property of their respective owners.
One Technology Way, P.O. Box 9106, Norwood, MA 02062-9106, U.S.A.
Tel: 781.329.4700
www.analog.com
Fax: 781.326.8703
© 2004 Analog Devices, Inc. All rights reserved.
AD5415
TABLE OF CONTENTS
Specifications..................................................................................... 3
Divider or Programmable Gain Element ................................ 17
Timing Characteristics ................................................................ 5
Reference Selection .................................................................... 18
Absolute Maximum Ratings............................................................ 7
Amplifier Selection .................................................................... 18
ESD Caution.................................................................................. 7
Serial Interface ................................................................................ 20
Pin Configuration and Function Descriptions............................. 8
Low Power Serial Interface ....................................................... 20
Terminology ...................................................................................... 9
Control Register ......................................................................... 20
Typical Performance Characteristics ........................................... 10
SYNC Function........................................................................... 21
General Description ....................................................................... 15
Daisy-Chain Mode ..................................................................... 21
DAC Section................................................................................ 15
Standalone Mode........................................................................ 21
Unipolar Mode............................................................................ 15
LDAC Function .......................................................................... 21
Bipolar Operation....................................................................... 16
Microprocessor Interfacing....................................................... 22
Stability ........................................................................................ 16
PCB Layout and Power Supply Decoupling................................ 24
Single-Supply Applications............................................................ 17
Evaluation Board for the DAC ................................................. 24
Voltage Switching Mode of Operation .................................... 17
Power Supplies for the Evaluation Board................................ 24
Positive Output Voltage ............................................................. 17
Outline Dimensions ....................................................................... 28
Adding Gain................................................................................ 17
Ordering Guide .......................................................................... 28
REVISION HISTORY
7/04—Revision 0: Initial Version
Rev. 0 | Page 2 of 28
AD5415
SPECIFICATIONS
Temperature range for Y Version: −40°C to +125°C.
VDD = 2.5 V to 5.5 V, VREF = 10 V, IOUT2A, IOUT2B = 0 V; all specifications TMIN to TMAX, unless otherwise noted.
DC performance measured with OP1177, ac performance with AD8038, unless otherwise noted.
Table 1.
Parameter
STATIC PERFORMANCE
Resolution
Relative Accuracy
Differential Nonlinearity
Gain Error
Gain Error Temperature Coefficient1
Bipolar Zero Code Error
Output Leakage Current
REFERENCE INPUT1
Reference Input Range
VREFA, VREFB Input Resistance
VREFA to VREFB Input Resistance
Mismatch
R1, RFB Resistance
R2, R3 Resistance
R2 to R3 Resistance Mismatch
DIGITAL INPUTS/OUTPUT1
Input High Voltage, VIH
Input Low Voltage, VIL
Input Leakage Current, IIL
Input Capacitance
VDD = 4.5 V to 5.5 V
Output Low Voltage, VOL
Output High Voltage, VOH
VDD = 2.5 V to 3.6 V
Output Low Voltage, VOL
Output High Voltage, VOH
DYNAMIC PERFORMANCE1
Reference Multiplying Bandwidth
Output Voltage Settling Time
Min
Typ
Max
Unit
12
±1
−1/+2
±25
±25
±1
±10
Bits
LSB
LSB
mV
ppm FSR/°C
mV
nA
nA
±10
10
1.6
12
2.5
V
kΩ
%
DAC input resistance
Typ = 25°C, Max = 125°C
20
20
0.06
24
24
0.18
kΩ
kΩ
%
Typ = 25°C, Max = 125°C
0.8
0.7
1
10
V
V
V
µA
pF
VDD = 2.5 V to 5.5 V
VDD = 2.7 V to 5.5 V
VDD = 2.5 V to 2.7 V
0.4
V
V
ISINK = 200 µA
ISOURCE = 200 µA
0.4
V
V
ISINK = 200 µA
ISOURCE = 200 µA
MHz
ns
VREF = 5 V p-p, DAC loaded all 1s
Measured to ±4 mV of FS; RLOAD = 100 Ω, CLOAD =
0s, 15 pF, DAC latch alternately loaded with 0s
and 1s
±5
8
16
16
1.7
VDD − 1
VDD − 0.5
10
90
160
Digital Delay
Digital-to-Analog Glitch Impulse
Multiplying Feedthrough Error
Output Capacitance
20
3
Digital Feedthrough
5
ns
nV-s
dB
pF
pF
nV-s
Total Harmonic Distortion
−75
−75
25
dB
dB
nV/√Hz
Output Noise Spectral Density
40
−75
2
4
Rev. 0 | Page 3 of 28
Conditions
Guaranteed monotonic
Data = 0x0000, TA = 25°C, IOUT1
Data = 0x0000, IOUT1
Typical Resistor TC = −50 ppm/°C
1 LSB change around major carry, VREF = 0 V
DAC latch loaded with all 0s, reference = 10 kHz
DAC latches loaded with all 0s
DAC latches loaded with all 1s
Feedthrough to DAC output with CS high and
alternate loading of all 0s and all 1s
VREF = 5 V p-p, all 1s loaded, f = 1 kHz
VREF = 5 V, sine wave generated from digital code
@ 1 kHz
AD5415
Parameter
SFDR Performance (Wideband)
Clock = 10 MHz
500 kHz fOUT
100 kHz fOUT
50 kHz fOUT
Clock = 25 MHz
500 kHz fOUT
100 kHz fOUT
50 kHz fOUT
SFDR Performance (Narrow-Band)
Clock = 10 MHz
500 kHz fOUT
100 kHz fOUT
50k Hz fOUT
Clock = 25 MHz
500 kHz fOUT
100 kHz fOUT
50k Hz fOUT
Intermodulation Distortion
Clock = 10 MHz
f1 = 400 kHz, f2 = 500 kHz
f1 = 40 kHz, f2 = 50 kHz
Clock = 25 MHz
f1 = 400 kHz, f2 = 500 kHz
f1 = 40 kHz, f2 = 50 kHz
POWER REQUIREMENTS
Power Supply Range
IDD
Power Supply Sensitivity1
1
Min
Typ
Max
Unit
55
63
65
dB
dB
dB
50
60
62
dB
dB
dB
73
80
87
dB
dB
dB
70
75
80
dB
dB
dB
65
72
dB
dB
51
65
dB
dB
2.5
5.5
10
0.001
V
µA
%/%
Guaranteed by design and characterization, not subject to production test.
Rev. 0 | Page 4 of 28
Conditions
Logic inputs = 0 V or VDD
∆VDD = ±5%
AD5415
TIMING CHARACTERISTICS
Temperature range for Y Version: −40°C to +125°C. See Figure 2 and Figure 3.
Guaranteed by design and characterization, not subject to production test.
All input signals are specified with tr = tf = 1 ns (10% to 90% of VDD) and timed from a voltage level of (VIL + VIH)/2.
VDD = 2.5 V to 5.5 V, VREF = 5 V, IOUT2 = 0 V. All specifications TMIN to TMAX, unless otherwise noted.
Table 2.
Parameter
fSCLK
t1
t2
t3
t4
t5
t6
t7
t8
t9
t10
t11
t122
2
Conditions/Comments1
Maximum clock frequency
SCLK cycle time
SCLK high time
SCLK low time
SYNC falling edge to SCLK falling edge setup time
Data setup time
Data hold time
SYNC rising edge to SCLK falling edge
Minimum SYNC high time
SCLK falling edge to LDAC falling edge
LDAC pulse width
SCLK falling edge to LDAC rising edge
SCLK active edge to SDO valid, strong SDO driver
SCLK active edge to SDO valid, weak SDO driver
Unit
MHz max
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
ns min
Falling or rising edge as determined by the control bits of serial word. Strong or weak SDO driver selected via the control register.
Daisy-chain and readback modes cannot operate at maximum clock frequency. SDO timing specifications measured with a load circuit, as shown in Figure 4.
t1
SCLK
t8
t2
t4
t3
t7
SYNC
t6
t5
DIN
DB0
DB15
t9
t10
LDAC1
t11
LDAC2
NOTES
1ASYNCHRONOUS LDAC UPDATE MODE
2SYNCHRONOUS LDAC UPDATE MODE
ALTERNATIVELY, DATA CAN BE CLOCKED INTO INPUT SHIFT REGISTER ON RISING EDGE OF SCLK AS
DETERMINED BY CONTROL BITS. TIMING AS ABOVE, WITH SCLK INVERTED.
Figure 2. Standalone Mode Timing Diagram
Rev. 0 | Page 5 of 28
04461-0-002
1
Limit at TMIN, TMAX
50
20
8
8
13
5
4
5
30
0
12
10
25
60
AD5415
t1
SCLK
t2
t4
t3
t7
SYNC
t6
t8
t5
SDIN
DB0
(N)
DB15
(N)
DB15
(N+1)
DB0
(N+1)
DB15
(N)
DB0
(N)
SDO
ALTERNATIVELY, DATA CAN BE CLOCKED INTO INPUT SHIFT REGISTER ON RISING EDGE OF SCLK AS
DETERMINED BY CONTROL BITS. IN THIS CASE, DATA WOULD BE CLOCKED OUT OF SDO ON FALLING
EDGE OF SCLK. TIMING AS ABOVE, WITH SCLK INVERTED.
Figure 3. Daisy-Chain and Readback Modes Timing Diagram
200µA
VOH (MIN) + VOL (MAX)
2
CL
50pF
200µA
IOH
Figure 4. Load Circuit for SDO Timing Specifications
Rev. 0 | Page 6 of 28
04461-0-004
TO OUTPUT
PIN
IOL
04461-0-003
t12
AD5415
ABSOLUTE MAXIMUM RATINGS
Transient currents of up to 100 mA do not cause SCR latch-up.
TA = 25°C, unless otherwise noted.
Table 3.
Parameter
VDD to GND
VREF, RFB to GND
IOUT1, IOUT2 to GND
Input Current to Any Pin except Supplies
Logic Inputs and Output1
Operating Temperature Range
Extended (Y Version)
Storage Temperature Range
Junction Temperature
24-Lead TSSOP θJA Thermal Impedance
Lead Temperature, Soldering
(10 seconds)
IR Reflow, Peak Temperature
(<20 seconds)
1
Rating
−0.3 V to +7 V
−12 V to +12 V
−0.3 V to +7 V
±10 mA
−0.3 V to VDD + 0.3 V
−40°C to +125°C
Stresses above those listed under Absolute Maximum Ratings
may cause permanent damage to the device. This is a stress
rating only and functional operation of the device at these or
any other conditions above those indicated in the operational
section of this specification is not implied. Exposure to absolute
maximum rating conditions for extended periods may affect
device reliability.
−65°C to +150°C
150°C
128°C/W
300°C
235°C
Overvoltages at SCLK, SYNC, and DIN are clamped by internal diodes.
Current should be limited to the maximum ratings given.
ESD CAUTION
ESD (electrostatic discharge) sensitive device. Electrostatic charges as high as 4000 V readily accumulate on
the human body and test equipment and can discharge without detection. Although this product features
proprietary ESD protection circuitry, permanent damage may occur on devices subjected to high energy
electrostatic discharges. Therefore, proper ESD precautions are recommended to avoid performance
degradation or loss of functionality.
Rev. 0 | Page 7 of 28
AD5415
PIN CONFIGURATION AND FUNCTION DESCRIPTIONS
IOUT1A
1
24 IOUT1B
IOUT2A
2
23 IOUT2B
RFBA
3
22 RFBB
R1A
4
21 R1B
R2A
5
R2_3A
6
20 R2B
VREFA
8
17 VREFB
GND
9
16 VDD
LDAC 10
15 CLR
SCLK 11
14 SYNC
SDIN 12
13 SDO
04461-0-005
R3A
AD5415
TOP VIEW 19 R2_3B
7 (Not to Scale) 18 R3B
Figure 5. Pin Configuration
Table 4. Pin Function Descriptions
Pin No.
1
2
Mnemonic
IOUT1A
IOUT2A
3
RFBA
4–7
R1A–R3A
8
9
10
VREFA
GND
LDAC
11
SCLK
12
SDIN
13
SDO
14
SYNC
15
CLR
16
17
18–21
VDD
VREFB
R1B–R3B
22
RFBB
23
IOUT2B
24
IOUT1B
Function
DAC A Current Output.
DAC A Analog Ground. This pin should normally be tied to the analog ground of the system, but can be biased to
achieve single-supply operation.
DAC Feedback Resistor Pin. This pin establishes voltage output for the DAC by connecting to the external
amplifier output.
DAC A 4-Quadrant Resistors. These pins allow a number of configuration modes, including bipolar operation, with
minimum external components.
DAC A Reference Voltage Input Pin.
Ground Pin.
Load DAC Input. This pin allows asynchronous or synchronous updates to the DAC output. The DAC is
asynchronously updated when this signal goes low. Alternatively, if this line is held permanently low, an
automatic or synchronous update mode is selected whereby the DAC is updated on the 16th clock falling edge
when the device is in standalone mode or on the rising edge of SYNC when in daisy-chain mode.
Serial Clock Input. By default, data is clocked into the input shift register on the falling edge of the serial clock
input. Alternatively, by means of the serial control bits, the device can be configured such that data is clocked into
the shift register on the rising edge of SCLK.
Serial Data Input. Data is clocked into the 16-bit input register on the active edge of the serial clock input. By
default, on power-up, data is clocked into the shift register on the falling edge of SCLK. The control bits allow the
user to change the active edge to the rising edge.
Serial Data Output. This pin allows a number of parts to be daisy-chained. By default, data is clocked into the shift
register on the falling edge and out via SDO on the rising edge of SCLK. Data is always clocked out on the
alternate edge to loading data to the shift register. Writing the readback control word to the shift register makes
the DAC register contents available for readback on the SDO pin, clocked out on the next 16 opposite clock edges
to the active clock edge.
Active Low Control Input. The frame synchronization signal for the input data. When SYNC goes low, it powers on
the SCLK and DIN buffers, and the input shift register is enabled. Data is loaded to the shift register on the active
edge of the following clocks. In standalone mode, the serial interface counts clocks, and data is latched to the shift
register on the 16th active clock edge.
Active Low Control Input. This pin clears the DAC output, input, and DAC registers. Configuration mode allows the
user to enable the hardware CLR pin as a clear to zero scale or midscale, as required.
Positive Power Supply Input. This part can be operated from a supply of 2.5 V to 5.5 V.
DAC B Reference Voltage Input Pin.
DAC B 4-Quadrant Resistors. These pins allow a number of configuration modes, including bipolar operation, with
minimum of external components.
DAC B Feedback Resistor Pin. This pin establishes voltage output for the DAC by connecting to the external
amplifier output.
DAC B Analog Ground. This pin should normally be tied to the analog ground of the system, but can be biased to
achieve single-supply operation.
DAC B Current Output.
Rev. 0 | Page 8 of 28
AD5415
TERMINOLOGY
Relative Accuracy
Relative accuracy or endpoint nonlinearity is a measure of the
maximum deviation from a straight line passing through the
endpoints of the DAC transfer function. It is measured after
adjusting for zero scale and full scale, and is normally expressed
in LSB or as a percentage of full-scale reading.
Differential Nonlinearity
Differential nonlinearity is the difference in the measured
change and the ideal 1 LSB change between any two adjacent
codes. A specified differential nonlinearity of ±1 LSB maximum
over the operating temperature range ensures monotonicity.
Gain Error
Gain error or full-scale error is a measure of the output error
between an ideal DAC and the actual device output. For these
DACs, ideal maximum output is VREF − 1 LSB. Gain error of the
DACs is adjustable to zero with external resistance.
Output Leakage Current
Output leakage current is current that flows in the DAC ladder
switches when they are turned off. For the IOUT1 terminal, it can
be measured by loading all 0s to the DAC and measuring the
IOUT1 current. Minimum current flows in the IOUT2 line when
the DAC is loaded with all 1s.
Digital Crosstalk
The glitch impulse transferred to the outputs of one DAC in
response to a full-scale code change (all 0s to all 1s and vice
versa) in the input register of the other DAC. It is expressed
in nV-s.
Analog Crosstalk
The glitch impulse transferred to the output of one DAC due to
a change in the output of another DAC. It is measured by
loading one of the input registers with a full-scale code change
(all 0s to all 1s and vice versa), while keeping LDAC high. Then
pulse LDAC low and monitor the output of the DAC whose
digital code was not changed. The area of the glitch is expressed
in nV-s.
Channel-to-Channel Isolation
The proportion of input signal from one DAC reference input
that appears at the output of the other DAC and is expressed
in dB.
Harmonic Distortion
The DAC is driven by an ac reference. The ratio of the rms sum
of the harmonics of the DAC output to the fundamental value is
the total harmonic distortion (THD). Usually only the lowerorder harmonics are included, such as second to fifth.
Output Capacitance
Capacitance from IOUT1 or IOUT2 to AGND.
THD = 20 log
Output Current Settling Time
The amount of time it takes for the output to settle to a specified level for a full-scale input change. For these devices, it is
specified with a 100 Ω resistor to ground.
Digital-to-Analog Glitch Impulse
The amount of charge injected from the digital inputs to the
analog output when the inputs change state. This is normally
specified as the area of the glitch in either pA-s or nV-s depending upon whether the glitch is measured as a current or
voltage signal.
Digital Feedthrough
When the device is not selected, high frequency logic activity on
the device’s digital inputs is capacitively coupled through the
device to show up as noise on the IOUT pins and subsequently
into the following circuitry. This noise is digital feedthrough.
(V2 2 + V3 2 + V4 2 + V5 2 )
V1
Intermodulation Distortion
The DAC is driven by two combined sine wave references of
frequencies fa and fb. Distortion products are produced at sum
and difference frequencies of mfa ± nfb, where m, n = 0, 1, 2, 3 ...
Intermodulation terms are those for which m or n is not equal
to zero. The second-order terms include (fa + fb) and (fa − fb)
and the third-order terms are (2fa + fb), (2fa − fb), (f + 2fa +
2fb) and (fa − 2fb). IMD is defined as
IMD = 20 log
(rms sum of the sum and diff distortion products )
rms amplitude of the fundamental
Compliance Voltage Range
The maximum range of (output) terminal voltage for which the
device provides the specified characteristics.
Multiplying Feedthrough Error
The error due to capacitive feedthrough from the DAC
reference input to the DAC IOUT1 terminal when all 0s are
loaded to the DAC.
Rev. 0 | Page 9 of 28
AD5415
TYPICAL PERFORMANCE CHARACTERISTICS
1.0
–0.40
TA = 25°C
VREF = 10V
VDD = 5V
0.8
0.6
–0.45
0.4
–0.50
0.2
DNL (LSB)
INL (LSB)
TA = 25°C
VREF = 10V
VDD = 5V
0
–0.2
–0.55
–0.60
–0.4
MIN DNL
–0.6
–0.65
–0.8
500
1000
1500
2000
2500
3000
3500
4000
CODE
–0.70
2
3
5
6
7
8
9
10
REFERENCE VOLTAGE
Figure 6. INL vs. Code (12-Bit DAC)
Figure 9. DNL vs. Reference Voltage
5
1.0
TA = 25°C
VREF = 10V
VDD = 5V
0.8
0.6
4
VDD = 5V
3
2
0.2
0
–0.2
1
0
VDD = 2.5V
–1
–2
–0.6
–3
–0.8
–4
VREF = 10V
–5
–60
–40
–1.0
0
500
1000
1500
2000
2500
3000
3500
4000
CODE
04461-0-007
–0.4
–20
0
20
40
60
80
100
120
04461-0-010
ERROR (mV)
0.4
DNL (LSB)
4
04461-0-009
0
04461-0-006
–1.0
140
TEMPERATURE (°C)
Figure 7. DNL vs. Code (12-Bit DAC)
Figure 10. Gain Error vs. Temperature
0.6
8
0.5
7
TA = 25°C
0.4
6
MAX INL
CURRENT (mA)
0.2
TA = 25°C
VREF = 10V
VDD = 5V
0.1
VDD = 5V
5
4
3
0
MIN INL
2
–0.1
–0.2
1
–0.3
0
VDD = 3V
2
3
4
5
6
7
8
REFERENCE VOLTAGE
9
10
Figure 8. INL vs. Reference Voltage
0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
4.5
INPUT VOLTAGE (V)
Figure 11. Supply Current vs. Logic Input Voltage
Rev. 0 | Page 10 of 28
5.0
04461-0-011
VDD = 2.5V
04461-0-008
INL (LSB)
0.3
1.6
1.4
1.2
GAIN (dB)
IOUT LEAKAGE (nA)
IOUT1 VDD 5V
1.0
0.8
IOUT1 VDD 3V
0.6
0.4
0
–40
–20
0
20
40
60
80
100
120
TEMPERATURE (°C)
04461-0-012
0.2
6
0
–6
–12
–18
–24
–30
–36
–42
–48
–54
–60
–66
–72
–78
–84
–90
–96
–102
TA = 25°C
LOADING
ZS TO FS
TA = 25°C
VDD = 5V
VREF = ±3.5V
INPUT
CCOMP = 1.8pF
AD8038 AMPLIFIER
ALL OFF
1
Figure 12. Iout1 Leakage Current vs. Temperature
ALL ON
DB11
DB10
DB9
DB8
DB7
DB6
DB5
DB4
DB3
DB2
DB1
DB0
10
100
1k
10k
100k
FREQUENCY (Hz)
1M
10M
100M
04461-0-015
AD5415
Figure 15. Reference Multiplying Bandwidth vs. Frequency and Code
0.2
0.50
TA = 25°C
0.45
VDD = 5V
0
0.40
ALL 0s
0.30
ALL 1s
0.25
VDD = 2.5V
0.20
ALL 0s
0.10
TA = 25°C
VDD = 5V
VREF = ±3.5V
CCOMP = 1.8pF
AD8038 AMPLIFIER
–0.6
0.05
–40
–20
0
20
40
60
80
100
120
140
TEMPERATURE (°C)
–0.8
04461-0-013
0
–60
1
3
TA = 25°C
LOADING ZS TO FS
GAIN (dB)
VDD = 3V
4
0
100
1k
10k
100k
1M
1M
10M
100M
–3
2
10
100k
TA = 25°C
VDD = 5V
–6
VDD = 2.5V
10M
FREQUENCY (Hz)
100M
04461-0-014
IDD (mA)
8
1
10k
0
VDD = 5V
6
1k
Figure 16. Reference Multiplying Bandwidth–All Ones Loaded
14
10
100
FREQUENCY (Hz)
Figure 13. Supply Current vs. Temperature
12
10
04461-0-016
ALL 1s
–0.4
–9
10k
VREF = ±2V, AD8038 CC 1.47pF
VREF = ±2V, AD8038 CC 1pF
VREF = ±0.15V, AD8038 CC 1pF
VREF = ±0.15V, AD8038 CC 1.47pF
VREF = ±3.51V, AD8038 CC 1.8pF
100k
1M
FREQUENCY (Hz)
10M
100M
Figure 17. Reference Multiplying Bandwidth vs. Frequency and
Compensation Capacitor
Figure 14. Supply Current vs. Update Rate
Rev. 0 | Page 11 of 28
04461-0-017
0.15
–0.2
GAIN (dB)
CURRENT (µA)
0.35
AD5415
–60
0.045
7FF TO 800H
TA = 25°C
VREF = 0V
AD8038 AMPLIFIER
CCOMP = 1.8pF
0.040
VDD = 5V
–65
0.030
–70
0.025
THD + N (dB)
OUTPUT VOLTAGE (V)
0.035
TA = 25°C
VDD = 3V
VREF = 3.5V p-p
VDD = 3V
0.020
0.015
800 TO 7FFH
0.010
–80
VDD = 3V
0.005
–75
0
–85
VDD = 5V
0
20
40
60
80
100
120
140
160
180
–90
04461-0-018
–0.010
200
TIME (ns)
1
10
1k
10k
100k
1M
FREQUENCY (Hz)
Figure 18. Midscale Transition, VREF = 0 V
Figure 21. THD and Noise vs. Frequency
–1.68
100
TA = 25°C
VREF = 3.5V
AD8038 AMPLIFIER
CCOMP = 1.8pF
7FF TO 800H
–1.69
VDD = 5V
–1.70
MCLK = 1MHz
80
SFDR (dB)
–1.71
–1.72
VDD = 3V
–1.73
VDD = 5V
–1.74
MCLK = 200kHz
60
MCLK = 0.5MHz
40
VDD = 3V
–1.75
20
800 TO 7FFH
0
20
40
60
80
100
120
140
160
180
0
04461-0-019
–1.77
200
TIME (ns)
0
60
80
100
120
140
160
180
200
Figure 22. Wideband SFDR vs. fOUT Frequency
90
TA = 25°C
VDD = 3V
AMP = AD8038
0
40
fOUT (kHz)
Figure 19. Midscale Transition, VREF = 3.5 V
20
20
04461-0-022
TA = 25°C
VREF = 3.5V
AD8038 AMPLIFIER
–1.76
80
MCLK = 5MHz
70
MCLK = 10MHz
–20
60
SFDR (dB)
–40
FULL SCALE
–60
ZERO SCALE
50
MCLK = 25MHz
40
30
–80
20
–100
–120
1
10
100
1k
10k
100k
1M
FREQUENCY (Hz)
10M
0
0
100
200
300
400
500
600
700
800
900
fOUT (kHz)
Figure 23. Wideband SFDR vs. fOUT Frequency
Figure 20. Power Supply Rejection vs. Frequency
Rev. 0 | Page 12 of 28
1000
04461-0-023
TA = 25°C
VREF = 3.5V
AD8038 AMPLIFIER
10
04461-0-020
PSRR (dB)
OUTPUT VOLTAGE (V)
100
04461-0-021
–0.005
AD5415
0
0
TA = 25°C
VDD = 5V
AMP = AD8038
65k CODES
–10
–20
–20
–30
–40
–40
SFDR (dB)
–50
–60
–50
–60
–70
–70
–80
–80
2
0
4
6
8
FREQUENCY (MHz)
10
12
04461-0-024
–90
–90
Figure 24. Wideband SFDR, fOUT = 100 kHz, Clock = 25 MHz
0
–100
250
–20
350
400
450 500 550 600
FREQUENCY (MHz)
650
700
750
Figure 27. Narrow-Band Spectral Response, fOUT = 500 kHz, Clock = 25 MHz
20
TA= 25°C
VDD = 5V
AMP = AD8038
65k CODES
–10
300
04461-0-027
SFDR (dB)
–30
TA= 25°C
VDD = 3V
AMP = AD8038
65k CODES
0
–20
–30
–40
SFDR (dB)
SFDR (dB)
TA= 25°C
VDD = 3V
AMP = AD8038
65k CODES
–10
–50
–60
–70
–40
–60
–80
–80
0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
FREQUENCY (MHz)
4.0
4.5
5.0
04461-0-025
–100
–120
50
Figure 25. Wideband SFDR, fOUT = 500 kHz, Clock = 10 MHz
0
80
90
100 110 120
FREQUENCY (MHz)
0
130
140
150
TA= 25°C
VDD = 3V
AMP = AD8038
65k CODES
–10
–20
–20
–30
–30
–40
(dB)
–40
–50
–50
–60
–60
–70
–70
–80
–80
–90
0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
FREQUENCY (MHz)
4.0
4.5
Figure 26. Wideband SFDR, fOUT = 50 kHz, Clock = 10 MHz
5.0
–100
70
75
80
85
90
100 105
95
FREQUENCY (MHz)
110
115
120
04461-0-029
–90
04461-0-026
SFDR (dB)
70
Figure 28. Narrow-Band SFDR, fOUT = 100 kHz, MCLK = 25 MHz
TA = 25°C
VDD = 5V
AMP = AD8038
65k CODES
–10
60
04461-0-028
–100
–90
Figure 29. Narrow-Band IMD, fOUT = 90 kHz, 100 kHz, Clock = 10 MHz
Rev. 0 | Page 13 of 28
AD5415
0
300
TA= 25°C
VDD = 5V
AMP = AD8038
65k CODES
–10
250
OUTPUT NOISE (nV/ Hz)
–20
–30
–40
(dB)
TA = 25°C
AMP = AD8038
ZERO SCALE LOADED TO DAC
–50
–60
–70
–80
MIDSCALE LOADED TO DAC
FULL SCALE LOADED TO DAC
200
150
100
50
0
50
100
150
200
250
FREQUENCY (kHz)
300
350
400
Figure 30. Wideband IMD, fOUT = 90 kHz, 100 kHz, Clock = 25 MHz
0
100
1k
10k
FREQUENCY (Hz)
Figure 31. Output Noise Spectral Density
Rev. 0 | Page 14 of 28
100k
04461-0-031
–100
04461-0-030
–90
AD5415
GENERAL DESCRIPTION
DAC SECTION
VOUT = −VREF × D/2n
The AD5415 is a 12-bit, dual-channel, current output DAC
consisting of standard inverting R to 2R ladder configuration. A
simplified diagram of one DAC channel for the AD5415 is
shown in Figure 32. The feedback resistor RFB has a value of 2R.
The value of R is typically 10 kΩ (minimum 8 kΩ and
maximum 12 kΩ). If IOUT1 and IOUT2 are kept at the same
potential, a constant current flows in each ladder leg, regardless
of the digital input code. Therefore, the input resistance
presented at VREF is always constant.
R
2R
2R
2R
S1
S2
S3
S12
2R
2R
RFBA
IOUT1A
04461-0-032
With a fixed 10 V reference, the circuit in Figure 32 gives a
unipolar 0 V to −10 V output voltage swing. When VIN is an ac
signal, the circuit performs 2-quadrant multiplication.
Figure 32. Simplified Ladder
Access is provided to the VREF, RFB, IOUT1, and IOUT2 terminals of
the DAC, making the device extremely versatile and allowing it
to be configured in several different operating modes, for
example, to provide a unipolar output, bipolar output, or in
single-supply modes of operation in unipolar mode or
4-quadrant multiplication in bipolar mode.
Table 5 shows the relationship between digital code and
expected output voltage for unipolar operation.
Table 5. Unipolar Code Table
UNIPOLAR MODE
Using a single op amp, these devices can easily be configured to
provide 2-quadrant multiplying operation or a unipolar output
voltage swing, as shown in Figure 33. When an output amplifier
is connected in unipolar mode, the output voltage is given by
VDD
Note that the output voltage polarity is opposite the VREF
polarity for dc reference voltages.
These DACs are also designed to accommodate ac reference
input signals in the range of −10 V to +10 V.
IOUT2A
DAC DATA LATCHES
AND DRIVERS
n is the number of bits.
These DACs are designed to operate with either negative or
positive reference voltages. The VDD power pin is used only by
the internal digital logic to drive the DAC switches’ on and off
states.
R
VREFA
2R
D is the fractional representation of the digital word loaded to
the DAC, in the range of 0 to 4095.
Digital Input
1111 1111
1000 0000
0000 0001
0000 0000
R1A
R1
2R
RFB
2R
RFBA
R2A
C1
R2
2R
AD5415
12-BIT DAC A
R
R2_3A
R3A
Analog Output (V)
−VREF (4095/4096)
−VREF (2048/4096) = −VREF/2
−VREF (1/4096)
−VREF (0/4096) = 0
R3
2R
IOUT1A
A1
VOUT = 0V TO –VIN
IOUT2A
AGND
AGND
VREFA
SYNC SCLK SDIN
GND
uCONTROLLER
AGND
NOTES:
1DAC B OMITTED FOR CLARITY.
2C1 PHASE COMPENSATION (1pF TO 2pF) MAY BE REQUIRED,
IF A1 IS A HIGH SPEED AMPLIFIER.
Figure 33. Unipolar Operation
Rev. 0 | Page 15 of 28
04461-0-033
R
where:
AD5415
BIPOLAR OPERATION
STABILITY
In some applications, it might be necessary to generate full
4-quadrant multiplying operation or a bipolar output swing.
This can be easily accomplished by using another external
amplifier and the on chip 4-quadrant resistors, as shown in
Figure 34.
In the I-to-V configuration, the IOUT of the DAC and the
inverting node of the op amp must be connected as close as
possible, and proper PCB layout techniques must be employed.
Because every code change corresponds to a step function, gain
peaking can occur if the op amp has limited GBP and there is
excessive parasitic capacitance at the inverting node. This
parasitic capacitance introduces a pole into the open loop
response that can cause ringing or instability in the closed loop
application’s circuit.
When in bipolar mode, the output voltage is given by
VOUT = VREF × D/2n − 1 −VREF
where D is the fractional representation of the digital word
loaded to the DAC, in the range of 0 to 4095.
An optional compensation capacitor, C1, can be added in
parallel with RFB for stability, as shown in Figure 33 and
Figure 34. Too small a value of C1 can produce ringing at the
output, while too large a value can adversely affect the settling
time. C1 should be found empirically, but 1 pF to 2 pF is
generally adequate for the compensation.
n is the number of bits.
When VIN is an ac signal, the circuit performs 4-quadrant
multiplication.
Table 6 shows the relationship between digital code and the
expected output voltage for bipolar operation.
Table 6. Bipolar Code Table
Digital Input
1111 1111
1000 0000
0000 0001
0000 0000
Analog Output (V)
+VREF (2047/2048)
0
−VREF (2047/2048)
VREF (2048/2048)
VDD
R1A
R1
2R
VIN
RFBA
R2A
C1
R2
2R
R2_3A
A1
RFB
2R
R3A
AD5415
12-BIT DAC A
R
R3
2R
IOUT1A
A1
VOUT = –VIN TO +VIN
IOUT2A
AGND
VREFA
SYNC SCLK SDIN
GND
uCONTROLLER
AGND
NOTES:
1DAC B OMITTED FOR CLARITY.
2C1 PHASE COMPENSATION (1pF TO 2pF) MAY BE REQUIRED,
IF A1 IS A HIGH SPEED AMPLIFIER.
Figure 34. Bipolar Operation
Rev. 0 | Page 16 of 28
04461-0-034
AGND
AD5415
SINGLE-SUPPLY APPLICATIONS
VDD = 5V
VOLTAGE SWITCHING MODE OF OPERATION
ADR03
VOUT VIN
Figure 35 shows these DACs operating in the voltage switching
mode. The reference voltage, VIN, is applied to the IOUT1 pin,
IOUT2 is connected to AGND, and the output voltage is available
at the VREF terminal. In this configuration, a positive reference
voltage results in a positive output voltage, making singlesupply operation possible. The output from the DAC is voltage
at a constant impedance (the DAC ladder resistance). Therefore,
an op amp is necessary to buffer the output voltage. The
reference input no longer sees a constant input impedance, but
one that varies with code. So, the voltage input should be driven
from a low impedance source.
R2
VDD
VREF
IOUT2
–2.5V
C1
RFB
IOUT1
VREF
12-BIT DAC
IOUT2
1/2 AD8552
VOUT = 0 TO +2.5V
GND
1/2 AD8552
–5V
04461-0-036
NOTES:
1ADDITIONAL PINS OMITTED FOR CLARITY.
2C1 PHASE COMPENSATION (1pF TO 2pF) MAY BE REQUIRED,
IF A1 IS A HIGH SPEED AMPLIFIER.
Figure 36. Positive Voltage Output with Minimum of Components
VOUT
NOTES
1. SIMILAR CONFIGURATION FOR DACB
2. C1 PHASE COMPENSATION (1pF TO 2pF) MAY BE REQUIRED
IF A1 IS A HIGH SPEED AMPLIFIER.
04461-0-035
GND
Figure 35. Single-Supply Voltage Switching Mode
Note that VIN is limited to low voltages, because the switches in
the DAC ladder no longer have the same source-drain drive
voltage. As a result, their on resistance differs and this degrades
the integral linearity of the DAC. Also, VIN must not go negative
by more than 0.3 V or an internal diode is turned on, exceeding
the maximum ratings of the device. In this type of application,
the full range of multiplying capability of the DAC is lost.
In applications where the output voltage is required to be
greater than VIN, gain can be added with an additional external
amplifier, or it can also be achieved in a single stage. It is
important to take into consideration the effect of temperature
coefficients of the thin film resistors of the DAC. Simply placing
a resistor in series with the RFB resistor causes mismatches in the
temperature coefficients, resulting in larger gain temperature
coefficient errors. Instead, the circuit in Figure 37 is a recommended method of increasing the gain of the circuit. R1, R2, and
R3 should all have similar temperature coefficients, but they
need not match the temperature coefficients of the DAC. This
approach is recommended in circuits where gains of greater
than 1 are required.
VDD
VDD
VIN
C1
RFB
IOUT1
R2
VREF
VOUT
12-BIT DAC
IOUT2
R3
GND
POSITIVE OUTPUT VOLTAGE
R2
The output voltage polarity is opposite to the VREF polarity for
dc reference voltages. To achieve a positive voltage output, an
applied negative reference to the input of the DAC is preferred
over the output inversion through an inverting amplifier
because of the resistors’ tolerance errors. To generate a negative
reference, the reference can be level-shifted by an op amp such
that the VOUT and GND pins of the reference become the virtual
ground and −2.5 V, respectively, as shown in Figure 36.
GAIN =
R2 + R3
R2
R2R3
R1 =
R2 + R3
NOTES:
1ADDITIONAL PINS OMITTED FOR CLARITY.
2C1 PHASE COMPENSATION (1pF TO 2pF) MAY BE REQUIRED,
IF A1 IS A HIGH SPEED AMPLIFIER.
04461-0-037
R1
RFB
IOUT1
VDD
ADDING GAIN
VDD
VIN
GND
+5V
Figure 37. Increasing the Gain of the Current Output DAC
DIVIDER OR PROGRAMMABLE GAIN ELEMENT
Current-steering DACs are very flexible and lend themselves to
many different applications. If this type of DAC is connected as
the feedback element of an op amp and RFB is used as the input
resistor, as shown in Figure 38, then the output voltage is
inversely proportional to the digital input fraction, D. For D
equal to 1 − 2n, the output voltage is
VOUT = −VIN/D = −VIN/(1 −2−n)
Rev. 0 | Page 17 of 28
AD5415
VDD
overall specification to within 1 LSB over the temperature range
0°C to 50°C dictates that the maximum system drift with
temperature should be less than 78 ppm/°C. A 12-bit system
with the same temperature range to overall specification within
2 LSB requires a maximum drift of 10 ppm/°C. By choosing a
precision reference with a low output temperature coefficient,
this error source can be minimized. Table 7 suggests some of
the references available from Analog Devices that are suitable
for use with this range of current output DACs.
VIN
RFB
VDD
IOUT1
VREF
IOUT2
GND
NOTE:
1ADDITIONAL PINS OMITTED FOR CLARITY.
04461-0-038
VOUT
AMPLIFIER SELECTION
Figure 38. Current-Steering DAC Used as a Divider or
Programmable Gain Element
As D is reduced, the output voltage increases. For small values
of the digital fraction, D, it is important to ensure that the
amplifier does not saturate and also that the required accuracy
is met. For example, an 8-bit DAC driven with the binary code
0x10 (0001 0000), that is, 16 decimal, in the circuit of Figure 37
should cause the output voltage to be 16 times VIN. However, if
the DAC has a linearity specification of ±0.5 LSB, then D can, in
fact, have a weight anywhere in the range 15.5/256 to 16.5/256,
so that the possible output voltage is in the range 15.5 VIN to
16.5 VIN, an error of 3% even though the DAC itself has a
maximum error of 0.2%.
DAC leakage current is also a potential error source in divider
circuits. The leakage current must be counterbalanced by an
opposite current supplied from the op amp through the DAC.
Because only a fraction D of the current into the VREF terminal
is routed to the IOUT1 terminal, the output voltage has to change
as follows:
Output Error Voltage Due to DAC Leakage = (Leakage × R)/D
where R is the DAC resistance at the VREF terminal.
For a DAC leakage current of 10 nA, R = 10 kΩ, and a gain
(that is, 1/D) of 16, the error voltage is 1.6 mV.
REFERENCE SELECTION
When selecting a reference for use with the AD54xx series of
current output DACs, pay attention to the reference’s output
voltage temperature coefficient specification. This parameter
affects not only the full-scale error, but can also affect the
linearity (INL and DNL) performance. The reference temperature coefficient should be consistent with the system accuracy
specifications. For example, an 8-bit system required to hold its
The primary requirement for the current-steering mode is an
amplifier with low input bias currents and low input offset
voltage. The input offset voltage of an op amp is multiplied by
the variable gain (due to the code-dependent output resistance
of the DAC) of the circuit. A change in this noise gain between
two adjacent digital fractions produces a step change in the
output voltage due to the amplifier’s input offset voltage. This
output voltage change is superimposed upon the desired change
in output between the two codes and gives rise to a differential
linearity error, which, if large enough, could cause the DAC to
be nonmonotonic.
The input bias current of an op amp also generates an offset at
the voltage output as a result of the bias current flowing in the
feedback resistor, RFB. Most op amps have input bias currents
low enough to prevent any significant errors in 12-bit
applications.
Common-mode rejection of the op amp is important in voltage
switching circuits, because it produces a code-dependent error
at the voltage output of the circuit. Most op amps have adequate
common-mode rejection for use at 12-bit resolution.
Provided that the DAC switches are driven from true wideband
low impedance sources (VIN and AGND), they settle quickly.
Consequently, the slew rate and settling time of a voltage
switching DAC circuit is determined largely by the output op
amp. To obtain minimum settling time in this configuration, it
is important to minimize capacitance at the VREF node (voltage
output node in this application) of the DAC. This is done by
using low inputs, capacitance buffer amplifiers, and careful
board design.
Most single-supply circuits include ground as part of the analog
signal range, which in turn requires an amplifier that can handle
rail-to-rail signals. A large range of single-supply amplifiers is
available from Analog Devices.
Rev. 0 | Page 18 of 28
AD5415
Table 7. ADI Precision References for Use with AD54xx DACs
Reference
ADR01
ADR02
ADR03
ADR425
Output Voltage (V)
10
5
2.5
5
Initial Tolerance (%)
0.1
0.1
0.2
0.04
Temp. Drift (ppm/°C)
3
3
3
3
0.1 Hz to 10 Hz Noise
20 µV p-p
10 µV p-p
10 µV p-p
3.4 µV p-p
Package
SC70, TSOT, SOIC
SC70, TSOT, SOIC
SC70, TSOT, SOIC
MSOP, SOIC
Table 8. Precision ADI Op Amps for Use with AD54xx DACs
Part No.
OP97
OP1177
AD8551
Max Supply Voltage (V)
±20
±18
±6
VOS (max) µV
25
60
5
IB (max) nA
0.1
2
0.05
GBP MHz
0.9
1.3
1.5
Slew Rate (V/µs)
0.2
0.7
0.4
IB (max) nA
0.01
1000
0.75
BW @ ACL MHz
145
200
350
Slew Rate (V/µs)
180
100
425
Table 9. High Speed ADI Op Amps for Use with AD54xx DACs
Part No.
AD8065
AD8021
AD8038
Max Supply Voltage (V)
±12
±12
±5
VOS (max) µV
1500
1000
3000
Rev. 0 | Page 19 of 28
AD5415
SERIAL INTERFACE
The AD5415 has an easy-to-use 3-wire interface, which is
compatible with SPI, QSPI, MICROWIRE, and DSP interface
standards. Data is written to the device in 16-bit words. Each
16-bit word consists of four control bits and 12 data bits, as
shown in Figure 39.
SDO Control (SDO1 and SDO2)
LOW POWER SERIAL INTERFACE
Table 10. SDO Control Bits
The SDO bits enable the user to control the SDO output driver
strength, disable the SDO output, or configure it as an opendrain driver. The strength of the SDO driver affects the timing
of t12 and, when stronger, allows a faster clock cycle to be used.
SDO2
0
0
1
1
To minimize the power consumption of the device, the interface
powers up fully only when the device is being written to, that is,
on the falling edge of SYNC. The SCLK and DIN input buffers
are powered down on the rising edge of SYNC.
SDO1
0
1
0
1
Function
Full SDO Driver
SDO Configured as Open Drain
Weak SDO Driver
Disable SDO Output
DAC Control Bits C3 to C0
Control bits C3 to C0 allow control of various functions of the
DAC, as shown in Table 11. Default settings of the DAC at
power-on are as follows. Data is clocked into the shift register
on falling clock edges; daisy-chain mode is enabled. The device
powers on with zero-scale load to the DAC register and IOUT
lines. The DAC control bits allow the user to adjust certain
features at power-on. For example, daisy-chaining can be
disabled when not in use, active clock edge can be changed to
rising edge, and DAC output can be cleared to either zero scale
or midscale. The user can also initiate a readback of the DAC
register contents for verification purposes.
Daisy-Chain Control (DSY)
DSY enables or disables daisy-chain mode. A 1 enables daisychain mode; a 0 disables it. When disabled, a readback request is
accepted, SDO is automatically enabled, the DAC register
contents of the relevant DAC are clocked out on SDO, and,
when complete, SDO is disabled again.
Hardware CLR Bit (HCLR)
CONTROL REGISTER
The default setting for the hardware CLR pin is to clear the
registers and DAC output to zero code. A 1 in the HCLR bit
clears the DAC outputs to midscale; a 0 clears them to
zero scale.
(Control Bits = 1101)
Active Clock Edge (SCLK)
While maintaining software compatibility with the singlechannel current output DACs (AD5426/AD5433/AD5443), this
DAC also features some additional interface functionality.
Simply set the control bits to 1101 to enter control register
mode. Figure 40 shows the contents of the control register, the
functions of which are described in the following sections.
The default active clock edge is the falling edge. Write a 1 to this
bit to clock data in on the rising edge; write a 0 to clock it on the
falling edge.
C3
C2
DB0 (LSB)
C1
C0
DB11 DB10
DB9
DB8
DB7
CONTROL BITS
DB6
DB5
DB4
DB3
DB2
DB1
DB0
DATA BITS
04461-0-039
DB15 (MSB)
Figure 39. AD5415 12-Bit Input Shift Register Contents
1
1
DB0 (LSB)
0
1
SDO1 SDO2
DSY HCLR SCLK
X
X
CONTROL BITS
Figure 40. Control Register Loading Sequence
Rev. 0 | Page 20 of 28
X
X
X
X
X
04461-0-040
DB15 (MSB)
AD5415
Table 11. DAC Control Bits
C3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
C2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
C1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
C0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
DAC
A and B
A
A
A
B
B
B
A and B
A and B
–
–
–
–
–
–
–
Function
No Operation (Power-On Default)
Load and Update
Initiate Readback
Load Input Register
Load and Update
Initiate Readback
Load Input Register
Update DAC Outputs
Load Input Registers
Daisy-Chain Disable
Clock Data to Shift Register on Rising Edge
Clear DAC Output to Zero
Clear DAC Output to Midscale
Control Word
Reserved
No Operation
SYNC FUNCTION
SYNC is an edge-triggered input that acts as a frame synchronization signal and chip enable. Data can be transferred into the
device only while SYNC is low. To start the serial data transfer,
SYNC should be taken low, observing the minimum SYNC
falling to SCLK falling edge setup time, t4.
DAISY-CHAIN MODE
Daisy-chain mode is the default mode at power-on. To disable
the daisy-chain function, write 1001 to the control word. In
daisy-chain mode, the internal gating on SCLK is disabled. The
SCLK is continuously applied to the input shift register when
SYNC is low. If more than 16 clock pulses are applied, the data
ripples out of the shift register and appears on the SDO line.
This data is clocked out on the rising edge of SCLK and is valid
for the next device on the falling edge (default). By connecting
this line to the DIN input on the next device in the chain, a
multidevice interface is constructed. Sixteen clock pulses are
required for each device in the system. Therefore, the total
number of clock cycles must equal 16N, where N is the total
number of devices in the chain. (See the timing diagram in
Figure 4.)
When the serial transfer to all devices is complete, SYNC should
be taken high. This prevents any further data from being
clocked into the input shift register. A burst clock containing the
exact number of clock cycles can be used and SYNC taken high
some time later. After the rising edge of SYNC, data is automatically transferred from each device’s input shift register to the
addressed DAC.
When control bits are 0000, the device is in no-operation mode.
This might be useful in daisy-chain applications, where the user
does not want to change the settings of a particular DAC in the
chain. Simply write 0000 to the control bits for that DAC, and
the following data bits are ignored.
STANDALONE MODE
After power-on, writing 1001 to the control word disables daisychain mode. The first falling edge of SYNC resets a counter that
counts the number of serial clocks to ensure that the correct
number of bits is shifted in and out of the serial shift registers. A
SYNC edge during the 16-bit write cycle causes the device to
abort the current write cycle.
After the falling edge of the 16th SCLK pulse, data is automatically transferred from the input shift register to the DAC. In
order for another serial transfer to take place, the counter must
be reset by the falling edge of SYNC.
LDAC FUNCTION
The LDAC function allows asynchronous or synchronous
updates to the DAC output. The DAC is asynchronously
updated when this signal goes low. Alternatively, if this line is
held permanently low, an automatic or synchronous update
mode is selected, whereby the DAC is updated on the 16th clock
falling edge when the device is in standalone mode or on the
rising edge of SYNC when in daisy-chain mode.
Software LDAC Function
Load and update mode also functions as a software update
function, irrespective of the voltage level on the LDAC pin.
Rev. 0 | Page 21 of 28
AD5415
MICROPROCESSOR INTERFACING
Table 12. SPORT Control Register Setup
Microprocessor interfacing to the AD5415 DAC is through a
serial bus that uses standard protocol compatible with microcontrollers and DSP processors. The communications channel is
a 3-wire interface consisting of a clock signal, a data signal, and
a synchronization signal. The AD5415 requires a 16-bit word,
with the default being data valid on the falling edge of SCLK,
but this is changeable using the control bits in the data-word.
Name
TFSW
INVTFS
DTYPE
ISCLK
TFSR
ITFS
SLEN
The ADSP-21xx family of DSPs is easily interfaced to the
AD5415 DAC without the need for extra glue logic. Figure 40
is an example of an SPI interface between the DAC and the
ADSP-2191M. SCK of the DSP drives the serial data line, DIN.
SYNC is driven from one of the port lines, in this case SPIxSEL.
ADSP-2191*
AD5415*
SPIxSEL
SYNC
SDIN
SCK
SCLK
04461-0-041
MOSI
*ADDITIONAL PINS OMITTED FOR CLARITY
Figure 41. ADSP-2191 SPI to AD5415 Interface
A serial interface between the DAC and DSP SPORT is shown
in Figure 42. In this interface example, SPORT0 is used to
transfer data to the DAC shift register. Transmission is initiated
by writing a word to the Tx register after the SPORT has been
enabled. In a write sequence, data is clocked out on each rising
edge of the DSP’s serial clock and clocked into the DAC input
shift register on the falling edge of its SCLK. The update of the
DAC output takes place on the rising edge of the SYNC signal.
80C51/80L51 to AD5415 Interface
A serial interface between the DAC and the 80C51 is shown in
Figure 43. TXD of the 80C51 drives SCLK of the DAC serial
interface, while RXD drives the serial data line, DIN. P3.3 is a
bit-programmable pin on the serial port and is used to drive
SYNC. When data is to be transmitted to the switch, P3.3 is
taken low. The 80C51/80L51 transmits data only in 8-bit bytes;
therefore, only eight falling clock edges occur in the transmit
cycle. To load data correctly to the DAC, P3.3 is left low after the
first eight bits are transmitted, and a second write cycle is
initiated to transmit the second byte of data. Data on RXD is
clocked out of the microcontroller on the rising edge of TXD
and is valid on the falling edge. As a result, no glue logic is
required between the DAC and microcontroller interface. P3.3
is taken high following the completion of this cycle. The 80C51
provides the LSB of its SBUF register as the first bit in the data
stream. The DAC input register requires its data with the MSB
as the first bit received. The transmit routine should take this
into account.
TFS
SYNC
DT
SDIN
SCLK
TxD
SCLK
RxD
SDIN
P1.1
SYNC
*ADDITIONAL PINS OMITTED FOR CLARITY
SCLK
Figure 43. 80C51/80L51 to AD5415 Interface
*ADDITIONAL PINS OMITTED FOR CLARITY
Figure 42. ADSP-2101/ADSP-2103/ADSP-2191 SPORT to AD5415 Interface
Communication between two devices at a given clock speed is
possible when the following specifications are compatible:
frame sync delay and frame sync setup-and-hold, data delay and
data setup-and-hold, and SCLK width. The DAC interface
expects a t4 (SYNC falling edge to SCLK falling edge setup time)
of 13 ns minimum. See the ADSP-21xx User Manual for
information on clock and frame sync frequencies for the
SPORT register.
Table 12 shows the set up for the SPORT control register.
AD5415*
8051*
AD5415*
04461-0-042
ADSP-2101/
ADSP-2103/
ADSP-2191*
Description
Alternate framing
Active low frame signal
Right-justify data
Internal serial clock
Frame every word
Internal framing signal
16-bit data-word
04461-0-043
ADSP-21xx to AD5415 Interface
Setting
1
1
00
1
1
1
1111
MC68HC11 Interface to AD5415 Interface
Figure 44 is an example of a serial interface between the DAC
and the MC68HC11 microcontroller. The serial peripheral
interface (SPI) on the MC68HC11 is configured for master
mode (MSTR) = 1, Clock polarity bit (CPOL) = 0, and the clock
phase bit (CPHA) = 1. The SPI is configured by writing to the
SPI control register (SPCR); see the 68HC11 User Manual. SCK
of the 68HC11 drives the SCLK of the DAC interface, the MOSI
output drives the serial data line (DIN) of the AD5516.
The SYNC signal is derived from a port line (PC7). When data
is being transmitted to the AD5516, the SYNC line is taken low
(PC7). Data appearing on the MOSI output is valid on the
falling edge of SCK. Serial data from the 68HC11 is transmitted
in 8-bit bytes with only eight falling clock edges occurring in
Rev. 0 | Page 22 of 28
AD5415
AD5415*
SK
SCLK
SO
SDIN
CS
SYNC
AD5415*
*ADDITIONAL PINS OMITTED FOR CLARITY
SYNC
SCK
SCLK
MOSI
SDIN
Figure 45. MICROWIRE to AD5415 Interface
PIC16C6x/7x to AD5415 Interface
04461-0-044
PC7
*ADDITIONAL PINS OMITTED FOR CLARITY
Figure 44. 68HC11/68L11 to AD5415 Interface
If the user wants to verify the data previously written to the
input shift register, the SDO line can be connected to MISO of
the MC68HC11, and, with SYNC low, the shift register clocks
data out on the rising edges of SCLK.
MICROWIRE to AD5415 Interface
Figure 45 shows an interface between the DAC and any
MICROWIRE-compatible device. Serial data is shifted out on
the falling edge of the serial clock, SK, and is clocked into the
DAC input shift register on the rising edge of SK, which
corresponds to the falling edge of the DAC’s SCLK.
The PIC16C6x/7x synchronous serial port (SSP) is configured
as an SPI master with the clock polarity bit (CKP) = 0. This is
done by writing to the synchronous serial port control register
(SSPCON); see the PIC16/17 Microcontroller User Manual. In
this example, I/O port RA1 is used to provide a SYNC signal
and enable the serial port of the DAC. This microcontroller
transfers only eight bits of data during each serial transfer
operation; therefore, two consecutive write operations are
required. Figure 46 shows the connection diagram.
PIC16C6x/7x*
AD5415*
SCK/RC3
SCLK
SDI/RC4
SDIN
RA1
SYNC
*ADDITIONAL PINS OMITTED FOR CLARITY
Figure 46. PIC16C6x/7x to AD5415 Interface
Rev. 0 | Page 23 of 28
04461-0-046
MC68HC11*
MICROWIRE*
04461-0-045
the transmit cycle. Data is transmitted MSB first. To load data to
the DAC, PC7 is left low after the first eight bits are transferred,
and a second serial write operation is performed to the DAC.
PC7 is taken high at the end of this procedure.
AD5415
PCB LAYOUT AND POWER SUPPLY DECOUPLING
In any circuit where accuracy is important, careful consideration of the power supply and ground return layout helps to
ensure the rated performance. The printed circuit board on
which the AD5415 is mounted should be designed so that the
analog and digital sections are separated, and confined to
certain areas of the board. If the DAC is in a system where
multiple devices require an AGND-to-DGND connection, the
connection should be made at one point only. The star ground
point should be established as close as possible to the device.
The DAC should have ample supply bypassing of 10 µF in
parallel with 0.1 µF on the supply located as close to the package
as possible, ideally right up against the device. The 0.1 µF
capacitor should have low effective series resistance (ESR) and
effective series inductance (ESI), like the common ceramic types
that provide a low impedance path to ground at high
frequencies, to handle transient currents due to internal logic
switching. Low ESR 1 µF to 10 µF tantalum or electrolytic
capacitors should also be applied at the supplies to minimize
transient disturbance and filter out low frequency ripple.
Fast switching signals such as clocks should be shielded with
digital ground to avoid radiating noise to other parts of the
board, and should never be run near the reference inputs.
Avoid crossover of digital and analog signals. Traces on opposite
sides of the board should run at right angles to each other. This
reduces the effects of feedthrough on the board. A microstrip
technique is by far the best, but not always possible with a
double-sided board. In this technique, the component side of
the board is dedicated to the ground plane while signal traces
are placed on the soldered side.
It is good practice to employ compact, minimum lead length
PCB layout design. Leads to the input should be as short as
possible to minimize IR drops and stray inductance.
The PCB metal traces between VREF and RFB should also be
matched to minimize gain error. To maximize on high frequency performance, the I-to-V amplifier should be located
as close to the device as possible.
EVALUATION BOARD FOR THE DAC
The evaluation board consists of an AD5415 DAC and a
current-to-voltage amplifier, AD8065. Included on the
evaluation board is a 10 V reference, ADR01. An external
reference can also be applied via an SMB input.
The evaluation kit consists of a CD-ROM with self-installing
PC software to control the DAC. The software allows the user to
write a code to the device.
POWER SUPPLIES FOR THE EVALUATION BOARD
The board requires ±12 V and +5 V supplies. The +12 V VDD
and VSS are used to power the output amplifier, while the +5 V is
used to power the DAC (VDD1) and transceivers (VCC).
Both supplies are decoupled to their respective ground plane
with 10 µF tantalum and 0.1 µF ceramic capacitors.
Rev. 0 | Page 24 of 28
Rev. 0 | Page 25 of 28
Figure 47. Schematic of the AD5415 Evaluation Board
04461-0-047
P1–6
P1–13
P1–5
P1–4
P1–2
P1–3
P2–4
P2–1
P2–2
P2–3
CLR
SDO
LDAC
SYNC
SDIN
SCLK
C15
0.1µF
C13
0.1µF
C11
0.1µF
A B
+
+
+
R1
10kΩ
VDD1
LK1
R2
10kΩ
VDD1
R3
10kΩ
VDD1
C16
10µF
C14
10µF
C12
10µF
CLR
SDO
LDAC
SYNC
SDIN
SCLK
J6
VSS
J5
VDD1
AGND
VDD
J7
+
J4
C2
10µF
VDD1
J3
15
13
10
14
12
11
9
CLR
SDO
LDAC
SYNC
SDIN
SCLK
U1
AD5415
GND
VDD
P1–19
P1–20
P1–21
P1–22
P1–23
P1–24
P1–25
P1–26
P1–27
P1–28
P1–29
P1–30
C1
0.1µF
16
VREFB
R3B
R2–3B
IOUT2B
IOUT1B
RFBB
R2B
R1B
VREFA
R3A
R2–3A
R2A
IOUT2A
IOUT1A
R1A
RFBA
17
18
19
23
24
22
20
21
8
7
6
5
2
1
4
3
VDD
AD8065AR
6
VSS
VREFA
C8
1.8pF
7
V–
V+
4
J10
J8
C9 10µF
+
C10 0.1µF
B
LK3
LK2
A
7
6
0.1µF
C25 10µF
+
C24
U5
3
2
C22 10µF
+
C23 0.1µF
VIN
4
V–
V+
VREF
VDD
U3
3
2
VSS
C7 10µF
+
C8 0.1µF
C17
1.8pF
J1
3
7
4
V–
V+
5
3
C20 10µF
+
C21 0.1µF
6
10µF
+
C19 0.1µF
TRIM
C18
4
GND
2
VSS
VDD
+VIN
U2
ADR01AR
VOUT
A
U4
1
4
VOUT
C4
0.1µF
TP1
TP2
J2
VOUT
C4
0.1µF
VDD
B
C3
10µF
AD5415
04461-0-048
AD5415
04461-0-049
Figure 48. Component-Side Artwork
Figure 49. Silkscreen—Component-Side View (Top)
Rev. 0 | Page 26 of 28
04461-0-050
AD5415
Figure 50. Solder-Side Artwork
Table 13. Overview of AD54xx Devices
Part No.
AD5424
AD5426
AD5428
AD5429
AD5450
AD5432
AD5433
AD5439
AD5440
AD5451
AD5443
AD5444
AD5415
AD5445
AD5447
AD5449
AD5452
AD5446
AD5453
AD5553
AD5556
AD5555
AD5557
AD5543
AD5546
AD5545
AD5547
Resolution
8
8
8
8
8
10
10
10
10
10
12
12
12
12
12
12
12
14
14
14
14
14
14
16
16
16
16
No. DACs
1
1
2
2
1
1
1
2
2
1
1
1
2
2
2
2
1
1
1
1
1
2
2
1
1
2
2
INL(LSB)
±0.25
±0.25
±0.25
±0.25
±0.25
±0.5
±0.5
±0.5
±0.5
±0.25
±1
±0.5
±1
±1
±1
±1
±0.5
±1
±2
±1
±1
±1
±1
±2
±2
±2
±2
Interface
Parallel
Serial
Parallel
Serial
Serial
Serial
Parallel
Serial
Parallel
Serial
Serial
Serial
Serial
Parallel
Parallel
Serial
Serial
Serial
Serial
Serial
Parallel
Serial
Parallel
Serial
Parallel
Serial
Parallel
Package
RU-16, CP-20
RM-10
RU-20
RU-10
RJ-8
RM-10
RU-20, CP-20
RU-16
RU-24
RJ-8
RM-10
RM-8
RU-24
RU-20, CP-20
RU-24
RU-16
RJ-8, RM-8
RM-8
UJ-8, RM-8
RM-8
RU-28
RM-8
RU-38
RM-8
RU-28
RU-16
RU-38
Rev. 0 | Page 27 of 28
Features
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 50 MHz Serial
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 50 MHz Serial
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 58 MHz Serial
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 17 ns CS Pulse Width
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
10 MHz BW, 50 MHz Serial
4 MHz BW, 50 MHz Serial Clock
4 MHz BW, 20 ns WR Pulse Width
4 MHz BW, 50 MHz Serial Clock
4 MHz BW, 20 ns WR Pulse Width
4 MHz BW, 50 MHz Serial Clock
4 MHz BW, 20 ns WR Pulse Width
4 MHz BW, 50 MHz Serial Clock
4 MHz BW, 20 ns WR Pulse Width
AD5415
OUTLINE DIMENSIONS
7.90
7.80
7.70
24
13
4.50
4.40
4.30
6.40 BSC
1
12
PIN 1
0.65
BSC
0.15
0.05
0.30
0.19
1.20
MAX
SEATING
PLANE
0.20
0.09
8°
0°
0.75
0.60
0.45
0.10 COPLANARITY
COMPLIANT TO JEDEC STANDARDS MO-153AD
Figure 51. 24-Lead Thin Shrink Small Outline Package [TSSOP]
(RU-24)
Dimensions shown in millimeters
ORDERING GUIDE
Model
AD5415YRU
AD5415YRU-REEL
AD5415YRU-REEL7
EVAL-AD5415EB
Resolution
12
12
12
INL (LSBs)
±1
±1
±1
Temperature Range
−40°C to +125°C
−40°C to +125°C
−40°C to +125°C
© 2004 Analog Devices, Inc. All rights reserved. Trademarks and
registered trademarks are the property of their respective owners.
D04461–0–7/04(0)
Rev. 0 | Page 28 of 28
Package Description
TSSOP
TSSOP
TSSOP
Evaluation Kit
Package Option
RU-24
RU-24
RU-24