NSC SCAN18374T

SCAN18374T
D Flip-Flop with TRI-STATE ® Outputs
General Description
Features
The SCAN18374T is a high speed, low-power D-type
flip-flop featuring separate D-type inputs organized into dual
9-bit bytes with byte-oriented clock and output enable control
signals. This device is compliant with IEEE 1149.1 Standard
Test Access Port and BOUNDARY-SCAN Architecture with
the incorporation of the defined BOUNDARY-SCAN test
logic and test access port consisting of Test Data Input (TDI),
Test Data Out (TDO), Test Mode Select (TMS), and Test
Clock (TCK).
n
n
n
n
n
n
Connection Diagram
n
n
n
n
IEEE 1149.1 (JTAG) Compliant
Buffered positive edge-triggered clock
TRI-STATE outputs for bus-oriented applications
9-bit data busses for parity applications
Reduced-swing outputs source 24 mA/sink 48 mA (Mil)
Guaranteed to drive 50Ω transmission line to TTL input
levels of 0.8V and 2.0V
TTL compatible inputs
25 mil pitch Cerpack packaging
Includes CLAMP and HIGHZ instructions
Standard Microcircuit Drawing (SMD) 5962-9320701
Pin Names
Description
AOE1, BOE1
TRI-STATE Output Enable Inputs
AO(0–8), BO(0–8)
TRI-STATE Outputs
DS100322-1
Pin Names
Description
AI(0–8), BI(0–8)
Data Inputs
ACP, BCP
Clock Pulse Inputs
TRI-STATE ®
is a registered trademark of National Semiconductor Corporation.
© 1998 National Semiconductor Corporation
DS100322
www.national.com
SCAN18374T D Flip-Flop with TRI-STATE Outputs
September 1998
Truth Tables
Inputs
AO(0–8)
ACP
AOE1
AI(0–8)
X
H
X
N
L
L
L
N
L
H
H
Inputs
Z
BO(0–8)
BCP
BOE1
BI(0–8)
X
H
X
N
L
L
Z
L
N
L
H
H
H = HIGH Voltage Level
L = LOW Voltage Level
X = Immaterial
Z = High Impedance
N = L-to-H Transition
Functional Description
(ACP or BCP) transition. With the Output Enable (AOE1 or
BOE1) LOW, the contents of the nine flip-flops are available
at the outputs. When the Output Enable is HIGH, the outputs
go to the high impedance state. Operation of the Output Enable input does not affect the state of the flip-flops.
The SCAN18374 consists of two sets of nine edge-triggered
flip-flops with individual D-type inputs and TRI-STATE true
outputs. The buffered clock and buffered Output Enable pins
are common to all flip-flops. Each set of the nine flip-flops will
store the state of their individual D inputs that meet the setup
and hold time requirements on the LOW-to-HIGH Clock
Logic Diagram
DS100322-13
Please note that this diagram is provided only for the understanding of logic operations and should not be used to estimate propagation delays.
Block Diagrams
Byte-A
DS100322-2
Note: BSR stands for Boundary Scan Register
www.national.com
2
Block Diagrams
(Continued)
Tap Controller
DS100322-3
Byte-B
DS100322-4
Note: BSR stands for Boundary Scan Register
3
www.national.com
Description of Boundary-Scan Circuitry
The two least significant bits of this captured value (01) are
required by IEEE Std 1149.1. The upper six bits are unique
to the SCAN18374T device. SCAN CMOS Test Access Logic
devices do not include the IEEE 1149.1 optional identification register. Therefore, this unique captured value can be
used as a “pseudo ID” code to confirm that the correct device
is placed in the appropriate location in the boundary scan
chain.
The scan cells used in the BOUNDARY-SCAN register are
one of the following two types depending upon their location.
Scan cell TYPE1 is intended to solely observe system data,
while TYPE2 has the additional ability to control system
data. (See IEEE Standard 1149.1 Figure 10–11 for a further
description of scan cell TYPE1 and Figure 10–12 for a further description of scan cell TYPE2.)
Scan cell TYPE1 is located on each system input pin while
scan cell TYPE2 is located at each system output pin as well
as at each of the two internal active-high output enable signals. AOE controls the activity of the A-outputs while BOE
controls the activity of the B-outputs. Each will activate their
respective outputs by loading a logic high.
Instruction Register Scan Chain Definition
The BYPASS register is a single bit shift register stage identical to scan cell TYPE1. It captures a fixed logic low.
DS100322-10
MSB → LSB
Bypass Register Scan Chain Definition
Logic 0
Instruction Code
DS100322-9
The INSTRUCTION register is an eight-bit register which
captures the value 00111101.
www.national.com
4
Instruction
00000000
EXTEST
10000001
SAMPLE/PRELOAD
10000010
CLAMP
00000011
HIGHZ
All Others
BYPASS
Description of Boundary-Scan Circuitry
(Continued)
Scan Cell TYPE1
DS100322-7
Scan Cell TYPE2
DS100322-8
5
www.national.com
Description of Boundary-Scan Circuitry
(Continued)
Boundary-Scan Register
Scan Chain Definition (42 Bits in Length)
DS100322-25
www.national.com
6
Description of Boundary-Scan Circuitry
(Continued)
Boundary-Scan Register Definition Index
Bit No.
Pin Name
Pin No.
Pin Type
41
AOE1
3
Input
TYPE1
Scan Cell Type
40
ACP
54
Input
TYPE1
39
AOE
Internal
TYPE2
38
BOE1
26
Input
TYPE1
37
BCP
31
Input
TYPE1
36
BOE
35
AI0
34
Internal
TYPE2
55
Input
TYPE1
AI1
53
Input
TYPE1
33
AI2
52
Input
TYPE1
32
AI3
50
Input
TYPE1
31
AI4
49
Input
TYPE1
30
AI5
47
Input
TYPE1
29
AI6
46
Input
TYPE1
28
AI7
44
Input
TYPE1
27
AI8
43
Input
TYPE1
26
BI0
42
Input
TYPE1
25
BI1
41
Input
TYPE1
24
BI2
39
Input
TYPE1
23
BI3
38
Input
TYPE1
22
BI4
36
Input
TYPE1
21
BI5
35
Input
TYPE1
20
BI6
33
Input
TYPE1
19
BI7
32
Input
TYPE1
18
BI8
30
Input
TYPE1
17
AO0
2
Output
TYPE2
16
AO1
4
Output
TYPE2
15
AO2
5
Output
TYPE2
14
AO3
7
Output
TYPE2
13
AO4
8
Output
TYPE2
12
AO5
10
Output
TYPE2
11
AO6
11
Output
TYPE2
10
AO7
13
Output
TYPE2
9
AO8
14
Output
TYPE2
8
BO0
15
Output
TYPE2
7
BO1
16
Output
TYPE2
6
BO2
18
Output
TYPE2
5
BO3
19
Output
TYPE2
4
BO4
21
Output
TYPE2
3
BO5
22
Output
TYPE2
2
BO6
24
Output
TYPE2
1
BO7
25
Output
TYPE2
0
BO8
27
Output
TYPE2
7
Control
Signals
A–in
B–in
A–out
B–out
www.national.com
Absolute Maximum Ratings (Note 1)
ESD (Min)
If Military/Aerospace specified devices are required,
please contact the National Semiconductor Sales Office/
Distributors for availability and specifications.
Supply Voltage (VCC)
DC Input Diode Current (IIK)
VI = −0.5V
VI = VCC +0.5V
DC Output Diode Current (IOK)
VO = −0.5V
VO = VCC +0.5V
DC Output Voltage (VO)
DC Output Source/Sink Current (IO)
DC VCC or Ground Current
Per Output Pin
Junction Temperature
Cerpack
Storage Temperature
2000V
Recommended Operating
Conditions
−0.5V to +7.0V
Supply Voltage (VCC)
SCAN Products
Input Voltage (VI)
Output Voltage (VO)
Operating Temperature (TA)
Military
Minimum Input Edge Rate dV/dt
VIN from 0.8V to 2.0V
VCC @ 4.5V, 5.5V
−20 mA
+20 mA
−20 mA
+20 mA
−0.5V to VCC +0.5V
± 70 mA
4.5V to 5.5V
0V to VCC
0V to VCC
−55˚C to +125˚C
125 mV/ns
Note 1: Absolute maximum ratings are those values beyond which damage
to the device may occur. The databook specifications should be met, without
exception, to ensure that the system design is reliable over its power supply,
temperature, and output/input loading variables. National does not recommend operation of SCAN circuits outside databook specifications.
± 70 mA
+175˚C
−65˚C to +150˚C
DC Electrical Characteristics
Symbol
Parameter
VCC
(V)
Military
TA = −55˚C to +125˚C
Units
Conditions
Guaranteed Limits
VIH
VIL
VOH
VOL
IIN
Minimum High
4.5
2.0
Input Voltage
5.5
2.0
Maximum Low
4.5
0.8
Input Voltage
5.5
0.8
Minimum High
4.5
3.15
Output Voltage
5.5
4.15
4.5
2.4
5.5
2.4
Maximum Low
4.5
0.1
Output Voltage
5.5
0.1
4.5
0.55
5.5
0.55
5.5
± 1.0
Maximum Input
V
VOUT = 0.1V
V
or VCC −0.1V
VOUT = 0.1V
V
or VCC −0.1V
IOUT = −50 µA
V
V
VIN = VIL or VIH
IOH = −24 mA
IOUT = 50 µA
V
VIN = VIL or VIH
IOL = 48 mA
µA
VI = VCC, GND
VI = VCC
VI = GND
VI = GND
Leakage Current
IIN
Maximum Input
TDI, TMS
Leakage
5.5
Minimum Input
Leakage
5.5
5.5
IOLD
Minimum Dynamic
IOHD
Output Current
(Note 3)
IOZ
Maximum Output
3.7
µA
−385
µA
−160
µA
63
mA
−27
mA
VOLD = 0.8V Max
VOHD = 2.0V Min
5.5
± 10.0
µA
VI (OE) = VIL, VIH
5.5
−100
mA
VO = 0V
Leakage Current
IOS
Output Short
Circuit Current
ICC
Maximum Quiescent
(min)
5.5
168
µA
5.5
930
µA
Supply Current
VO = Open
TDI, TMS = VCC
VO = Open
TDI, TMS = GND
www.national.com
8
DC Electrical Characteristics
Symbol
Parameter
(Continued)
Military
TA = −55˚C to +125˚C
VCC
(V)
Units
Conditions
Guaranteed Limits
ICCt
Maximum ICC
5.5
2.0
5.5
2.15
VI = VCC − 2.1V
VI = VCC − 2.1V
mA
Per Input
TDI/TMS Pin, Test One
with the Other Floating
Note 2: All outputs loaded; thresholds associated with output under test.
Note 3: Maximum test duration 2.0 ms, one output loaded at a time.
Noise Specifications
Symbol
Military
TA = −55˚C to +125˚C
Units
Parameter
VCC
(V)
VOLP
Maximum High Output Noise
(Notes 4, 5)
5.0
0.8
V
VOLV
Minimum Low Output Noise
(Notes 4, 5)
5.0
-0.8
V
Guaranteed Limits
Note 4: Maximum number of outputs that can switch simultaneously is n. (n − 1) outputs are switched LOW and one output held LOW.
Note 5: Maximum number of outputs that can switch simultaneously is n. (n − 1) outputs are switched HIGH and one output held HIGH.
AC Electrical Characteristics
Normal Operation
Symbol
Parameter
VCC
(V)
(Note 6)
tPLH,
Propagation Delay
5.0
tPHL
CP to Q
tPLZ,
Disable Time
Military
TA = −55˚C to +125˚C
CL = 50 pF
5.0
tPHZ
tPZL,
Enable Time
5.0
tPZH
Min
Max
2.5
11.0
2.5
12.0
1.5
10.5
1.5
10.3
2.0
13.0
2.0
11.0
Units
ns
ns
ns
AC Operating Requirements
Normal Operation
Symbol
Parameter
VCC
(V)
(Note 6)
Military
TA = −55˚C to +125˚C
CL = 50 pF
Units
Guaranteed Minimum
tS
Setup Time, H or L
5.0
3.0
ns
5.0
1.5
ns
Data to CP
tH
Hold Time, H or L
CP to Data
tW
CP Pulse Width
5.0
5.0
ns
fmax
Maximum ACP/BCP
5.0
70
MHz
Clock Frequency
Note 6: Voltage Range 5.0 is 5.0V ± 0.5V.
9
www.national.com
AC Electrical Characteristics
Scan Test Operation
Symbol
Parameter
tPLH,
Propagation Delay
tPHL
TCK to TDO
tPLZ,
Disable Time
tPHZ
TCK to TDO
tPZL,
Enable Time
tPZH
TCK to TDO
tPLH,
Propagation Delay
tPHL
TCK to Data Out
Military
VCC
(V)
(Note 7)
Units
TA = −55˚C
to +125˚C
CL = 50 pF
5.0
5.0
5.0
5.0
Min
Max
3.5
15.8
3.5
15.5
2.5
12.8
2.5
12.6
3.0
16.7
3.0
15.0
5.0
21.2
5.0
21.7
5.0
21.2
5.0
21.0
5.5
21.5
5.5
23.0
4.5
19.6
4.0
18.9
5.0
22.4
5.0
22.4
5.5
23.3
5.0
22.9
5.0
22.6
5.0
19.7
7.0
26.2
6.5
23.1
7.0
27.4
7.0
24.5
ns
ns
ns
ns
During Update-DR State
tPLH,
Propagation Delay
tPHL
TCK to Data Out
5.0
ns
During Update-IR State
tPLH,
Propagation Delay
tPHL
TCK to Data Out
5.0
ns
During Test Logic
Reset State
tPLZ,
Propagation Delay
tPHZ
TCK to Data Out
5.0
ns
During Update-DR State
tPLZ,
Propagation Delay
tPHZ
TCK to Data Out
5.0
ns
During Update-IR State
tPLZ,
Propagation Delay
tPHZ
TCK to Data Out
5.0
ns
During Test Logic
Reset State
tPZL,
Propagation Delay
tPZH
TCK to Data Out
5.0
ns
During Update-DR State
tPZL,
Propagation Delay
tPZH
TCK to Data Out
5.0
ns
During Update-IR State
tPZL,
Propagation Delay
tPZH
TCK to Data Out
5.0
During Test Logic
Reset State
Note 7: Voltage Range 5.0 is 5.0V ± 0.5V.
All Propagation Delays involving TCK are measured from the falling edge of TCK.
www.national.com
10
ns
AC Operating Requirements
Scan Test Operation
Symbol
Parameter
VCC
(V)
(Note 8)
Military
Units
TA = −55˚C
to +125˚C
CL = 50 pF
Guaranteed Minimum
tS
Setup Time, H or L
5.0
3.0
ns
5.0
4.5
ns
5.0
3.0
ns
5.0
4.5
ns
5.0
3.0
ns
5.0
3.0
ns
5.0
3.0
ns
5.0
3.5
ns
5.0
8.0
ns
5.0
2.0
ns
5.0
4.0
ns
5.0
4.5
ns
H
15.0
ns
L
5.0
Data to TCK (Note 9)
tH
Hold Time, H or L
TCK to Data (Note 9)
tS
Setup Time, H or L
AOE1, BOE1 to TCK (Note 11)
tH
Hold Time, H or L
TCK to AOE1, BOE1 (Note 11)
tS
Setup Time, H or L
Internal AOE, BOE
to TCK (Note 10)
tH
Hold Time, H or L
TCK to Internal AOE,
BOE (Note 10)
tS
Setup Time
ACP, BCP (Note 12) to TCK
tH
Hold Time
TCK to ACP, BCP (Note 12)
tS
Setup Time, H or L
TMS to TCK
tH
Hold Time, H or L
TCK to TMS
tS
Setup Time, H or L
TDI to TCK
tH
Hold Time, H or L
TCK to TDI
tW
fmax
Pulse Width TCK
Maximum TCK
5.0
5.0
25
MHz
5.0
100
ns
0.0
100
ms
Clock Frequency
Tpu
Wait Time, Power Up
to TCK
Tdn
Power Down Delay
Note 8: Voltage Range 5.0 is 5.0V ± 0.5V.
All Input Timing Delays involving TCK are measured from the rising edge of TCK.
Note 9: This delay represents the timing relationship between the data Input and TCK at the associated scan cells numbered 0–8, 9–17, 18–26 and 27–35.
Note 10: This delay represents the timing relationship between AOE, BOE and TCK at scan cells 36 and 39 only.
Note 11: Timing pertains to BSR 38 and 41 only.
Note 12: Timing pertains to BSR 37 and 40 only.
11
www.national.com
Capacitance
Symbol
Typ
Units
Input Pin Capacitance
4.0
pF
COUT
Output Pin Capacitance
13.0
pF
CPD
Power Dissipation
34.0
pF
CIN
Parameter
Capacitance
www.national.com
12
Conditions
VCC = 5.0V
VCC = 5.0V
VCC = 5.0V
13
SCAN18374T D Flip-Flop with TRI-STATE Outputs
Physical Dimensions
inches (millimeters) unless otherwise noted
56-Lead Ceramic Flatpak (F)
NS Package Number WA56A
LIFE SUPPORT POLICY
NATIONAL’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORT DEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF THE PRESIDENT OF NATIONAL SEMICONDUCTOR CORPORATION. As used herein:
2. A critical component in any component of a life support
1. Life support devices or systems are devices or sysdevice or system whose failure to perform can be reatems which, (a) are intended for surgical implant into
sonably expected to cause the failure of the life support
the body, or (b) support or sustain life, and whose faildevice or system, or to affect its safety or effectiveness.
ure to perform when properly used in accordance
with instructions for use provided in the labeling, can
be reasonably expected to result in a significant injury
to the user.
National Semiconductor
Corporation
Americas
Tel: 1-800-272-9959
Fax: 1-800-737-7018
Email: [email protected]
www.national.com
National Semiconductor
Europe
Fax: +49 (0) 1 80-530 85 86
Email: [email protected]
Deutsch Tel: +49 (0) 1 80-530 85 85
English Tel: +49 (0) 1 80-532 78 32
Français Tel: +49 (0) 1 80-532 93 58
Italiano Tel: +49 (0) 1 80-534 16 80
National Semiconductor
Asia Pacific Customer
Response Group
Tel: 65-2544466
Fax: 65-2504466
Email: [email protected]
National Semiconductor
Japan Ltd.
Tel: 81-3-5620-6175
Fax: 81-3-5620-6179
National does not assume any responsibility for use of any circuitry described, no circuit patent licenses are implied and National reserves the right at any time without notice to change said circuitry and specifications.